Download as docx, pdf, or txt
Download as docx, pdf, or txt
You are on page 1of 5

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity motores is
Port ( a,b,c,d : in STD_LOGIC;
w,x,y,z : out STD_LOGIC);
end motores;
architecture structural of motores is
signal n1,n2 : std_logic;
----------------------------------------------------------declaro compuerta
-----------------------------------------------------component and_2
port (f,g: in std_logic;
j: out std_logic);
end component;
---------------------------------------------------declaro compuerta
-------------------------------------------------component and_3
port (f,g,h: in std_logic;
j: out std_logic);
end component;

---------------------------------------------------declaro compuerta
-------------------------------------------------component or_2
port (f,g: in std_logic;
j: out std_logic);
end component;
-------------------------------------------------declaro las entradas y salidas para cada
caso
---------------------------------------------begin
U0:and_3 port map (a,b,(not d),w);
U1:and_3 port map (a,b,(not d),x);
U2:and_2 port map (c,(not d),y);
U3:and_3 port map ((not a),(not c),d,n1);
U4:and_3 port map ((not b),(not c),d,n2);
U5:or_2 port map (n1,n2,z)
end structural;

Despus de haber sintetizado cada compuerta me dirijo al link:

Asigno pines y sintetizo el proyecto

You might also like