Logic Circuits

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 85

FULL ADDER

AIM:
To design, implement and analyze all the three models for full adder.

Design:
First, VHDL code for half adder was written and block was generated. Half adder block as component and basic gates, code for full adder is written. The truth tables are as follows: HALF ADDER: A 0 0 1 1 B 0 1 0 1 SUM 0 1 1 0 CARRY 0 0 0 1

FULL ADDER: A 0 0 0 0 1 1 1 1 B 0 0 1 1 0 0 1 1 CIN 0 1 0 1 0 1 0 1 00 01 1 1 1 SUM 0 1 1 0 1 0 0 1 11 COUT 0 0 0 1 0 1 1 1 10 1 CARRY 0 1 1 00 01 11 1 1 1 10

SUM 0 1

SUM = A XOR B XOR C;

CARRY = AB + AC + BC;

--Structural model for Half Adder


library IEEE; use IEEE.STD_LOGIC_1164.all; entity HA is port(A,B:in STD_LOGIC; Sum, Carry:out STD_LOGIC); end HA; architecture struct of HA is component myXOR port(in1,in2:in STD_LOGIC; out1:out STD_LOGIC); end component; begin X1: myXOR port map(A,B,Sum); Carry<=A and B; end struct;

--Structural model for Full Adder


library IEEE; use IEEE.STD_LOGIC_1164.all; entity FA is port(x,y,cin:in std_logic; s, cout:out std_logic); end FA; architecture struct of FA is signal s1,c1,c2 :std_logic; component HA port(A,B:in STD_LOGIC; sum, Carry:out STD_LOGIC); end component; begin HA1: HA port map(x,y, s1,c1); HA2: HA port map(s1,cin, s,c2); cout<=c1 or c2; end struct;

RTL VIEW (Structural):

Fig. Full Adder


hcout~0 hx hy hs~0 hs hcout

Fig. Half Adder

SIMULAION WAVEFORM:

The output shown above is directly taken from the SCF editor BASE LINE.

of MAX PLUSII

ANALYSIS: Timing Analyzer result (Structural):

FLOW SUMMARY (Structural):


Fitter Status : Successful - Thu Oct 19 08:44:16 2006 Quartus II Version : 6.0 Build 202 06/20/2006 SP 1 SJ Web Edition Revision Name : Adder Top-level Entity Name : FA Family : Stratix Device : EP1S10F484C5 Timing Models : Final Total logic elements : 2 / 10,570 ( < 1 % ) Total pins : 5 / 336 ( 1 % ) Total virtual pins : 0 Total memory bits : 0 / 920,448 ( 0 % ) DSP block 9-bit elements : 0 / 48 ( 0 % ) Total PLLs : 0 / 6 ( 0 % ) Total DLLs : 0 / 2 ( 0 % )

--VHDL code for DATA FLOW model of Full Adder:


library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity FA_DF is port(Fx, Fy, Fcin : in BIT; Fs, Fcout : out BIT); end FA_DF; architecture FA_dataflow of FA_DF is begin Fs <= Fx XOR Fy XOR Fcin; Fcout <= (Fx AND Fy) OR (Fx AND Fcin) OR (Fy AND Fcin); end FA_dataflow;

FLOW SUMMARY (Data Flow):


Fitter Status : Successful - Thu Oct 19 08:44:16 2006 Quartus II Version : 6.0 Build 202 06/20/2006 SP 1 SJ Web Edition Revision Name : Adder Top-level Entity Name : FA Family : Stratix Device : EP1S10F484C5 Timing Models : Final Total logic elements : 2 / 10,570 ( < 1 % ) Total pins : 5 / 336 ( 1 % ) Total virtual pins : 0 Total memory bits : 0 / 920,448 ( 0 % ) DSP block 9-bit elements : 0 / 48 ( 0 % ) Total PLLs : 0 / 6 ( 0 % ) Total DLLs : 0 / 2 ( 0 % )

SIMULATION WAVEFORM ( DATA FLOW):

RTL VIEW (Data Flow):

--VHDL code for BEHAVIORAL model of Full Adder


library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity FA_Bhr is port(Fx, Fy, Fcin : in BIT; Fs, Fcout : out BIT); end FA_Bhr; architecture FA_struct of FA_Bhr is component HA port (hx, hy :in BIT; hs, hcout: out BIT); end component; signal s1, c1, c2 : BIT; begin HA1: HA port map (Fx, Fy, s1, c1); HA2: HA port map (s1, Fcin, Fs, c2); Fcout <= c1 OR c2; end FA_struct;

SIMULATION WAVEFORM (Behavioral):

RTL VIEW (Behavioral):

TIMING ANALYSIS RESULT (Behavioral):

Flow Status Successful - Thu Oct 19 13:30:13 2006 Quartus II Version 6.0 Build 202 06/20/2006 SP 1 SJ Web Edition Revision Name Adder Top-level Entity Name FA_Bhr FamilyStratix Met timing requirements Yes Total logic elements 2 / 10,570 ( < 1 % ) Total pins 5 / 336 ( 1 % ) Total virtual pins 0 Total memory bits 0 / 920,448 ( 0 % ) DSP block 9-bit elements 0 / 48 ( 0 % ) Total PLLs 0 / 6 ( 0 % ) Total DLLs 0 / 2 ( 0 % ) Device EP1S10F484C5 Timing Models Final

CONCLUSION:
1. Truth table for all the models of full adder are verified from output waveform. 2. RTL viewer and timing analysis of different models are obtained.

FULL SUBTRACTOR
AIM: To develop a VHDL code for a full subtractor.

TRUTH TABLE X 0 0 0 0 1 1 1 1 Y 0 0 1 1 0 0 1 1 Bin 0 1 0 1 0 1 0 1 D 0 1 1 0 1 0 0 1 Bout 0 1 1 1 0 0 0 1

VHDL CODE(dataflow):
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;//standard library packages ENTITY fullsubd IS PORT(A,B,BORIN: IN BIT; DIFF,BOR:OUT BIT);//input and output declaration END fullsubd; ARCHITECTURE dataflow OF fullsubd IS BEGIN DIFF<=A XOR B XOR BORIN; BOR<=((NOT A) AND B) OR (BORIN AND (NOT (A XOR B)));//expressions for outputs END dataflow;

VHDL Code(behavioral):
LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL;//standard library packages ENTITY fullsubb IS PORT(A,B,BORIN: IN BIT; DIFF,BOR: OUT BIT); )//input and output declaration END fullsubb; ARCHITECTURE BEHAVE OF fullsubb IS BEGIN PROCESS(A,B,BORIN)//sensitivity list BEGIN IF A='0' AND B='0' THEN BOR<=BORIN;DIFF<=BORIN; ELSIF(A='0' AND B='1' AND BORIN='0')OR (B='1' AND A='1' AND BORIN='1') THEN DIFF<='1';BOR<='1'; ELSIF(A='1' AND B='1' AND BORIN='0')OR (A='1' AND B='0' AND BORIN='1') THEN DIFF<='0';BOR<='0'; ELSIF(A='1' AND B='0' AND BORIN='0' ) THEN BOR<='0';DIFF<='1'; ELSE BOR<='1';DIFF<='0'; END IF; END PROCESS;//marks end of the process END BEHAVE;

VHDL Code(Structural):
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;//standard library packages ENTITY fullsubs IS PORT(A,B,BORIN: IN BIT; DIFF,BOR:OUT BIT); );//input and output declaration END fullsubs; ARCHITECTURE struc OF fullsubs IS COMPONENT halfsubd //basic component declarations PORT(A,B:IN BIT; DIFF,BOR:OUT BIT); END COMPONENT; COMPONENT or2bit PORT(A,B:IN BIT;C:OUT BIT); END COMPONENT; SIGNAL BOR1,BOR2,DIFF1:BIT; BEGIN//mapping HS1:halfsubd PORT MAP(A,B,DIFF1,BOR1); HS2:halfsubd PORT MAP(DIFF1,BORIN,DIFF,BOR2);

O1:or2bit PORT MAP(BOR1,BOR2,BOR); END struc;

RTL Viewer (Dataflow): RTL Viewer(Behavioral):


BOR~0 A B DIFF~0 BOR~1 DIFF~1 BORIN DIFF BOR~2 BOR

process0~5 B A

process0~6
0

0 1 1

0 1

process0~7

process0~8

DIFF~0
0

DIFF~1
0 1 1

process0~9

process0~10

BOR~0

BOR~1

BORIN

process0~2 process0~3 process0~0

process0~4

RTL Viewer(Structural):

hs1d:HS1 hs1d:HS2 A B
A B BOR DIFF A B BOR DIFF

or2bit:O1
A B

BOR

BORIN

DIFF

OUTPUT WAVEFORM(DATAFLOW):

TIMING ANALYSIS(Dataflow):

OUTPUT WAVEFORM(BEHAVIORAL):

TIMING ANALYSIS(BEHAVIORAL):

OUTPUT WAVEFORM(Structural):

TIMING ANALYSIS(Structural):

CONCLUSIONS:
We find that the full subtractor circuit gives us the difference and borrow of two numbers and the result is same irrespective of the type of modeling. We find from timing analysis the gate delays are also same in each type of modeling.

4-bit RIPPLE CARRY ADDER AIM:


To develop a VHDL code for a four bit ripple carry adder.

ENTITY:

A3-A0

S3-S0

B3-B0

4-bit Ripple Carry Adder

Cout

Cin

BASIC COMPONENTS: 1-Bit Full Adder


A B Cin

1-bit Full Adder

S Cout

Truth table for full adder


A 0 0 0 0 1 1 1 1 B 0 0 1 1 0 0 1 1 Cin 0 1 0 1 0 1 0 1 S 0 1 1 0 1 0 0 1 Cout 0 1 0 1 0 1 1 1

EQUATIONS FOR SUM AND CARRY :


1-bit Full Adder S=A xor B xor Cin Cout=AB+Cin(A xor B) 4-BIT RIPPLE CARRY ADDER INPUTS: A=A3A2A1A0 B=B3B2B1B0 OUTPUTS: S=S3S2S1S0

Cin=C0

Cout=C4

EQUATIONS: S0=A0 xor B0 xor C0 C1=A0B0+C0(A0 xor B0) S1=A1 xor B1 xor C1 C2=A1B1+C1(A1 xor B1) S2=A2 xor B2 xor C2 C3=A2B2+C2(A2 xor B2) S3=A3 xor B3 xor C3 C4=A3B3+C3(A3 xor B3)

VHDL Program: Structural Model


LIBRARY IEEE; USE IEEE.STD_ LOGIC_1164.A LL; //Pa ckages Availa ble EN TI TY b it4 sr ca IS P OR T(A, B:I N BI T_V EC TOR(3 DO WNT O 0); S EL: IN BIT; //D ecla rat ion of inpu t po rt s COU T: OUT BIT; X :OUT B I T_V EC TOR(3 D OWN TO 0)); / /D ecla rat ion o f ou tput po rts END bit4srca; ARCHITEC TUR E struc OF bit4srca IS COM PON EN T fa1 d //ba sic co mponent:1-bit full adder P OR T(X ,Y,C IN:IN B IT ; C OU T:OUT B IT ); END C OMP ON ENT; S IGNA L C :B I T_V EC TOR(3 DOWN TO 1 ); / /S igna l d ec la rat ion B E G IN / / b eg in n in g o f a rc h ite ct u re FA0 :fa1d POR T MA P(A(0) , B(0),Cin,S(0)C (1)); //mapping fo r 1 -bit full adder FA1 :fa1d POR T MA P(A(1) , B(1),C(1) ,S(1) ,C(2)); FA2 :fa1d POR T MA P(A(2) , B(2),C(2) ,S(2) ,C(3)); FA3 :fa1d POR T MA P(A(3) , B(3),C(3) ,S(3) ,Cout); //4-full adders END st ru c;//

Behavioral Model LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; //entity declaration ENTITY rca4b IS PORT(A,B:IN BIT_VECTOR(3 DOWNTO 0); CIN:IN BIT ;COUT:OUT BIT; S:OUT BIT_VECTOR(3 DOWNTO 0)); END rca4b; ARCHITECTURE behav OF rca4b IS SIGNAL C:BIT_VECTOR(3 DOWNTO 1);//signal declaration. BEGIN PROCESS(A,B,CIN) BEGIN IF A(0)='0' AND B(0)='0' THEN C(1)<='1'; IF CIN='1' THEN S(0)<='1'; ELSE S(0)<='0'; END IF; ELSIF ((A(0)='0' AND B(0)='1' AND CIN='0')OR (B(0)='0' AND A(0)='1' AND CIN='0')) THEN S(0)<='1'; C(1)<='0'; ELSIF(A(0)='0' AND B(0)='1' AND CIN='1')OR (A(0)='1' AND B(0)='0' AND CIN='1') THEN S(0)<='0';C(1)<='1'; ELSIF CIN='0' THEN C(1)<='1'; S(0)<='0'; ELSE C(1)<='1';S(0)<='1'; END IF; Data Flow Model
LIBRARY IEEE; USE IEEE.STD_ LOGIC_1164.A LL; USE IEEE.STD_ LOGIC_ARITH.ALL; USE IEEE.STD_ LOGIC_UNSIGNED.A LL; EN TI TY r ca4d I S P OR T(A, B:I N BI T_V EC TOR(3 DO WNT O 0); C in: IN BIT; Cout:OUT BIT; S:OU T BIT_V EC TOR(3 DOWN TO 0 )); END rca4 d; ARCHITEC TUR E da taf lo w OF rca4d IS S IGNA L C :B I T_V EC TOR(3 DOWN TO 1 );

BEGIN S(0)<=A(0) X OR B(0) X OR C in; C(1) <=(A(0) AND B(0)) OR (A (0)AND C in) OR ( B(0) AND C in); S(1)<=A(1) X OR B(1) X OR C(1); C(2) <=(A(1) AND B(1)) OR (A (1)AND C (1)) OR ( B(1) AND C (1)); S(2)<=A(2) X OR B(2) X OR C(2); C(3) <=(A(2) AND B(2)) OR (A (2)AND C (2)) OR ( B(2) AND C (2)); S(3)<=A(3) X OR B(3) X OR C(3); Cout<=(A(3) AND B(3)) OR (A (3)AND C (3)) OR ( B(3) AND C (3)); E ND dataf lo w;

RTL Viewer (Behavioral)


process0~28 A[3..0] B[3..0] process0~19 process0~20
0 0 1

process0~29
0 1 1 0 1

process0~31

process0~32

S~10

S~11
0

S[3..0]

C[3] process0~10 process0~11


0 0 1

process0~22

process0~23

process0~33

COUT

C[2] process0~1 process0~2


0 0 1

COUT~0 process0~15 process0~24 process0~34 process0~35

process0~13

process0~14

process0~15_OUT0

C[1] process0~0 process0~4 process0~5 process0~25 process0~26


0 0 1 0 0 1

S~9

process0~9
0 0 1 1 0 1 0 1

0 0 1

S~7

S~6

S~8

process0~18
0 1 1

S~3
0 1

S~4

S~5

process0~27

S~1

S~2 process0~16

process0~12

process0~16_OUT0 process0~7 process0~7_OUT0 process0~8_OUT0 CIN


0 0 1

process0~3

process0~6 process0~6_OUT0

S~0 process0~30

process0~21

process0~17_OUT0

RTL Viewer(Structural)
fa1d:S0 Cin A[3..0] B[3..0]
cin x y cout s

fa1d:S1
cin x y cout s

fa1d:S2
cin x y cout s

fa1d:S3
cin x y cout s

Cout S[3..0]

RTL Viewer(Dataflow)
C~7 C~3 B[3..0] Cin C~0 A[3..0] S~3 C~1 S~5 C[1] C~5 C[2] C~11 C[3] C~9 Cout~3 Cout~4 Cout Cout~1

S~7

C~4

S[3..0]

C~8

Cout~0

S~1

Simulation result:

Timing analysis:

Simulation result:

Timing analysis:

CONCLUSIONS:
We find that the when two 4-bit no.s are given as input the output is a 4-bit sum vector followed by a carry out. We also find that the delay in the first sum and carry out is 9 gates delay.

AIM:
To implement and design a full subtractor in structural model.

BLOCK DIAGRAM:

a:1st input to the full subtractor b:2nd input to the full sutrator c:borrow in diff.:difference output borr:borrow out

TRUTH TABLE:

VHDL CODE:
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity fullsubtrstr is port (A,B,C: in bit; diff,borr: out bit); end fullsubtrstr; architecture structural of fullsubtrstr is component exor_2ip port (a,b :in bit;c: out bit);

end component; component and_2ipo port (a,b :in bit;c: out bit); end component; component or_2ip port (a,b : in bit; c :out bit); end component; component inv1ip port (a:in bit;b:out bit); end component; signal indif,inbor,bor,x:bit; begin X0:exor_2ip port map(A,B,indif); X1:exor_2ip port map(indif,c,diff); A0:and_2ipo port map(A,B,inbor); I0:inv1ip port map(C,x); A1:and_2ipo port map(indif,x,bor); O1:or_2ip port map (inbor,bor,borr); end structural;

RTL VIEW:

ANALYZER:

TIMING ANALYZER:

SIMULATION WAVEFORMS:

AIM:
To design and implement a full subtractor in data flow model.

BLOCK DIAGRAM:

ENTITY:
a:1st input to the full subtractor b:2nd input to the full sutrator c:borrow in diff.:difference output borr:borrow out

TRUTH TABLE:

VHDL CODE:
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity fullsubtrdf is port (A,B,C:in std_logic;diff,borr: out std_logic); end fullsubtrdf;

architecture dataflow of fullsubtrdf is signal inbor,bor,indif:std_logic; begin indif<=A xor B; inbor<=A and B; diff<=indif xor C; bor<=indif and (not C); borr<=bor or inbor; end dataflow;

RTL VIEW:

ANALYSIS:

TIMING ANALYSIS:

SIMULATION WAVEFORMS:

AIM: To design a full subtractor and implement its behavioural model using VHDL. BLOCK DIAGRAM:
f ullsubtrbeh A B C inst dif f borr

a,b:inputs c: borrow input. diff: difference output. borr: borrow output

VHDL CODE:
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity fullsubtrbeh is port (A,B,C : in std_logic;diff, borr: out std_logic); end fullsubtrbeh; architecture BEH of fullsubtrbeh is begin process(A,B,C) variable indif,inbor,bor:std_logic; begin indif:=a xor b; inbor:=a and b; diff<=indif xor c; bor:=indif and (not c); borr<=inbor or bor; end process; end BEH;

RTL VIEW:

ANALYZER:

TIMING ANALYSIS:

SIMULATION WAVEFORMS:

CONCLUSION:
1. Truth table for all the models of 4 bit subtractor are verified from output waveform. 2. RTL viewer and timing analysis of different models are obtained.

4-BIT ADDER CUM SUBTRACTOR


AIM: To design a 4-bit adder cum subtractor

ENTITY: B4 A4 B3 A3 B2 A2 B1 A1 S

FA

FA

FA

FA

C4

S4

S3

S2

S1

BASIC COMPONENT : 1)FULL ADDER

A B Cin

1-bit Full Adder

S Cout

2)XOR GATE:

A B C

TRUTH TABLES: 1)FULL ADDER Truth table for full adder A 0 0 0 0 1 1 1 1 B 0 0 1 1 0 0 1 1 Cin 0 1 0 1 0 1 0 1 S 0 1 1 0 1 0 0 1 Cout 0 1 0 1 0 1 1 1

VHDL CODE (Structural):

LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;//standard library packages ENTITY addersub4s IS PORT(A,B:IN BIT_VECTOR(3 DOWNTO 0); SEL:IN BIT; COUT:OUT BIT; X:OUT BIT_VECTOR(3 DOWNTO 0));//inputs and outputs declaration END addersub4s; ARCHITECTURE struc OF addersub4s IS

COMPONENT fa1d PORT(X,Y,CIN:IN BIT; S,COUT:OUT BIT); END COMPONENT; COMPONENT xor2 //basic components used for realization PORT(A,B:IN BIT;C:OUT BIT); END COMPONENT; COMPONENT and2bit PORT(A,B:IN BIT;C:OUT BIT); END COMPONENT; COMPONENT invert PORT(A:IN BIT;B:OUT BIT); END COMPONENT; SIGNAL E:BIT_VECTOR(3 DOWNTO 0); SIGNAL C:BIT_VECTOR(3 DOWNTO 1); SIGNAL carry,non_sel:BIT; BEGIN//mapping with basic gates X0:xor2 PORT MAP(B(0),SEL,E(0)); FA0:fa1d PORT MAP(A(0),E(0),SEL,X(0),C(1)); X1:xor2 PORT MAP(B(1),SEL,E(1)); FA1:fa1d PORT MAP(A(1),E(1),C(1),X(1),C(2)); X2:xor2 PORT MAP(B(2),SEL,E(2)); FA2:fa1d PORT MAP(A(2),E(2),C(2),X(2),C(3)); X3:xor2 PORT MAP(B(3),SEL,E(3)); FA3:fa1d PORT MAP(A(3),E(3),C(3),X(3),carry); I0:invert PORT MAP(SEL,non_sel); A0:and2bit PORT MAP(non_sel,carry,COUT); END struc; VHDL Code(Dataflow):

LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY addersub4d IS PORT(A,B:IN BIT_VECTOR(3 DOWNTO 0); SEL:IN BIT; COUT:OUT BIT; X:OUT BIT_VECTOR(3 DOWNTO 0)); END addersub4d; ARCHITECTURE dataflow OF addersub4d IS BEGIN PROCESS(A,B,SEL) VARIABLE S:BIT_VECTOR(3 DOWNTO 0); VARIABLE C:BIT_VECTOR(4 DOWNTO 0); BEGIN C(0):=SEL; FOR i IN 0 TO 3 LOOP S(i):=A(i) XOR B(i) XOR C(i) XOR SEL; C(i+1):=(B(i) XOR SEL) AND A(i); END LOOP; COUT<=C(4); X<=S; END PROCESS; END dataflow;

RTL Viewer(Structural)
invert:I0 SEL and2bit:A0
A

fa1d:FA0
cin cout s

fa1d:FA1
cin x y cout s

fa1d:FA2
cin x y cout s

fa1d:FA3
cin x y cout s

COUT

xor2:X0 B[3..0]
A B

x y

X[3..0]

xor2:X1
A B

xor2:X2
A B

xor2:X3
A B

A[3..0]

RTL Viewer(Dataflow):
C~6 B[3..0] SEL

C~7 COUT

C~4

C~5

S~11

X[3..0] C~3

C~2

S~8

C~0

C~1

S~5

S~2 A[3..0]

CONCLUSIONS: This circuit is used as both an adder and subtractor depending on the selection input. if selection line is 1 then it is subtraction and if zero addition. subtraction is done by complimenting the number and adding. So the basic components used are full adder, xor gate and and gate.

4-bit CARRYLOOK AHEAD ADDER


AIM:
To develop a VHDL code for a four bit carrylook ahead adder.

EQUATIONS FOR 4-BIT CARRY LOOK AHEAD ADDER:


INPUTS: A=A3A2A1A0 OUTPUTS: S=S3S2S1S0 VARIABLES: P=P0,P1,P2,P3 B=B3B2B1B0 Cin=C0

Cout=C4

G=G0,G1,G2,G3

EQUATIONS: S0=A0 xor B0 xor C0 G0=A0+B0 P0=A0B0 C1= G0+P0C0 S1=A1 xor B1 xor C1 G1=A1+B1 P1=A1B1 C2=G1+P1G0+P1P0C0 S2=A2 xor B2 xor C2 G2=A2+B2 P2=A2B2 C3=G2+P2G1+P2P1G0+P2P1P0C0 S3=A3 xor B3 xor C3 G3=A3+B3 P3=A3B3 C4=G3+P3G2+P3P2G1+P3P2P1G0+P3P2P1P0C0

VHDL Code: Structural Model


LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL;//Standard packages available ENTITY cla4s IS PORT(A,B: IN BIT_VECTOR(3 DOWNTO 0); CIN:IN BIT;COUT: OUT BIT; //Input and outputdeclaration S:OUT BIT_VECTOR(3 DOWNTO 0)); END cla4s; ARCHITECTURE struc OF cla4s IS SIGNAL C:BIT_VECTOR(3 DOWNTO 1); SIGNAL G,P:BIT_VECTOR(3 DOWNTO 0); SIGNAL E:BIT_VECTOR(9 DOWNTO 0);//Signal declarations COMPONENT and2bit IS PORT(A,B:IN BIT; C:OUT BIT); END COMPONENT; COMPONENT or2bit IS PORT(A,B:IN BIT; C:OUT BIT); END COMPONENT; COMPONENT or3bit IS PORT(A,B,C:IN BIT; D:OUT BIT); END COMPONENT; COMPONENT or4bit IS PORT(A,B,C,D:IN BIT; E:OUT BIT); END COMPONENT; COMPONENT or5bit IS PORT(A,B,C,D,E:IN BIT; F:OUT BIT); END COMPONENT; COMPONENT and3bit IS PORT(A,B,C:IN BIT; D:OUT BIT); END COMPONENT; COMPONENT and4bit IS PORT(A,B,C,D:IN BIT; E:OUT BIT); END COMPONENT; COMPONENT and5bit IS PORT(A,B,C,D,E:IN BIT; F:OUT BIT); END COMPONENT; COMPONENT xor3 IS PORT(A,B,C:IN BIT; D:OUT BIT); END COMPONENT;//Components used..declaration

BEGIN X0:xor3 PORT MAP(A(0),B(0),CIN,S(0));//port mapping with A20:and2bit PORT MAP(A(0),B(0),G(0));//basic components O20:or2bit PORT MAP(A(0),B(0),P(0)); A21:and2bit PORT MAP(P(0),CIN,E(0)); O21:or2bit PORT MAP(E(0),G(0),C(1)); X1:xor3 PORT MAP(A(1),B(1),C(1),S(1)); A22:and2bit PORT MAP(A(1),B(1),G(1)); O22:or2bit PORT MAP(A(1),B(1),P(1)); A23:and2bit PORT MAP(P(1),G(0),E(1)); A30:and3bit PORT MAP(CIN,P(0),P(1),E(2)); O30:or3bit PORT MAP(E(1),E(2),G(1),C(2)); X2:xor3 PORT MAP(A(2),B(2),C(2),S(2)); A24:and2bit PORT MAP(A(2),B(2),G(2)); O23:or2bit PORT MAP(A(2),B(2),P(2)); A25:and2bit PORT MAP(P(2),G(1),E(3)); A31:and3bit PORT MAP(G(0),P(2),P(1),E(4)); A40:and4bit PORT MAP(P(2),P(1),P(0),CIN,E(5)); O40:or4bit PORT MAP(E(2),E(3),E(4),G(2),C(3)); X3:xor3 PORT MAP(A(3),B(3),C(3),S(3)); A26:and2bit PORT MAP(A(3),B(3),G(3)); O24:or2bit PORT MAP(A(3),B(3),P(3)); A27:and2bit PORT MAP(P(3),G(2),E(6)); A32:and3bit PORT MAP(G(1),P(2),P(3),E(7)); A41:and4bit PORT MAP(P(2),P(1),P(3),G(0),E(8)); A50:and5bit PORT MAP(P(3),P(2),P(1),P(0),CIN,E(9)); O50:or5bit PORT MAP(G(3),E(6),E(7),E(8),E(9),COUT); END struc;

VHDL CODE: Data Flow


LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY counter4d IS PORT(CLK:IN BIT; Q:INOUT BIT_VECTOR(3 DOWNTO 0)); END counter4d; ARCHITECTURE struc OF counter4d IS COMPONENT fftasyn PORT(T,CLK,RESET:IN BIT;Q,QINV:OUT BIT); END COMPONENT; COMPONENT and2bit PORT(A,B:IN BIT;C:OUT BIT); END COMPONENT; SIGNAL Q_INV:BIT_VECTOR(3 DOWNTO 0); SIGNAL A:BIT_VECTOR(2 DOWNTO 0); BEGIN T0:fftasyn PORT MAP('1',CLK,'0',Q(0),Q_INV(0)); A0:and2bit PORT MAP('1',Q(0),A(0)); T1:fftasyn PORT MAP(A(0),CLK,'0',Q(1),Q_INV(1)); A1:and2bit PORT MAP(A(0),Q(1),A(1));

T2:fftasyn PORT MAP(A(1),CLK,'0',Q(2),Q_INV(2)); A2:and2bit PORT MAP(A(1),Q(2),A(2)); T3:fftasyn PORT MAP(A(2),CLK,'0',Q(3),Q_INV(3)); END struc;

RTL Viewer(STRUCTURAL):
and2bit:A26 or5bit:O50 A[3..0] B[3..0]
A B C A B C D F

COUT

or2bit:O24
A B

and2bit:A27
A B

or4bit:O40
A

xor3:X3
A B D

and2bit:A24
A B

and2bit:A25
A B

C D

S[3..0]

xor3:X2 or3bit:O30
A

and2bit:A22 and3bit:A32
A B C A B C d

A B C D

B C

xor3:X1 or2bit:O21
A A B B C C D

or2bit:O23
A B

or2bit:O22
A B

and4bit:A41
A

B C D

and2bit:A20
A B

and5bit:A50 or2bit:O20
A B A B C C D E F

xor3:X0
A B D

and3bit:A30
A B C d

CIN

and3bit:A31
A B C d

and2bit:A23
A B

and2bit:A21
A B

and4bit:A40
A B C D

RTL Viewer(Dataflow):
P[3] A[3..0] B[3..0] P[2] Cout~2 Cout~5 Cout~9 Cout~10 Cout~0 Cout

P[1]

C~8 C~6

C[3] S~7

P[0] S[3..0] Cout~6

G[0]

G[1] C~4 G[2]

Cout~3

C[2] S~5

G[3]

C~1

C~11 S~1 C~5

C~0 Cin

C[1] S~3

OUTPUT WAVEFORM(STRUCTURAL)

TIMING ANALYSIS(STRUCTURAL):

OUTPUT WAVEFORM(DATAFLOW):

TIMING ANALYSIS:

Conclusions:
We find that when 2 4-bit no.s are given as input the output is a 4-bit sum vector and a carry out.The delay in the generation of carry is 5 gates delay and is faster than ripple carry adder.

4-bit comparator Aim: To design a 4-bit comparator(behavioral and structural model) ENTITY: A (0-3) B (0 3)
F1 F2

44555g 4-bit bsdth comparator

F3

DESCRIPTION:
Comparison between A and B: F1=1 if A>B F2=1 if A=B F3=1 if A<B

BASIC COMPONENT:
2-bit comparator: A0, A1 B0, B1 F1 2-bit comparator

F2 F3

C1

C2

C3

VHDL Code: Behavioral model:


LIBRARY IEEE;//standard library USE IEEE.STD_LOGIC_1164.ALL;//importing the library. //entity declaration. ENTITY comp4b IS PORT(A,B:IN BIT_VECTOR(3 DOWNTO 0); F1,F2,F3:OUT BIT);//inputs and outputs. END ENTITY; //end of entity declaration. ARCHITECTURE behav OF comp4b IS BEGIN PROCESS(A,B)//sensitivity list. BEGIN IF(A(3)='0' AND B(3)='1')THEN F3<='1';F2<='0';F1<='0'; ELSIF(A(3)='1' AND B(3)='0')THEN F1<='1';F2<='0';F3<='0'; ELSE IF(A(2)='0' AND B(2)='1')THEN F3<='1';F2<='0';F1<='0'; ELSIF(A(2)='1' AND B(2)='0')THEN F1<='1';F2<='0';F3<='0'; ELSE IF(A(1)='0' AND B(1)='1')THEN F3<='1';F2<='0';F1<='0'; ELSIF(A(1)='1' AND B(1)='0')THEN F1<='1';F2<='0';F3<='0'; ELSE IF(A(0)='0' AND B(0)='1')THEN F3<='1';F2<='0';F1<='0'; ELSIF(A(0)='1' AND B(0)='0')THEN F1<='1';F2<='0';F3<='0'; ELSE F2<='1';F1<='0';F3<='0'; END IF; END IF; END IF; END IF; END PROCESS; END behav;//end of architecture. RTL viewer for behavioral.....

process0~7 B[3..0] A[3..0] process0~6


0 0 1 1 0 1 0 0 1 1

0 1 0

F1~0
0

0 1 1

F1~1
0 0 1 0

0 1 0

F1~2
0 1 0

0 1

F1~3
0 1 0

F1

F1~4
0 1 0

F1~5
0 1 0

process0~5

F1~6
0 1

F2~0 process0~4
0

F2~1

F2~2

F2~3

F2

F2~4

0 1 1

F2~5

0 1 0

F2~6
0 1 1

0 1 1

0 1 0

F3~0 process0~3

0 1

F3~1

F3~2

F3

F3~3

F3~4

F3~5

process0~2

process0~1

process0~0

Timing analysis..

Output waveform..

VHDL structural model: LIBRARY IEEE; ;//standard library USE IEEE.STD_LOGIC_1164.ALL; //importing the library. //entity declaration. ENTITY comp4s IS PORT(A,B:IN BIT_VECTOR(3 DOWNTO 0); Z1,Z2,Z3:OUT BIT) ; END ENTITY; //end of entity declaration.

ARCHITECTURE struc OF comp4s IS //component declaration of two bit comparator. COMPONENT compb2 PORT(A1,A0,B1,B0:IN BIT; C1,C2,C3:IN BIT;F1,F2,F3:OUT BIT); END COMPONENT;//end of component declaration. SIGNAL X:BIT_VECTOR(3 DOWNTO 1);//signal declaration. BEGIN C0:compb2 PORT MAP(A(3),A(2),B(3),B(2),'0','1','0',X(1),X(2),X(3)); C1:compb2 PORT MAP(A(1),A(0),B(1),B(0),X(1),X(2),X(3),Z1,Z2,Z3); END struc;//end of architecture. RTL viewer:

compb2:C1 compb2:C0 A[3..0] B[3..0]


0 1 0 A0 A1 B0 B1 C1 C2 C3 F1 F2 F3 A0 A1 B0 B1 C1 C2 C3 F1 F2 F3

Z1 Z2 Z3

Timing analysis:

Output waveform:

CONCLUSION: -4-bit comparator is realized using 2-bit comparator in structural method and fully synthesized in behavioral model. -The model is verified for different combination of inputs as shown in the output waveform.

2:4 DECODER
AIM: To develop a VHDL code for a 2:4 decoder.

ENTITY:

I0 2:4 DECODER I1

Y0 Y1 Y2 Y3

TRUTH TABLE

I1 0 0 1 1

I0 0 1 0 1

OUTPUT Y0 Y1 Y2 Y3

VHDL code LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY dec24d IS PORT(A,B,EN_L:IN BIT; Q0,Q1,Q2,Q3:OUT BIT); END ENTITY; ARCHITECTURE dataflow OF dec24d IS BEGIN Q0<=(NOT A)AND (NOT B) AND (NOT EN_L); Q1<=( A)AND (NOT B) AND (NOT EN_L); Q2<=(NOT A)AND (B) AND (NOT EN_L); Q3<=(A)AND (B) AND (NOT EN_L); END dataflow;

TIMING ANALYSIS:

OUTPUT WAVEFORMS:

RTL Viewer:
EN_L A B Q3~1 Q3

Q2~1 Q2

Q1~1 Q1

Q0~1 Q0

CONCLUSIONS: -2:4 decoder is realized in dataflow model of architecture and the output waveform -timing analysis is also obtained.

4 BIT PARITYCHECKER AIM:To design a 4 bit parity checker.


ENTITY:

A1 A2 A3 A4

4BIT PARITY CHECKER

TRUTH TABLE FOR PARITY CHECKER:


A1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 A2 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 A3 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 A4 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 C 1 0 0 1 0 1 1 0 0 1 1 0 1 0 0 1

VHDL CODE:
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;//Standard packages available ENTITY paritycheck IS PORT(X:IN BIT_VECTOR(3 DOWNTO 0); C:OUT BIT);//Input and output declaration END paritycheck;

ARCHITECTURE behav OF paritycheck IS//Behavioral modelling BEGIN PROCESS(X)//Sensitivity list BEGIN//Begin process IF(X="0000" OR X="0110" OR X="1100" OR X="1010" OR X="1001" OR X="0101" OR X="0011" OR X="1111") THEN C<='0'; ELSE C<='1';//Assignment of output values. END IF;//Syntax for ending if loop END PROCESS; END behav; TIMING ANALYZER..

Equal7

X[3..0]
4' hF --

A[3..0] B[3..0]

OUT

EQUAL

Equal6

A[3..0]
4' h3 --

B[3..0]

OUT

EQUAL

Equal5

A[3..0]
4' h5 --

B[3..0]

OUT

EQUAL

Equal4

A[3..0]
4' h9 --

B[3..0]

OUT

EQUAL

Equal3 process0~6
A[3..0]
4' hA --

B[3..0]

OUT

EQUAL

Equal2

A[3..0]
4' hC --

B[3..0]

OUT

EQUAL

Equal0

A[3..0]
4' h0 --

B[3..0]

OUT

EQUAL

Equal1

A[3..0]
4' h6 --

B[3..0]

OUT

EQUAL

CONCLUSIONS:

We find that when there are odd number of ones in a given number the checker output is one and when there are even number of ones the checker output is zero. This is just a parity checking code that can be used to detect but not correct error.

3-BIT PARITYGENERATOR AIM: To design a 3 bit parity generator


ENTITY:

A1 A2 A3

3BIT PARITY generator

TRUTH TABLE FOR PARITY GENERATOR: A1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 A2 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 A3 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 P 1 0 0 1 0 1 1 0 0 1 1 0 1 0 0 1

VHDL CODE:
LIBRARY IEEE;//standard library. USE IEEE.STD_LOGIC_1164.ALL; ENTITY paritygen IS PORT(X:IN BIT_VECTOR(2 DOWNTO 0); P:OUT BIT); END paritygen; ARCHITECTURE behav OF paritygen IS BEGIN PROCESS(X)//sensitivity list BEGIN IF(X="000" OR X="011" OR X="110" OR X="101") THEN P<='0'; ELSE P<='1'; END IF; END PROCESS; END behav;

Output Waveform

Timing Analysis

CONCLUSIONS: We find that when there are odd number of ones in a given number the generator output is one and when there are even number of ones the generator output is zero. This is a parity generating code that can be used to ensure that all numbers have even parity.

4X1 MULTIPLEXER
AIM: To design 4X1 multiplexer.

ENTITY:

A B C D 4:1 MUX OP

S0

S1

TRUTH TABLE
S1 0 0 1 1 S0 0 1 0 1 O/P I0 I1 I2 I3

VHDL CODE: (behavioral code)


LIBRARY IEEE;//standard library. USE IEEE.STD_LOGIC_1164.ALL;//importing standard library. USE IEEE.STD_LOGIC_ARITH.ALL; //entity declaration ENTITY 4mux1 IS PORT(A,B,C,D:IN STD_LOGIC; S0,S1: IN STD_LOGIC; Q:OUT STD_LOGIC); END 4mux1; //end of entity declaration ARCHITECTURE behave OF 4mux1 IS BEGIN PROCESS(A,B,C,D,S0,S1)//sensitivity list. BEGIN IF S0='0' AND S1='0' THEN Q<='A'; ELSIF SO='1' AND S1='0' THEN Q<='B'; ELSIF SO='0' AND S1='1' THEN Q<='C'; ELSE Q<='D'; END IF; END PROCESS; END behave;//end of architecture.

Output waveform...

Timing analysis:

RTL-view:

D S0 S1 C

Z~9

Z~6

Z~1

Z~10 Z

Z~3

Conclusions:
-4X1 multiplexer was realized using behavioral model as the architecture. - The 4X1 multiplexer verified for various combination of inputs.

16X1 MULTIPLEXER USING 4X1 MULTIPLEXER AIM: To design 16X1 multiplexer using 4X1 multiplexer.
ENTITY:

I0 I1 I2 I3

4X1 mux

I4 I5 I6 I7

4X1 mux 4X1 mux

I8 I9 I10 I11

4X1 mux

S2

S3

I12 I13 I14 I15

4X1 mux

S0 S1 BASIC COMPONENT:

4X1 multiplexer: TRUTH TABLE : 1)BASIC COMPONENT: S1 O/P S0 0 0 1 1 2)ENTITY: S3 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 VHDL CODE: S2 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 S1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 S0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 O/P I0 I1 I2 I3 I4 I5 I6 I7 I8 I9 I10 I11 I12 I13 I14 I15 0 1 0 1 I0 I1 I2 I3

LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL;//Standard library packages ENTITY MUX161s IS PORT(A:IN STD_LOGIC_VECTOR(15 DOWNTO 0); S: IN STD_LOGIC_VECTOR(3 DOWNTO 0); Z:OUT STD_LOGIC);//input and output declaration END MUX161s; ARCHITECTURE struc OF MUX161s IS SIGNAL Z1,Z2,Z3,Z4:STD_LOGIC; COMPONENT mux41b IS//Basic component PORT(A,B,C,D,S0,S1:IN STD_LOGIC; Q:OUT STD_LOGIC); END COMPONENT; BEGIN

M1:mux41b M2:mux41b M3:mux41b M4:mux41b M5:mux41b END struc;

PORT PORT PORT PORT PORT

MAP(A(0),A(1),A(2),A(3),S(0),S(1),Z1); MAP(A(4),A(5),A(6),A(7),S(0),S(1),Z2); MAP(A(8),A(9),A(10),A(11),S(0),S(1),Z3); MAP(A(12),A(13),A(14),A(15),S(0),S(1),Z4); MAP(Z1,Z2,Z3,Z4,S(2),S(3),Z);//mapping

nott:N1 s[0..1]

andd3:A0 orr4:O
a13

a22

a23

a14 a15

a16

a17 a18 a19 a20 a21

nott:N2

a22

a23

andd3:A1 andd3:A4
a13 a14 a15 a16 a13 a14 a15 a16

andd3:A3 I[0..3]
a13 a14 a15 a16

CONCLUSIONS: We find that we can realize 16:1 mux using four 4:1muxes.The higher order selection lines are used to select one of the outputs from each of the four basic muxes and the lower ones as selection inputs to the basic multiplexers. Thus one of the 16 input lines is selected.

3:8 DECODER USING 2:4 DECODER


Aim: To realize 3:8 decoder using 2:4 decoder. Entity:
Q0 Q1 Q2 Q3 Q4 Q5 Q6 Q7

A B C 3:8 DECODER

TRUTH TABLE: 3:8 DECODER A 0 0 0 0 1 1 1 1 B 0 0 1 1 0 0 1 1 C 0 1 0 1 0 1 0 1 Q0 1 0 0 0 0 0 0 0 Q1 0 1 0 0 0 0 0 0 Q2 0 0 1 0 0 0 0 0 Q3 0 0 0 1 0 0 0 0 Q4 0 0 0 0 1 0 0 0 Q5 0 0 0 0 0 1 0 0 Q6 0 0 0 0 0 0 1 0 Q7 0 0 0 0 0 0 0 1

VHDL CODE: LIBRARY IEEE;//standard library USE IEEE.STD_LOGIC_1164.ALL;//importing the libraray //entity declaration... ENTITY decoder38 IS PORT(A,B,C:IN BIT; Q0,Q1,Q2,Q3,Q4,Q5,Q6,Q7:OUT BIT); END ENTITY; //end of entity declaration.

ARCHITECTURE struc OF decoder38 IS //component declaration.. COMPONENT decoder24 IS PORT(S0,S1,EN_L:IN BIT;Q0,Q1,Q2,Q3:OUT BIT); END COMPONENT; COMPONENT INVERT PORT(A:IN BIT;B:OUT BIT); END COMPONENT; //signal declaration.. SIGNAL CINV:BIT; BEGIN I0:INVERT PORT MAP(C,CINV); D0:decoder24 PORT MAP(A,B,C,Q0,Q1,Q2,Q3); D1:decoder24 PORT MAP(A,B,CINV,Q4,Q5,Q6,Q7); END struc;//

RTL View:
INVERT:I0 C

decoder24:D1
EN_L S0 S1 Q0 Q1 Q2 Q3

Q4 Q5 Q6 Q7

decoder24:D0
EN_L Q0 Q1 Q2 Q3

A B

S0 S1

Q0 Q1 Q2 Q3

Output Waveform:

Timing Analysis:

Conclusion:
-we can realize higher order decoder using lower order decoder. -The decoder truth table was verified by giving different inputs.

D FLIPFLOP
AIM: To develop a VHDL code for D flipflop.

ENTITY:

Clk

Q'

RESET

TRUTH TABLE T 0 0 1 1 Qin 0 1 0 1 OUTPUT 0 0 1 1

VHDL CODE

LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY ffD IS PORT(D,CLK,RESET:IN BIT; Q,QINV:OUT BIT); END ffD; ARCHITECTURE behav OF ffD IS BEGIN PROCESS BEGIN WAIT UNTIL CLK='1' AND CLK 'EVENT; IF(RESET='1') THEN Q<='0';QINV<='1'; ELSIF D='1' THEN Q<='1';QINV<='0'; ELSE Q<='0';QINV<='1'; END IF; END PROCESS; END behav;

Output waveform:

Timing analysis:

RTL-viewer:
RESET D
0 0 1

Q~reg0
PRE D Q

Q~0 CLK

ENA CLR

QINV~reg0
0 1 1 PRE D Q

QINV

QINV~0

ENA CLR

Conclusion:
-The truth table for delay flip-flop is verified. -also the RTL and timing analysis were obtained.

T -FLIPFLOP
AIM: To develop a VHDL code for T flipflop. ENTITY:

Clk

Q'

RESET

TRUTH TABLE T 0 0 1 1 VHDL CODE LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY ffT IS PORT(T,CLK,RESET:IN BIT; Q,QINV:OUT BIT); END ffT; ARCHITECTURE behav OF ffT IS SIGNAL S:BIT; BEGIN PROCESS BEGIN WAIT UNTIL CLK='1' AND CLK 'EVENT; IF(RESET='1')THEN S<='0'; ELSIF T='1' THEN S<=NOT S; Qin 0 1 0 1 OUTPUT 0 1 1 0

END IF; END PROCESS; Q<=S; QINV<=NOT S; END behav;

Output waveform:

Timing analysis:

RTL view:
T S
0 1 0 0 1 PRE D Q

S~0 CLK RESET

Q QINV

S~1

ENA CLR

Conclusion: -The truth table is verified for the toggle flip-flop. -RTL-viewer and timing analysis is also obtained.

4-bit ASYNCHRONOUS UP-DOWN COUNTER


AIM: To develop a VHDL code for a four bit asynchronous up-down counter. ENTITY: Vcc

T0 Q0
I0

T1 Q1
I0

Q0*

I1

Q1*

I1

Sel

Q3

T3
I0

Q2

T2

Q3*

I1

Q2*

BASIC COMPONENTS: (1) T-FLIPFLOP (2) 2:1 MULTIPLEXER

TRUTH TABLE FOR BASIC COMPONENTS: T-FLIPFLOP: I/P 0 1 2:1 MULTIPLEXER: Input lines: I0, I1 Sel 0 1 VHDL Code: library ieee; use ieee.std_logic_1164.all;//standard library packages entity bit4audcounter is port(s,clk:in std_logic;q:inout std_logic_vector(3 downto 0));//i/p and o/p declaration end bit4audcounter; architecture struc of bit4audcounter is component mux21//declaration of basic components used port(a,b,s:in std_logic;y:out std_logic); end component; component fft port(t,clk,reset:in std_logic;q,q_inv:inout std_logic); end component; signal m:std_logic_vector(2 downto 0); signal q_inv:std_logic_vector(3 downto 0); begin //mapping t0:fft port map('1',clk,'0',q(0),q_inv(0)); m0:mux21 port map(q(0),q_inv(0),s,m(0)); t1:fft port map('1',m(0),'0',q(1),q_inv(1)); m1:mux21 port map(q(1),q_inv(1),s,m(1)); t2:fft port map('1',m(1),'0',q(2),q_inv(2)); m2:mux21 port map(q(2),q_inv(2),s,m(2)); t3:fft port map('1',m(2),'0',q(3),q_inv(3)); end struc; PS Qn Qn NS Qn Qn*

Z I0 I1

RTL Viewer:
ffta:T0 CLK
0 1 CLK RESET T Q QINV

mux21:M0 ffta:T1
A B S Q 0 1 CLK RESET T Q QINV

mux21:M1 ffta:T2
A B S Q 0 1 CLK RESET T Q QINV

mux21:M2 ffta:T3
A B S Q 0 1 CLK RESET T Q

Q[3..0]

OUTPUT WAVEFORM:

TIMING ANALYSIS:

Conclusions: We find that the counter acts as an up counter when s=1 and down counter when s=0. We also verify that output of one flipflop actys as the clock for the next..thus resulting in uniform counting.

4-bit SYNCHRONOUS UP-DOWN COUNTER


AIM: To develop a VHDL code for a four bit synchronous up-down counter. ENTITY:
Q1

Vcc

Q0

Q2 Q3

BASIC COMPONENTS: (1) T-FLIPFLOP (2) 2:1 MULTIPLEXER (3) AND

TRUTH TABLE FOR BASIC COMPONENTS: T-FLIPFLOP: I/P 0 1 2:1 MULTIPLEXER: Input lines: I0, I1 Sel Z 0 1 I0 I1 PS Qn Qn NS Qn Qn*

2 INPUT AND GATE Input Lines A 0 0 1 1

B 0 1 0 1

OUTPUT 0 0 0 1

VHDL Code: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;//standard library packages ENTITY counter4uds IS PORT(CLK,S:IN BIT; M:OUT BIT_VECTOR(3 DOWNTO 0));//i/p and o/p declaration END counter4uds; ARCHITECTURE struc OF counter4uds IS COMPONENT ffta //declaration of basic components PORT (T,CLK,RESET:IN BIT;Q,QINV:OUT BIT); END COMPONENT; COMPONENT mux21 IS PORT(A,B,S:IN BIT;Q:OUT BIT); END COMPONENT; COMPONENT and2bit PORT(A,B:IN BIT;C:OUT BIT); END COMPONENT; SIGNAL Q_INV,Q:BIT_VECTOR(3 DOWNTO 0); SIGNAL A:BIT_VECTOR(2 DOWNTO 0);

BEGIN//mapping T0:ffta PORT MAP('1',CLK,'0',Q(0),Q_INV(0)); A0:and2bit PORT MAP('1',Q(0),A(0)); T1:ffta PORT MAP(A(0),CLK,'0',Q(1),Q_INV(1)); A1:and2bit PORT MAP(A(0),Q(1),A(1)); T2:ffta PORT MAP(A(1),CLK,'0',Q(2),Q_INV(2)); A2:and2bit PORT MAP(A(1),Q(2),A(2)); T3:ffta PORT MAP(A(2),CLK,'0',Q(3),Q_INV(3)); MO:mux21 PORT MAP(Q(0),Q_INV(0),S,M(0)); M1:mux21 PORT MAP(Q(1),Q_INV(1),S,M(1)); M2:mux21 PORT MAP(Q(2),Q_INV(2),S,M(2)); M3:mux21 PORT MAP(Q(3),Q_INV(3),S,M(3)); END struc;

RTL Viewer:
and2bit:A0 ffta:T0 CLK
0 1
1 A B

and2bit:A1
A

ffta:T3 and2bit:A2
CLK Q QINV

mux21:M3
A B S Q

CLK RESET T

Q QINV

ffta:T1
CLK Q QINV

RESET T

ffta:T2
CLK Q QINV

M[3..0]

mux21:MO
A B Q

RESET T

mux21:M1
A B S Q

RESET T

mux21:M2
A B S Q

Output waveform:

Timing Analysis:

CONCLUSIONS: We find that the synchronous up down counter is driven by a common clock and thus there is uniform propagation delay. We also verify that this counter acts like an up counter when s=0 and down counter when s=1

You might also like