Professional Documents
Culture Documents
Title:: Vlsi Design and Technology Tutorial - 1
Title:: Vlsi Design and Technology Tutorial - 1
AIM: Modeling of Basic Gates and Verify on ESDK kit 1) AND GATE:
VHDL PROGRAM:
library ieee; use ieee.std_logic_1164.all; entity and1 is port (a,b : in std_logic ; c : out std_logic); end and1; architecture and11 of and1 is begin c <= a and b; end and11;
COMPILATION REPORT:
VECTOR WAVEFORM:
TUTORIAL -1
COMPILATION REPORT:
VECTOR WAVEFORM:
TUTORIAL -1
COMPILATION REPORT:
VECTOR WAVEFORM:
TUTORIAL -1
COMPILATION REPORT:
VECTOR WAVEFORM:
TUTORIAL -1
COMPILATION REPORT:
VECTOR WAVEFORM:
TUTORIAL -1
COMPILATION REPORT:
VECTOR WAVEFORM:
TUTORIAL -1
COMPILATION REPORT:
VECTOR WAVEFORM:
TUTORIAL -1
Conclusion:
Grade
Lab-In-Charge
H.O.D.