Professional Documents
Culture Documents
VHDL Code For 4-Bit Siso
VHDL Code For 4-Bit Siso
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity D_FF is
Port ( clk : in STD_LOGIC;
reset : in STD_LOGIC;
Din: in STD_LOGIC;
Qout,Qbar:out STD_LOGIC);
end D_FF;
architecture Behavioral of D_FF is
begin
process(clk,reset)
begin
if reset='1'then
Qout<='0';
Qbar<='0';
else if clk'event and clk='1' then
Qout<=Din;
Qbar<=not (Din);
end if;
end if;
end process;
end Behavioral;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity sso is
Port ( clk : in STD_LOGIC;
reset : in STD_LOGIC;
s_in : in STD_LOGIC;
s_out:out STD_LOGIC);
end sso;
architecture Behavioral of sso is