Download as pdf or txt
Download as pdf or txt
You are on page 1of 30

A

M SI

CHIPSET P4M800_CE + VT8237_PLUS

MS-7211 Ver:0A

SHEET

TITLE

CPU:
Intel Prescott LGA775 -Mainstream CPU
System Chipset:
North Bridge : VIA P4M800 Ver:CE
South Bridge : VIA VT8237R Plus
On Board Chipset:
LPC Super I/O -- W83627EHF
LAN --- RTL 8100C (10/100) / 8110SB (Giga)
AC'97 Codec --Realtek ALC655
BIOS --LPC FLASH ROM

COVER SHEET

BLOCK DIAGRAM

PWR And CLOCK Map

GPIO/MEMORY/PCI/HW STRPPING

PROCESSOR ( Intel LGA775)

5,6,7

NORTH BRIDGE P4M800_CE

8,9,10,11

DIMM1&2

12

DIMM1&2 Terminations

13

AGP SLOT

14

VGA Connector

15

CLOCK Chip :
CLOCK Generator -- Realtek RTM862-520
/ ICS ICS950917AF

Clock Generator RTM862-520 / ICS950917AF

16

PCI Slot 1 & 2

20

Main Memory:
DDR * 2 (Max 2GB)

Realtek 8100C/8110SB

21

Super I/O & FAN 83627EHF-D

22

Expansion Slots:
PCI 2.3 SLOT * 2

AC97 Realtek ALC655

23

IDE Connectors , KB/MS

24

USB Connectors

25

COM / Parallel Port

26

MS7 ACPI Controller

27

VRM 10.1 - Intersil 6566_3 Phases

28

ATX & F_Panel & BIOS

29

PCB Components & EMI

30

SOUTH BRIDGE (VT8237R Plus)

PWM:
VRM10.1 Intersil 6566 3Phase
2

17,18,19

MICRO-START INT'L CO.,LTD.


Title

C O VER SHEET
S ize
D o c u m e n t Number
Custom
M S-7211
Date:
A

Rev

0A

Thursday, July 28, 2005


E

S h eet

of

32

Block Diagram
mainstream

VRM 10.1
Intersil 6566
3Phase

Intel Prescott Processor-LGA775

TDP=84W
Iccmax=78A
Icctdc=68A

FSB

AGP 1.5V
Connector

2X/4X/8X

64bit DDR

P4M800_CE

2 DDR
DIMM
Modules

VCORE= +2.5VNB
VDIMM= +2.5VDIMM
VDDQ= +1.5VAGP
VLINK= +2.5V

V-Link/8bits/S533M

IDE Primary

UltraDMA 33/66/100

USB Port 0
USB Port 1
USB Port 2

PCI

VCC25= +2.5V
VCC33= +3.3V

LAN
Realtek
8100C/8110SB

PCI Slot 2

PCI ADDR/DATA

VT8237_PLUS

PCI Slot 1

IDE Secondary

PCI CNTRL

USB
LPC Bus

USB Port 3
USB Port 4

LPC SIO
W inbond
83627EHF

USB Port 5
USB Port 6
USB Port 7

LPC FLASH
ROM

AC'97 Link

AC'97 Codec

SATA prot1 and


port2

FDD

Parallel

Serial
X2

KEYBOARD
MOUSE

MICRO-START INT'L CO.,LTD.


Title

BLOCK DIAGRAM
Size
D o cument Number
Custom
MS-7211
Date:
1

Thursda y, July 28, 2005

Rev

0A
Sheet

of

32

P4M800_CE PLATFORM POWER DELIVERY MAP

P4M800 PLATFORM CLOCK GENERATOR MAP

3.3V

5V

5VSB

12V

PROCESSOR VCCP 1.2V~1.425V


VRM

PROCESSOR 1.2V

Intel LGA775 Processor


1.2V VREG

CPU HOST
CLK

AGP SLOT 1.5V


2.5V VREG

NB
P4M800_CE

GUICK
DCLKO
DCLKI

NORTH BRIDGE VCCP

GCLK_NB 66MHz
1.5V VREG

NORTH BRIDGE VCC_AGP


3A

NORTH BRIDGE +2.5V

CLOCK GENERATOR

3VSB VREG

2 DDR DIMM
Modules

MEM CLK
0~5/CLK#0~5

14.318MHZ

33MHz
48MHZ

NORTH BRIDGE SYSEM MEMORY


VCC_DDR

DDR 2.5V
VREG

DDR DIMM1 / DIMM2 / DIMM3 2.5V


VTT 1.25V
VREG

SB14MHz
APIC

USB

VT8237R_PLUS

VCLK

2.5V VREG
SOUTH BRIDGE +2.5V

550mA Vlink=70mA

150mA

SOUTH BRIDGE VCC3

SPCLK
FWH_CLK

DDR VTT 1.25V

2.5VSB
VREG

FWH

SOUTH BRIDGE RESUME 2.5V_SB

10mA

SOUTH BRIDGE RESUME VCC3_SB

120mA

SOUTH BRIDGE RTC 3.3V


PCI CLK 1~2

PCI Slot 1~2


LAN VCC3_SB
Realtek 8100C/8110SB

25MHZ from Crystal

FWH 3.3V

SIOPCLK
SIO48MHZ

LPC SIO
Winbond
83627EHF-E

LPC SUPER I/O 3.3V


LPC SUPER I/O VCC5

AC97XIN

AGP CLK

CK-409 3.3V

AL C655

AC97 VDD5
VREG

AGP SLOT

AC97 VDD5

+12V : 0.1U 25V X 5


+12V_MOS: 4.7U 35V X 1
1U 16V X 2
1000U 16V X 4

MICRO-START INT'L CO.,LTD.


Title

PWR And CLOCK Map


Size
Document Number
Custom
MS-7211
Date:
8

Thursday, July 28, 2005


2

Rev

0A
Sheet

of
1

32

VT8237R_PLUS
PIN NAME

Default
Function

GPIO Function Define

Function define

Default
Function

PIN NAME

GPO0 (VDDS)

GPO0

4.7K ohm Pull up to VCC3_SB

GPO1(VDDS)

GPO1

4.7K ohm Pull up to VCC3_SB

GPO2/SUSA#
(VDDS)

SUSA#

4.7K ohm Pull up to VCC3_SB

GPO3/SUSST#(VDDS)

SUSST#

4.7K ohm Pull up to VCC3_SB

GPO4/SUSCLK(VDDS)

SUSCLK

4.7K ohm Pull up to VCC3_SB

GPI0
(VBAT)
GPI1
(VSUS3)
GPI2/EXTSMI#
(VSUS3)
GPI3/RING#
(VSUS3)
GPI4/LID#
(VSUS3)

GPO5/CPUSTP#

CPUSTP#

4.7K ohm Pull up to VCC3

GPI5/BATLOW# (VDDS) BATLOW#

GPO6/PCISTP#

PCISTP#

4.7K ohm Pull up to VCC3

GPI6/AGPBZ

USB

Function define

GPI0

1M

GPI1

ATADET0=>Detect IDE1 ATA100/66

EXTSMI#

4.7K ohm Pull up to VCC3_SB

RING#

RING# 4.7K ohm Pull up to VCC3_SB

LID#

ATADET1=>Detect IDE2 ATA100/66

Port

ohm Pull up to VBAT

DATA +/-

I1394_USB1

USB1USB1+
USB0USB0+

LAN_USB1

USB2USB2+
USB3USB3+

JUSB1

USB4USB4+
USB6USB6+

JUSB2

USB5USB5+
USB7USB7+

Rear

Front

8.2K ohm Pull up to VCC3

* GPO8/GPI8/VGATE

GPI8

4.7K ohm Pull up to VCC3

* GPI8/VGATE

GPI8

4.7K ohm Pull up to VCC3

* GPO9/GPI9/UDPWREN

UDPWREN

NC

* GPI9/UDPWREN

UDPWREN

NC

* GPO10/GPI10/PICD0

GPI10

1K ohm Pull up to VCC3

* GPI10/PICD0

GPI10

1K ohm Pull up to VCC3

* GPO11/GPI11/PICD1

GPI11

1K ohm Pull up to VCC3

* GPI11/PICD1

GPI11

1K ohm Pull up to VCC3

* GPO12/GPI12/INTE#

GPI12

8.2K ohm Pull up to VCC3

* GPI12/INTE#

GPI12

8.2K ohm Pull up to VCC3

* GPO13/GPI13/INTF#

GPI13

8.2K ohm Pull up to VCC3

* GPI13/INTF#

GPI13

8.2K ohm Pull up to VCC3

* GPO14/GPI14/INTG#

GPI14

8.2K ohm Pull up to VCC3

* GPI14/INTG#

GPI14

8.2K ohm Pull up to VCC3

* GPO15/GPI15/INTH#

GPI15

8.2K ohm Pull up to VCC3

* GPI15/INTH#

GPI15

8.2K ohm Pull up to VCC3

GPO20/GPI20
/ACSDIN2/PCS0#

GPI20/ACSDIN2

GPO21/GPI21/ACSDIN3
/PCS1#/SLPBTN#

GPI21/ACSDIN3

GPO22/GPI22/GHI#

GPI7/REQ5

OC#4

4.7K ohm Pull up to VCC3

GPO7

GPO7/GNT5

GPI7

8.2K ohm Pull up to VCC3

GPI16/INTRUDER#
(VBAT)

INTRUDER#

1M ohm Pull up to VBAT

4.7K ohm Pull down

GPI17/CPUMISS

CPUMISS

4.7K ohm Pull up to VCC3_SB

GPI22

4.7K ohm Pull up to VCC3

GPI18/AOLGP1/THRM#

AOLGP1

4.7K ohm Pull up to VCC3_SB

GPO23/GPI23/DPSLP

GPI23

4.7K ohm Pull up to VCC3

GPI19/APICCLK

APICCLK

APICCLK

Signals
PCIRST#1
PCIRST#2
HD_RST#

Target
PCI slot 1-2
NB , Super I/O , LPC, LAN
Primary, Scondary IDE

DDR DIMM Config.


DEVICE

ADDRESS

DIMM 1

1010000B

GPO24/GPI24 /GPIOA

GPI24

BSEL1

GPI25

4.7K ohm Pull down

GPO26/GPI26/SMBDT2
(VDDS)

SMBDT2

2.7K ohm Pull up to VCC3_SB

GPO27/GPI27/SMBCK2
(VDDS)

SMBCK2

2.7K ohm Pull up to VCC3_SB

GPO28/GPI28/VIDSEL

GPO28
/VIDSEL

SATA_LED

GPO29/GPI29/VRDSLP

GPO29
/VRDSLP

4.7K ohm Pull down

GPO30/GPI30 /GPIOC

GPI30

BSEL0

GPO31/GPI31 /GPIOD

GPI31

4.7K ohm Pull down

( OC#4~7 )

PCI RESET DEVICE

4.7K ohm Pull down

GPO25/GPI25 /GPIOB

OC#1
( OC#0~3 )

4.7K ohm Pull up to VCC3_SB

AGPBZ

OC#

DIMM 2

1010001B

CLOCK
DCLKA0/MDCLKA#0
DCLKA1/MDCLKA#1
DCLKA2/MDCLKA#2
DCLKA3/MDCLKA#3
DCLKA4/MDCLKA#4
DCLKA5/MDCLKA#5

PCI Config.
DEVICE
PCI Slot 1

PCI Slot 2

MCP1 INT Pin


PIRQ#B
PIRQ#C
PIRQ#D
PIRQ#A
PIRQ#C
PIRQ#D
PIRQ#A
PIRQ#B

PIRQ#E

REQ#/GNT#
PCIREQ#1
PCIGNT#1

IDSEL
AD19

CLOCK
PCI_CLK1

PCIREQ#2
PCIGNT#2

AD20

PCI_CLK2

15

AD17

LAN_CLK

11

PCIREQ#0
PCIGNT#0

LAN 8110SB

CLK GEN PIN OUT


14

MICRO-START INT'L CO.,LTD.


Title

GPIO/MEMORY/PCI/HW STRPPING
Size
D o cument Number
Custom

Rev

MS-7211

Date:
1

Thursday, July 28, 2005

0A
Sheet

of

32

CPU SIGNAL BLOCK


VCC_V RM_SENSE [28]
VSS_VRM _SENSE [28]
TP3

[6,19] FERR#
[19] STP CLK#
[19]

HINIT#

HDB SY#
HDRDY#
HTRDY#

B2
C1
E3

HADS#
HLOCK#
HBNR#
HIT#
HITM#
HBPRI#
HDE FER#

D2
C3
C2
D4
E4
G8
G7

[8]
[8]
[8]

VTT_OU T_RIGHT

[8]
[8]
[8]
[8]
[8]
[8]
[8]
RN6B
RN4A
RN6A
RN4C
RN6D

3
1
1
5
7

4
2
2
6
8

8P4R-51R
8P4R-51R
8P4R-51R
8P4R-51R
8P4R-51R

H_ TDI AD1
H _TDO AF1
H_TMS AC1
H_TRS T#AG1
H_TCK AE1

AL1
AK1
M2
AE8
AL2
N2
P2
K3
L2

[22] CPU _TMPA


[22] VTIN_GND
[6,29] THERMTRIP#
[18] CPUMISS
[6] PRO CHOT#
[19] IGNNE#
[19] SMI#
[19]
A20M#
[19]
SLP#

AH2
N5
AE6
C9
G10
D16
A20

VTT_OU T_RIGHT

C30

V TT_OUT_RIGHT

R56

X_C0.1U25Y

X_1KR

CPU_BOOT

don't support willameter


B

G29
H30
G30

[6,16] H_BSL0
[6,16] H_BSL1
[6]
H_BSL2
[6,27] CPU_GD

N1

[6,8] CPURST#

G23
HD#63
HD#62
HD#61
HD#60
HD#59
HD#58
HD#57
HD#56
HD#55
HD#54

B22
A22
A19
B19
B21
C21
B18
A17
B16
C18

AJ3
AK3

AN3
AN4
AN5
AN6

AC2

BPM5#
BPM4#
BPM3#
BPM2#
BPM1#
BPM0#

DBSY#
DRDY#
TRDY#

PCREQ#
REQ4#
REQ3#
REQ2#
REQ1#
REQ0#

ADS#
LOCK#
BNR#
HIT#
HITM#
BPRI#
DEFER#

TESTHI12
TESTHI11
TESTHI10
TESTHI9
TESTHI8
TESTHI7
TESTHI6
TESTHI5
TESTHI4
TESTHI3
TESTHI2
TESTHI1
TESTHI0
RSVD
RSVD

TDI
TDO
TMS
TRST#
TCK
THERMDA
THERMDC
THERMTRIP#
GND/SKTOCC#
PROCHOT#
IGNNE#
SMI#
A20M#
SLP#

BCLK1#
BCLK0#
RS2#
RS1#
RS0#

RSVD
RESERVED0
RESERVED1
RESERVED2
RESERVED3
RESERVED4
RESERVED5

AP1#
AP0#
BR0#
COMP5
COMP4
COMP3
COMP2
COMP1
COMP0

BOOTSELECT
LL_ID0
LL_ID1
BSEL0
BSEL1
BSEL2

DP3#
DP2#
DP1#
DP0#

PWRGOOD
RESET#
D63#
D62#
D61#
D60#
D59#
D58#
D57#
D56#
D55#
D54#

VCCP

AM7
AM5
AL4
AK4
AL6
AM3
AL5
AM2
VID_SELECT
GTLREF0
GTLREF1
GTLREF_SEL

ADSTB1#
ADSTB0#
DSTBP3#
DSTBP2#
DSTBP1#
DSTBP0#
DSTBN3#
DSTBN2#
DSTBN1#
DSTBN0#
LINT1/NMI
LINT0/INTR

R32

AN7
H1
H2
H29
AG3
AF2
AG2
AD2
AJ1
AJ2

H_BPM#5
H_BPM#4
H_BPM#3
H_BPM#2
H_BPM#1
H_BPM#0

G5
J6
K6
M6
J5
K4

H REQ#4
H REQ#3
H REQ#2
H REQ#1
H REQ#0

W2
P1
H5
G4
G3
F24
G24
G26
G27
G25
F25
W3
F26
AK6
G6

62R0402

CPU_GTLRE F0
CPU_GTLRE F1
GTLREF_SEL

H_TESTHI12
H_TESTHI11
H_TESTHI10
H_TESTHI9
H_TESTHI8

RN3A
RN4B
RN4D
RN6C
RN3B
RN3C

CPU_G TLREF0 [6]


CPU_G TLREF1 [6]
TP8

2
4
8
6
4
6

1
3
7
5
3
5

HREQ#[0..4] [8]

R50
RN13A 1
RN13B 3
RN13D 7
RN13C 5

G28
F28

R84
R55
R85
R44
R74

2
4
8
6

62R
8P4R-62R
8P4R-62R
8P4R-62R
8P4R-62R

H RS#2
H RS#1
H RS#0

U3
U2
F3
T2
J2
R1
G2
T1
A13

H_COMP5
H_COMP4
H_COMP3
H_COMP2
H_COMP1
H_COMP0

J17
H16
H15
J16

TP6
TP7
TP4
TP5

AD5
R6
C17
G19
E12
B9
A16
G20
G12
C8
L1
K1

-HAP1
-HAP0
R59
R65
R62
R75
R60
R86

VTT_OUT_ LEFT

V_FSB_V TT
VTT_OU T_RIGHT

[16]
[16]

HRS#[0..2]

-HAP1
-HAP0

62R
62R
62R
X_62R
X_62R

CPUCLK#
CPUCLK

A3
F5
B3

VTT_OU T_RIGHT

8P4R-51R
8P4R-51R
8P4R-51R
8P4R-51R
8P4R-51R

TP9

H_TESTHI2 _7
H_TESTHI1
H_TESTHI0
RSVD_AK6
RSVD_G6

[8]
[8]
60.4R1%
60.4R1%
60.4R1%
60.4R1%
60.4R1%
60.4R1%

[8]

HBR#0
[6,8]
VTT_OUT_ LEFT
C24
X_C0.1U25Y
B

PLACE RESISTORS OUTSIDE SOCKET


CAVITY IF NO ROOM FOR VARIABLE
RESISTOR DON'T PLACE
HAD STB#1
HAD STB#0
HDS TBP#3
HDS TBP#2
HDS TBP#1
HDS TBP#0
HDSTBN#3
HDSTBN#2
HDSTBN#1
HDSTBN#0
NMI_SB
INTR

[8]
[8]
[8]
[8]
[8]
[8]
[8]
[8]
[8]
[8]
[19]
[19]

100

VTT_OUT_ LEFT
RN8
SMI#
HINIT#
IGN NE#
STPC LK#
NMI_SB
SLP#
A20M#
I NTR

HD#[0..63]
H D#53 B15
H D#52 C14
H D#51 C15
H D#50 A14
H D#49 D17
H D#48 D20
H D#47 G22
H D#46 D22
H D#45 E22
H D#44 G21
H D#43 F21
H D#42 E21
H D#41 F20
H D#40 E19
H D#39 E18
H D#38 F18
H D#37 F17
H D#36 G17
H D#35 G18
H D#34 E16
H D#33 E15
H D#32 G16
H D#31 G15
H D#30 F15
H D#29 G14
H D#28 F14
H D#27 G13
H D#26 E13
H D#25 D13
H D#24 F12
H D#23 F11
H D#22 D10
H D#21 E10
H D#20 D7
H D#19 E9
H D#18 F9
H D#17 F8
H D#16 G9
H D#15 D11
H D#14 C12
H D#13 B12
H D#12 D8
H D#11 C11
HD#10 B10
HD#9 A11
HD #8 A10
HD #7
A7
HD #6
B7
HD #5
B6
HD #4
A5
HD #3 C6
HD #2
A4
HD #1 C5
HD #0
B4

[8]

Y1
V2
AA2

EDRDY#
IERR#
MCERR#
FERR#/PBE#
STPCLK#
BINIT#
INIT#
RSP#

ITP_CLK1
ITP_CLK0

F2
AB2
AB3
R3
M3
AD3
P3
H4

DBR#

-EDRDY
IERR#

DBI0#
DBI1#
DBI2#
DBI3#

RSVD
RSVD
VCC_SENSE
VSS_SENSE

AJ6
AJ5
AH5
AH4
AG5
AG4
AG6
AF4
AF5
AB4
AC5
AB5
AA5
AD6
AA4
Y4
Y6
W6
AB6
W5
V4
V5
U4
U5
T4
U6
T5
R4
M4
L4
M5
P6
L5

HA#33
HA#32
HA#31
HA#30
HA#29
HA#28
HA#27
HA#26
HA#25
HA#24
HA#23
HA#22
HA#21
HA#20
HA#19
HA#18
HA#17
HA#16
HA#15
HA#14
HA#13
HA#12
HA#11
HA#10
HA#9
HA#8
HA#7
HA#6
HA#5
HA#4
HA#3

A8
G11
D19
C20

D53#
D52#
D51#
D50#
D49#
D48#
D47#
D46#
D45#
D44#
D43#
D42#
D41#
D40#
D39#
D38#
D37#
D36#
D35#
D34#
D33#
D32#
D31#
D30#
D29#
D28#
D27#
D26#
D25#
D24#
D23#
D22#
D21#
D20#
D19#
D18#
D17#
D16#
D15#
D14#
D13#
D12#
D11#
D10#
D9#
D8#
D7#
D6#
D5#
D4#
D3#
D2#
D1#
D0#

H DBI#0
H DBI#1
H DBI#2
H DBI#3

A35#
A34#
A33#
A32#
A31#
A30#
A29#
A28#
A27#
A26#
A25#
A24#
A23#
A22#
A21#
A20#
A19#
A18#
A17#
A16#
A15#
A14#
A13#
A12#
A11#
A10#
A9#
A8#
A7#
A6#
A5#
A4#
A3#

U5A

TP14
[6]
IERR#

[28]

V ID5
V ID4
V ID3
V ID2
V ID1
V ID0

[8] HDBI#[0..3]

VID[0..5]

HA#[3..33]

VID7#
VID6#
VID5#
VID4#
VID3#
VID2#
VID1#
VID0#

[8]

ZIF-S OCK775-15u

1
2
3
4
6
7
8
9

1 5
2
3
4
6
7
8
9 10

10

10P8R-150R

R43
X_4.7KR

[18,22] THERM#

THERM# C

MICRO-START INT'L CO.,LTD.


E P ROCHOT#

Title

INTEL LGA775 - SIGNALS

Q4 X_N-MMB T3904_NL_SOT23

Size
D o cument Number
Custom
MS-7211
Date:
8

Thursda y, July 28, 2005


2

Rev

0A
Sheet

5
1

of

32

VCCP

U5B

VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC

VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTTPWRGD
VTT_OUT_RIGHT
VTT_OUT_LEFT
VTT_SEL

VTT_OU T_RIGHT

V TT_OUT_RIGHT

R66

49.9R1%0402

R70

10R0402-LF CPU_GTLRE F0

R69

CPU_G TLREF0 [5]

H_VCCIOPLL

A25
A26
A27
A28
A29
A30
B25
B26
B27
B28
B29
B30
C25
C26
C27
C28
C29
C30
D25
D26
D27
D28
D29
D30
AM6 VTT_PWG

AA1 V TT_OUT_RIGHT
VTT_OUT_LEFT
J1
F27
F29

VTT_SEL

VTT_OU T_RIGHT
VTT_OUT_ LEFT
R87

X_1KR

VCC3

ZIF-SO CK775-15u
0

TEJ/PSC

RSVD

PLACE COMPONENTS AS CLOSE AS POSSIBLE TO PROCESSOR SOCKET


TRACE WIDTH TO CAPS MUST BE SMALLER THAN 12MILS
L7 X_10U1 00m_0805
V_FSB_VTT

H_VCCIOPLL

C42
C43

HS1
HS2
HS3
HS4

RSVD/VTT_PKGSENSE

Y8
Y30
Y29
Y28
Y27
Y26
Y25
Y24
Y23
W8
W30
W29
W28
W27
W26
W25
W24
W23
V8
U8
U30
U29
U28
U27
U26
U25
U24
U23
T8
T30
T29
T28
T27
T26
T25
T24
T23
R8
P8
N8
N30
N29
N28
N27
N26
N25
N24
N23
M8
M30
M29
M28
M27
M26
M25
M24
M23
L8
K8
K30
K29
K28
K27
K26
K25
K24
K23
J9
J8
J30
J29
J28
J27
J26
J25
J24
J23
J22
J21
J20
J19
J18
J15
J14
J13
J12
J11
J10
AN9
AN8
AN30
AN29
AN26
AN25

VCCP

H_VCCA
H_VSSA

V_FSB_V TT

VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC

VCCA
VSSA
RSVD
VCC-IOPLL

VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC

CAPS FOR FSB GENERIC


A23
B23
D23
C23

1
2
3
4

VCCP

AF19
AF18
AF15
AF14
AF12
AF11
AE9
AE23
AE22
AE21
AE19
AE18
AE15
AE14
AE12
AE11
AD8
AD30
AD29
AD28
AD27
AD26
AD25
AD24
AD23
AC8
AC30
AC29
AC28
AC27
AC26
AC25
AC24
AC23
AB8
AA8

C10U10Y0805

AF21
AF22
AF8
AF9
AG11
AG12
AG14
AG15
AG18
AG19
AG21
AG22
AG25
AG26
AG27
AG28
AG29
AG30
AG8
AG9
AH11
AH12
AH14
AH15
AH18
AH19
AH21
AH22
AH25
AH26
AH27
AH28
AH29
AH30
AH8
AH9
AJ11
AJ12
AJ14
AJ15
AJ18
AJ19
AJ21
AJ22
AJ25
AJ26
AJ8
AJ9
AK11
AK12
AK14
AK15
AK18
AK19
AK21
AK22
AK25
AK26
AK8
AK9
AL11
AL12
AL14
AL15
AL18
AL19
AL21
AL22
AL25
AL26
AL29
AL30
AL8
AL9
AM11
AM12
AM14
AM15
AM18
AM19
AM21
AM22
AM25
AM26
AM29
AM30
AM8
AM9
AN11
AN12
AN14
AN15
AN18
AN19
AN21
AN22

V_FSB_VTT C65

100R1%0402

C1U 6.3Y50402/80-20%

C220P50N0402

C72
C1U16Y

CP1

H_VSSA

VTT_OUT_ LEFT

VTT_OUT_ LEFT R73

49.9R1%0402

R71

10R0402-LF CPU_G TLREF1

R72

CPU_G TLREF1 [5]

C48

L11
X_10U1 00m_0805

C75
C1U16Y
H_VCCA

C45
100R1%0402

C1U 6.3Y50402/80-20%

C220P50N0402

CP2

B
VTT_OUT_ LEFT

VCC 5_SB

PLACE AT CPU END OF ROUTE


VTT_OU T_RIGHT

V TT_OUT_RIGHT

R47
R45

62R
120R

CPURST#
P ROCHOT#

R63
R76

100R
62R

C PU_GD
H BR#0

R54

62R

I E R R#

R64

62R

T HERMTRIP#

R33
680R

CPU RST#
[5,8]
PROC HOT# [5]

R20
1KR

1.25V VTT_PWRGOOD
VTT_PWG

R17
VTT_OUT_ LEFT

VTT_OUT_LEFT

CPU_GD
HBR#0

[5,27]
[5,8]

IERR#

[5]

Q1

[27,28] VID_GD#

N-MMBT3904_NL_SOT23

10KR

V_FSB_V TT

THERMTRIP# [5,29]

FSBSEL RESISTOR CAN BE REMOVED IF ONLY TEJAS


AND CEDAR MILL ARE SUPPORTED

PLACE AT SB END OF ROUTE

V_FSB_V TT

RN27

V_FSB_V TT
R61

62R

F E RR#

FERR#

1
3
5
7

[5,19]

8P4R-470R

2
4
6
8

H_BSL1
H_BSL2
H_BSL0

[5,16]
[5]
[5,16]

MICRO-START INT'L CO.,LTD.


Title

INTEL LGA775 - POWER


Size
D o cument Number
Custom
MS-7211
Date:

Thursda y, July 28, 2005


2

Rev

0A
Sheet

6
1

of

32

A12
A15
A18
A2
A21
A24
A6
A9
AA23
AA24
AA25
AA26
AA27
AA28
AA29
AA3
AA30
AA6
AA7
AB1
AB23
AB24
AB25
AB26
AB27
AB28
AB29
AB30
AB7
AC3
AC6
AC7
AD4
AD7
AE10
AE13
AE16
AE17
AE2
AE20
AE24
AE25
AE26
AE27
AE28

G T L V R E F_NB

R 53
R 52
62R0402
R 58
62R0402

05 Per FMB
0
0

05 Value FMB
0
1

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

3
4

VSS
VSS
VSS

MSID1

H28
H27
H26
H25
H24
H23
H22
H21
H20
H19
H18
H17

VTT_O UT_RIGHT

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

X__62R0402

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

Y7
Y5
Y2
W7
W4
V7
V6
V30
V3
V29
V28
V27
V26
V25
V24
V23
U7
U1
T7
T6
T3
R7
R5
R30
R29
R28
R27
R26
R25
R24
R23
R2
P7
P4
P30
P29
P28
P27
P26
P25
P24
P23
N7
N6
N3
M7
M1
L7
L6
L30
L3
L29
L28
L27
L26
L25
L24
L23
K7
K5
K2
J7
J4
H9
H8
H7
H6
H3

62R0402

R 57

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

TP10
MSID1
MSID0
H _ COMP6

TP 13
TP12

V1
W1
Y3

TP11

J3
N4
P5

H _ COMP7

RSVD
RSVD
RSVD

U 5C

AC4
AE3
AE4
D1
D14
E23
E24
E5
E6
E7
F23
F6
B13

R51
62R0402

RSVD
RSVD
RSVD

RSVD
RSVD
RSVD
RSVD
RSVD
RSVD
RSVD
RSVD
RSVD
RSVD
RSVD
RSVD
RSVD

B1
B11
B14

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

AN1
AN10
AN13
AN16
AN17
AN2
AN20
AN23
AN24
AN27
AN28

AE29
AE30
AE5
AE7
AF10
AF13
AF16
AF17
AF20
AF23
AF24
AF25
AF26
AF27
AF28
AF29
AF3
AF30
AF6
AF7
AG10
AG13
AG16
AG17
AG20
AG23
AG24
AG7
AH1
AH10
AH13
AH16
AH17
AH20
AH23
AH24
AH3
AH6
AH7
AJ10
AJ13
AJ16
AJ17
AJ20
AJ23
AJ24
AJ27
AJ28
AJ29
AJ30
AJ4
AJ7
AK10
AK13
AK16
AK17
AK2
AK20
AK23
AK24
AK27
AK28
AK29
AK30
AK5
AK7
AL10
AL13
AL16
AL17
AL20
AL23
AL24
AL27
AL28
AL3
AL7
AM1
AM10
AM13
AM16
AM17
AM20
AM23
AM24
AM27
AM28
AM4

VTT_O UT_RIGHT

4
5

MSID0

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
H14
H13
H12
H11
H10
G1
F7
F4
F22
F19
F16
F13
F10
E8
E29
E28
E27
E26
E25
E20
E2
E17
E14
E11
D9
D6
D5
D3
D24
D21
D18
D15
D12
C7
C4
C24
C22
C19
C16
C13
C10
B8
B5
B24
B20
B17

Size
A3
D o c u m e n t N umber

Date:
Thursday, July 28, 2 005

ZIF- SOCK775-15u

D
D

Title

INTEL LGA775 - GND

MICRO-START INT'L CO.,LTD.

MS- 7211
0A

R ev

S heet
5

7
of
32

BY PASS CAP
VIA confirmed

V_FSB_VTT

U19
T19
R19
P19
N19
M19
L19
L18
L17
L16
L15

V_FSB_VTT
D

W28
R26

[5] HADSTB#0
[5] HADSTB#1

M29
M28
T29
K26
M25
U27
M26
L27
U29
L29
M24

[5]
HADS#
[5]
HBNR#
[5]
HBPRI#
[5,6] HBR#0
[5]
HDBSY#
[5] HDEFER#
[5]
HDRDY#
[5]
HIT#
[5]
HITM#
[5]
HLOCK#
[5]
HTRDY#

HREQ#0 W27
HREQ#1 V28
HREQ#2 V26
HREQ#3 W29
HREQ#4 V29
HRS#0 L26
HRS#1 M27
HRS#2 K25

[5] HREQ#[0..4]
[5] HRS#[0..2]

HDBI#0
HDBI#1
HDBI#2
HDBI#3

[5] HDBI#[0..3]

D14

[5,6] CPURST#

Y23
W23

[16] NBHCLK
[16] NBHCLK#

C0.01U50X
C0.01U50X
C0.01U50X

C29
H27
B21
A21

GTLVREF_NB

R24
V24

near NB
C96

C281 F22
G24
F19
GTLVREF_NB1
F16

near NB

L24
C286
-HAP0
N24
[5]
-HAP0
-HAP1
W26
[5]
-HAP1
HRCOMP G25
HCOMPVREF G26
C94

C89

C280

C284

C0.01U50X
C0.01U50X

K24

HD0
HD1
HD2
HD3
HD4
HD5
HD6
HD7
HD8
HD9
HD10
HD11
HD12
HD13
HD14
HD15
HD16
HD17
HD18
HD19
HD20
HD21
HD22
HD23
HD24
HD25
HD26
HD27
HD28
HD29
HD30
HD31
HD32
HD33
HD34
HD35
HD36
HD37
HD38
HD39
HD40
HD41
HD42
HD43
HD44
HD45
HD46
HD47
HD48
HD49
HD50
HD51
HD52
HD53
HD54
HD55
HD56
HD57
HD58
HD59
HD60
HD61
HD62
HD63

HADSTB0
HADSTB1
ADS
BNR
BPRI
BREQ
DBSY
DEFER
DRDY
HIT
HITM
HLOCK
HTRDY
HREQ0
HREQ1
HREQ2
HREQ3
HREQ4
RS0
RS1
RS2
HDBI0
HDBI1
HDBI2
HDBI3
CPURST
HCLK+
HCLK-

HDSTB0P
HDSTB0N

HAVREF0
HAVREF1

HDSTB1P
HDSTB1N

HDVREF0
HDVREF1
HDVREF2
HDVREF3

HDSTB2P
HDSTB2N
HDSTB3P
HDSTB3N

D27
D26
A29
C26
C28
D28
A27
B29
A26
B26
D25
E24
A25
A28
D24
C25
K28
K29
J28
K27
J26
J29
J25
J27
F28
G29
G27
D29
E27
F27
E28
F29
E23
B24
C24
A24
A23
B23
A22
C23
F21
C22
E21
C21
D20
D21
F20
E20
B19
C19
B20
B18
C20
A20
C18
B17
B16
A17
C14
C15
A18
B15
B14
A15

[5]

HD#0
HD#1
HD#2
HD#3
HD#4
HD#5
HD#6
HD#7
HD#8
HD#9
HD#10
HD#11
HD#12
HD#13
HD#14
HD#15
HD#16
HD#17
HD#18
HD#19
HD#20
HD#21
HD#22
HD#23
HD#24
HD#25
HD#26
HD#27
HD#28
HD#29
HD#30
HD#31
HD#32
HD#33
HD#34
HD#35
HD#36
HD#37
HD#38
HD#39
HD#40
HD#41
HD#42
HD#43
HD#44
HD#45
HD#46
HD#47
HD#48
HD#49
HD#50
HD#51
HD#52
HD#53
HD#54
HD#55
HD#56
HD#57
HD#58
HD#59
HD#60
HD#61
HD#62
HD#63

CB131
C10U10Y0805
CB129
X_C0.1U25Y
CB134
C1U16Y

Side

Component Side

R105
R109

VCCP

49.9R1%

GTLVREF_NB1

R108
100R1%

X_0R

R106
R112

V_FSB_VTT

49.9R1% GTLVREF_NB

GTLVREF_NB

R114
100R1%

0R

R110

100R1%

HCOMPVREF

R111
49.9R1%

R102

B27
C27

HDSTBP#0
HDSTBN#0

[5]
[5]

H28
G28

HDSTBP#1
HDSTBN#1

[5]
[5]

D23
D22

HDSTBP#2
HDSTBN#2

[5]
[5]

C17
C16

HDSTBP#3
HDSTBN#3

[5]
[5]

20.5R1%

HRCOMP

GTLVREF
HAP0
HAP1
HRCOMP
HCOMPVREF
DPWR

X_C0.01U50XC0.01U50X
P4M800 CE

GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND

HA3
HA4
HA5
HA6
HA7
HA8
HA9
HA10
HA11
HA12
HA13
HA14
HA15
HA16
HA17
HA18
HA19
HA20
HA21
HA22
HA23
HA24
HA25
HA26
HA27
HA28
HA29
HA30
HA31
HA32
HA33

CB56
C10U10Y0805
CB63
C10U10Y0805
CB41
X_C0.1U25Y
CB54
C1U16Y
CB64
C1U16Y
Solder

MICRO-START INT'L CO.,LTD.

A16
A19
B22
B25
B28
D15
D16
D19
E22
E25
E26
E29
H26
H29
L25
L28
P25
P28
U25
U28
Y25
Y28
M18
N18
P18
R18
V18
U18
T18
AC28

HA#3 Y29
HA#4 V27
HA#5 AA29
HA#6 Y27
HA#7 Y26
HA#8 AC27
HA#9 AA28
HA#10 AB27
HA#11 AA27
HA#12 AC29
HA#13 AB29
HA#14 AB28
HA#15 AC26
HA#16 AD29
HA#17 T28
HA#18 R28
HA#19 N29
HA#20 N28
HA#21 P29
HA#22 P27
HA#23 R27
HA#24 N26
HA#25 T26
HA#26 P26
HA#27 R25
HA#28 N27
HA#29 N25
HA#30 R29
HA#31 T27
HA#32 U26
HA#33 T25

HD#[0..63]

VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT

U6A

[5] HA#[3..33]

V_FSB_VTT

Title

P4M800-CPU
Size
Document Number
Custom
MS-7211
Date:

Rev

0A

Thursday, July 28, 2005

Sheet
1

of

32

VCC_DDR

MD0
MD1
MD2
MD3
MD4
MD5
MD6
MD7
MD8
MD9
MD10
MD11
MD12
MD13
MD14
MD15
MD16
MD17
MD18
MD19
MD20
MD21
MD22
MD23
MD24
MD25
MD26
MD27
MD28
MD29
MD30
MD31
MD32
MD33
MD34
MD35
MD36
MD37
MD38
MD39
MD40
MD41
MD42
MD43
MD44
MD45
MD46
MD47
MD48
MD49
MD50
MD51
MD52
MD53
MD54
MD55
MD56
MD57
MD58
MD59
MD60
MD61
MD62
MD63

[12,13] MD[0:63]
D

[12,13]
[12,13]
[12,13]
[12,13]

CKEA0
CKEA1
CKEA2
CKEA3

CKEA0
CKEA1
CKEA2
CKEA3

AD28
AE27
AF27
AG28
AD27
AE29
AG27
AG29
AH29
AJ29
AG25
AJ25
AJ28
AH27
AH26
AJ26
AJ24
AG24
AJ22
AG21
AH24
AG23
AG22
AJ21
AH21
AJ20
AG18
AH18
AG20
AH19
AJ18
AG17
AJ12
AG12
AJ10
AJ9
AH12
AJ11
AG10
AH9
AG8
AJ7
AJ6
AH6
AG9
AJ8
AG5
AJ5
AH4
AJ4
AJ2
AH1
AG4
AF4
AG3
AJ1
AG1
AF2
AD3
AD1
AG2
AF3
AE1
AD2
AF21
AF23
AE22
AF24

MD0
MD1
MD2
MD3
MD4
MD5
MD6
MD7
MD8
MD9
MD10
MD11
MD12
MD13
MD14
MD15
MD16
MD17
MD18
MD19
MD20
MD21
MD22
MD23
MD24
MD25
MD26
MD27
MD28
MD29
MD30
MD31
MD32
MD33
MD34
MD35
MD36
MD37
MD38
MD39
MD40
MD41
MD42
MD43
MD44
MD45
MD46
MD47
MD48
MD49
MD50
MD51
MD52
MD53
MD54
MD55
MD56
MD57
MD58
MD59
MD60
MD61
MD62
MD63

V11
W11
W12
W13
W14
W15
W16
W17
W18
W19
V19

VCC_DDR

VCC25MEM
VCC25MEM
VCC25MEM
VCC25MEM
VCC25MEM
VCC25MEM
VCC25MEM
VCC25MEM
VCC25MEM
VCC25MEM
VCC25MEM

U6B

MA0
MA1
MA2
MA3
MA4
MA5
MA6
MA7
MA8
MA9
MA10
MA11
MA12
MA13
BA0
BA1

AF13
AD15
AJ15
AJ16
AJ17
AF16
AG15
AE18
AF17
AE19
AJ14
AF20
AE21
AD7

MAA0
MAA1
MAA2
MAA3
MAA4
MAA5
MAA6
MAA7
MAA8
MAA9
MAA10
MAA11
MAA12
MAA13

MAA[0:13]

R131
100R

[12,13]

MVREF_NB = 0.5* VCCDDR

MVREF_NB
R132
100R

AF12
AJ13

BA0
BA1

C289
C1000P50X

R150

MEMDET

VCC_DDR

C291
C0.01U50X
CB132
C10U10Y0805
CB135
C10U10Y0805
CB138
C0.1U25Y
CB130
C1U16Y
CB141
X_C1U16Y
CB137
X_C1U16Y
CB133
C1U16Y

CB75
C10U10Y0805
CB48
X_C10U10Y0805
CB67
X_C1U16Y

301R0402

AE5
AE24

C285
C1000P50X

[12,13]
[12,13]
VCC_DDR

DMCOMP

MEMDET

Component Side
SRAS
SCAS
SWE
CS0
CS1
CS2
CS3

AE12

-SRASA

[12,13]

AF9

-SCASA

[12,13]

AF11

- SWEA

[12,13]

-CS0
-CS1
-CS2
-CS3

[12,13]
[12,13]
[12,13]
[12,13]

AD9
AF8
AG7
AF7

-CS0
-CS1
-CS2
-CS3

CB127
X_C10U10Y0805

CB145
X_C1U16Y
DQS0
DQS1
DQS2
DQS3
DQS4
DQS5
DQS6
DQS7
MCLKIA
MCLKOMCLKO+

CKE0
CKE1
CKE2
CKE3

MEMVREF1
MEMVREF2
MEMVREF3
MEMVREF4

AF28 -DQS0
AJ27 -DQS1
AJ23 -DQS2
AJ19 -DQS3
AG11 -DQS4
AH7 -DQS5
AH3 -DQS6
AE3 -DQS7
AD26
AE26
AF26
AD23
AD17
AD11
AD8

DCLKI
MCLKO-

Solder Side
B

DCLKI

-DQS[0:7]
[16]

VCC_DDR
R326

[12,13]

R143
22R0402
DCLKO as short as passable
DCLKI = DCLKx + 2 "
DCLKO+

DCLKO

[16]

R327
R101

MVREF_NB

X_1KR1%
MEMDET
1KR1%

X_10KR0402

MCLKONear to NB chip

[12,13] -DQM[0:7]
-DQM0
-DQM1
-DQM2
-DQM3
-DQM4
-DQM5
-DQM6
-DQM7

AF29
AG26
AH22
AG19
AH10
AG6
AJ3
AF1

GND
GND
GND
GND
GND
GND
GND
GND
GND
GND

DQM0
DQM1
DQM2
DQM3
DQM4
DQM5
DQM6
DQM7

M16
N16
P16
R16
T16
U16
V16
M15
N15
P15

HEATSINK1

MCH
DCLKI
DCLKO

1
1

TP15
TP2

Test Point
(Place near their respective balls of NB)
1

TP1

P4M800 CE

AE2
AE8
AE11
AE14
AE16
AE17
AE20
AE23
AE25
AE28
AH2
AH5
AH8
AH11
AH14
AH17
AH20
AH23
AH25
AH28
AF5
M17
N17
P17
R17
T17
U17
V17
V15
U15
T15
R15

GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND

MCLKO-

Heatsink
HS-MS7059

C279

X_C5P50N

DCLKI

MICRO-START INT'L CO.,LTD.

Near to NB chip
Title

P4M800-DDR
Size
Document Number
Custom
MS-7211
Date:
4

Rev

0A

Thursday, July 28, 2005

Sheet
1

of

32

M11
N11
P11
R11
T11

VCC_AGP

LCOMPP

T4
U11
U10
V10

K10
K11
K12
K13
K15
K17
K19
K20
Y10
Y12
Y14
Y16
Y18
Y20
L10
N10
R10
W10
M20
P20
T20
V20

+1.5VNB

VLVREF
VLCOMPP
VCC15VL
VCC15VL
VCC15VL

VCC15
VCC15
VCC15
VCC15
VCC15
VCC15
VCC15
VCC15
VCC15
VCC15
VCC15
VCC15
VCC15
VCC15
VCC15
VCC15
VCC15
VCC15
VCC15
VCC15
VCC15
VCC15

GC#BE0/FPD03
GC#BE1/SBPLDAT
GC#BE2/FPD19
GC#BE3/GDVP1D11
GFRAME/FPHS
GIRDY/SBPLCLK
GTRDY
GDEVSEL/FPVS
GSTOP/FP1CLK
GPAR/FP1VS
GDBIH
GRBF
GWBF/FPCLK
GREQ/SBDDCCLK
GGNT/SBDDCDAT
GSERR/FP1DE
GDBIL
AGP8XDET

P3
P4
R3
R4
R1
N2
P1
R2
M3
M1
N4
L3
L1
N5
K2
R6
J2
H3
H1
K4
G1
G2
K5
G3
J6
K6
J4
F2
J5
F3
H4
E1

GD0
GD1
GD2
GD3
GD4
GD5
GD6
GD7
GD8
GD9
GD10
GD11
GD12
GD13
GD14
GD15
GD16
GD17
GD18
GD19
GD20
GD21
GD22
GD23
GD24
GD25
GD26
GD27
GD28
GD29
GD30
GD31

GD[0:31]

[14]

VCC VSUS15 Regulator

VCC3_SB

VSUS15

U2
_LT1087S_SOT89
3

VIN

VOUT

C146
C10U10Y1206

C152

R183
3.9KR1%

+ C140
C143
C10U10Y1206

C10U16EL

LVREF_NB V4

GD0/FPD10
GD1/FPD11
GD2/FP1CLK
GD3/FPD09
GD4/FPD08
GD5/FPD07
GD6/FPD06
GD7FPD05
GD8/FP1DET
GD9/FP1HS
GD10/FPD01
GD11/FPD23
GD12/FPD00
GD13/FPD22
GD14/FPD21
GD15/FPD20
GD16/FPD18
GD17/FPD17
GD18/FPD16
GD19/FPDE
GD20/FPD14
GD21/FPCLK
GD22/FPD13
GD23/FPD15
GD24/GDVP1D09
GD25
GD26/GDVP1D10
GD27/GDVP1D04
GD28/GDVP1D07
GD29/GDVP1D06
GD30/GDVP1D08
GD31/GDVP1DET

ADJ

[19]
VBE0
[19] UPSTB
[19] -UPSTB
[19]
DNSTB
[19]
-DNSTB
[19] UPCMD
[19]
DNCMD

VD0
VD1
VD2
VD3
VD4
VD5
VD6
VD7
VBE
UPSTB+
UPSTBDNSTB+
DNSTBUPCMD
DNCMD

V1
U2
Y2
Y3
T2
T3
AA2
AA1
U3
W2
W1
V2
V3
AA3
W3

C0.1U25Y

VLAD0
VLAD1
VLAD2
VLAD3
VLAD4
VLAD5
VLAD6
VLAD7

VCC15AGP
VCC15AGP
VCC15AGP
VCC15AGP
VCC15AGP

U6C

[19] VLAD[0:7]

R190
1KR1%

+1.5VNB

C288
M2
K1
J1
L6

GBE0
GBE1
GBE2
GBE3

L4
M5
K3
J3
M4
P6
G5
F4
B3
D5
C4
M6
H6
C5

[14]
[14]
[14]
[14]

C0.01U50X

Solder Side

GFRAME
[14]
GIRDY
[14]
GTRDY
[14]
GDEVSEL [14]
GSTOP
[14]
GPAR
[14]
DBIH
[14]
RBF
[14]
WBF
[14]
GREQ
[14]
GGNT
[14]
GSERR
[14]
DBIL
[14]
AGP8X_DET_NB [14]

C283
X_C0.1U25Y
C282
C10U10Y0805
C292
C0.1U25Y
C290
C0.1U25Y
C287
C1U16Y
CB128
C10U10Y0805

VCC_AGP

Component Side
VCC_AGP

CB136
C10U10Y0805
CB143
C1U16Y
CB142
X_C1U16Y

CB89
C0.1U25Y
CB84
C0.1U25Y
CB90
C0.1U25Y

AGP8XDET 0=ENABLE

GADSTBF0/FPD04
GADSTBS0/FPD02
VSUS15

GADSTBF1/FPD12
GADSTBS1/FPDET

AB3
TESTIN_NB
AF25
AC1
AB2

[18] -SUSST
[21,22,27,29] PCIRST#2
[18] -PWROK_NB

AVDD1 AA25
AVDD2
AD25

AA26
AD24

SUSST
TESTIN
RESET
PWROK

AGPVREF1
AGPVREF2
VCCA33HCK
VCCA33MCK

GNDAHCK
GNDAMCK

4.7KR

TESTIN_NB

AGPBUSY

SB_STBF
SB_ST BS

[14]
[14]

N1
N3

AD_STBF0
AD_ STBS0

[14]
[14]

G4
F1

AD_STBF1
AD_ STBS1
SBA[0:7]

[14]
[14]

A1
A2
B1
C3
D1
D4
D2
D3

SBA0
SBA1
SBA2
SBA3
SBA4
SBA5
SBA6
SBA7

N6
G6

AGPVREF
AGPVREF

+1.5VNB
VCC_AGP
VCC3
CP3
X_COPPER

SBA[0:7]

FB1
X_220L2_50

[14]

CP4
X_COPPER

AVDD1

C79
C1U16Y

AGPVREF

CM1
X_C1000P50X

FB2
X_220L2_50

RN88

7
5
3
1

AVDD2

C83
C1U16Y

CM2
X_C1000P50X

8
6
4
2

X_8P4R-0R
RN89
7
5
3
1

+1.5VNB

[14]

A4
A3

GCLK_NB

[16]

AGPCOMPN
AGPCOMPP

T1

CB144
C0.1U25Y

R141
1.4KR1%

CB76
C1000P50X

LVREF_NB
AGPBZ

R149
1KR1%

[19]

C293

C126

X_C0.1U25Y
C0.1U25Y

VCC_AGP
AGPCOMPN

R134

60.4R1%

LVREF_NB => VDD/4=0.625

LCOMPP

R137

402R1%

MICRO-START INT'L CO.,LTD.

60.4R1%
Title

P4M800-VLINK&GRAPHIC
Disable all TEST mode

Size
Document Number
Custom
MS-7211
Date:

8
6
4
2

PUT CAP ON THE BOTTOM OF PIN AF7


R5

AGPCOMPP R136

Strapping For NB_TEST Mode


TESTIN
BISTIN
RBF
WBF
1
1
x
x

VCC3

X_8P4R-0R

M12
M13
M14
N12
N13
N14
P12
P13
P14
R12
R13
R14
T12
T13
T14
U12
U13
U14
V12
V13
V14

P4M800 CE
R97

GCLK
AGPCOMPN
AGPCOMPP

VCC_DDR
A

GSBA0/GDVP1VS
GSBA1/GDVP1DE
GSBA2/GDVP1D00
GSBA3/GDVP1HS
GSBA4/GDVP1D05
GSBA5/GDVP1D03
GSBA6/GDVP1CLK
GSBA7/GDVP1CLK

VSUS15
VSUS15

GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND

AC25
AB1

C1
C2

VSUS15

[14]
[14]
[14]

GSBSTBF/GDVP1D01
GSBSTBS/GDVP1D02

ST0
ST1
ST2

E4
E3
F5

GST0/ENAVEE
GST1/ENAVDD
GST2/ENABLT

Rev

0A

Thursday, July 28, 2005

Sheet
1

10

of

32

VCCPLL1
VCCPLL2
VCCDAC1
VCCDAC2
VCC3

VCC3

A10
B10
[14]

FPD8

FPD8

E10
C10
D11
B9

2
XIN
INTA

GPO0
GPOUT

SPCLK1
SPCLK2

TVCLKR
DVP0DE/TVDE
DVP0HS/TVHS
DVP0VS/TVVS
DVP0CLK/TVCLK
DVP0DET

A6
B8
A8

AR
AG
AB
RSET

SPD1
SPD2
DISPCLKI
DISPCLKO
GNDAPLL1
GNDAPLL2

HSYNC
VSYNC

[15]
[15]

GUICK

[16]

A9

PIRQ#A

[14,17,20]

D9
E9

SPDCLK2

[15]

D10
E8

SPD2

C8
C9

CM4
X_C1000P50X

VCC3

CP8
X_COPPER

FB6
X_220L2_50

[15]

C109
C1U16Y

CP7
X_COPPER

VCCPLL2

CM6
X_C1000P50X

FB5
X_220L2_50
VCCDAC2

C107
X_C1000P50X

CM5
C1U16Y

B7
C6
D6
E6
C

VCC3

CB139

VCC_DDR
X_C1U16Y

CB140
C0.1U25Y
C98
X_C0.1U25Y

C0.01U50X
C22

C69
X_C1U16Y
C111
C1U16Y
C84
C10U10Y0805

VCC3

GFX power up strapping setting:


=>AGP Port Muxing
0: Two 12-bit DVI interface
1: One 24-bit Panel interface
=>Dedicated DVI Port Configuration
0: TMDS
1: TV Encoder
TVD6/DVP0D6 =>Dedicated DVI Port Selection
0: Disable
1: Enable
TVD8/DVP0D8 =>External AGP Function Enable
0: External
1: Internal
TVD9/DVP0D9 =>PCI Signal Test Output Enable
0: Disable
1: Enable
TVD10/DVP0D10 =>CPUCK/MCK Clock Select
0: From NB
1: From External
TVD7/DVP0D7 =>GFX Clock Select(VCK/LCDCK/ECK)
0: Refer Internal PLL
1: From External

VCCDAC1

C105
C1U16Y

VCC3

R119
22R0402

A14
B2
B4
E2
E5
H2
H5
L2
L5
P2
P5
U1
U4
Y1

P4M800 CE

CM3
X_C1000P50X

80.6R1%

A7

GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND

GNDADAC2
GNDADAC1
C

C104
C1U16Y

[15]
[15]
[15]
R126

RSET
HSYNC
VSYNC

B6
A5
B5

FB4
X_220L2_50

E7
D7

D8
C7

L12
L13
L14
VCC33GFX
VCC33GFX
VCC33GFX

AR
AG
AB

CP6
X_COPPER

VCCPLL1

E11
C11

VCCA33DAC1
VCCA33DAC2

FPD4
RN40
8P4R-4.7KR
2
4
6
8

DVP0D00/TVD00
DVP0D01/TVD01
DVP0D02/TVD02
DVP0D03/TVD03
DVP0D04/TVD04
DVP0D05/TVD05
DVP0D06/TVD06
DVP0D07/TVD07
DVP0D08/TVD08
DVP0D09/TVD09
DVP0D10/TVD10
DVP0D11/TVD11

FB3
X_220L2_50

1
3
5
7

C13
B13
A13
D13
E13
D12
C12
A12
B12
E12
B11
A11

VCCA33PLL1
VCCA33PLL2

U6D
D

VCC3

CP5
X_COPPER

TVD4/DVP0D4

TVD5/DVP0D5

R118
X_1KR

R115
X_1KR

FPD8
FPD4

R116
10KR

R113
10KR

MICRO-START INT'L CO.,LTD.


Title

P4M800-VGA
Size
Document Number
Custom
MS-7211
Date:
4

Rev

0A

Thursday, July 28, 2005

Sheet
1

11

of

32

-CS2
-CS3

[9,13] -CS2
[9,13] -CS3

[9,13] - SWEA
[9,13] -SCA SA
[9,13] -SRA SA

157
158
71
163
-DQM0
-DQM1
-DQM2
-DQM3
-DQM4
-DQM5
-DQM6
-DQM7

97
107
119
129
149
159
169
177
140

-SWEA
-SCASA
-SRASA

63
65
154

CKEA2
CKEA3

[9,13] CKE A2
[9,13] CKE A3
[16]
[16]
[16]
[16]
[16]
[16]

MDCLKA3
MDCLKA#3
MDCLKA4
MDCLKA#4
MDCLKA5
MDCLKA#5

MDCLKA3
MDCLKA#3
MDCLKA4
MDCLKA#4
MDCLKA5
MDCLKA#5

-DQS0
-DQS1
-DQS2
-DQS3
-DQS4
-DQS5
-DQS6
-DQS7

21
111
16
17
137
138
76
75
5
14
25
36
56
67
78
86
47

SMBDAT A_ISO
91
SMBC LK_ISO92

VCC_DDR

181
182
183

MVREF_DIM1
VCC_DDR

82
184
9
10
101
102
173

V CC_DDR

R42
1KR1%

103

C26
C1000P50X

CS0
CS1
NC/CS2
NC/CS3
DQM0
DQM1
DQM2
DQM3
DQM4
DQM5
DQM6
DQM7
DQM8
WE
CAS
RAS
CKE0
CKE1
CK0/DNU
CK0/DNU
CK1
CK1
CK2/DNU
CK2/DNU
DQS0
DQS1
DQS2
DQS3
DQS4
DQS5
DQS6
DQS7
DQS8
SDA
SCL
SA0
SA1
SA2
VREF
VDDID
VDDSPD

CB0
CB1
CB2
CB3
CB4
CB5
CB6
CB7

NC
NC/RESET
NC
NC
NC

C27
C1000P50X

WP

15
22
30
54
62
77
96
104
112
128
136
143
156
164
172
180
[9,13] BA0
[9,13] BA1

[9,13] -CS0
[9,13] -CS1

[9,13] -DQM[0:7]

[9,13] - SWEA
[9,13] -SCA SA
[9,13] -SRA SA
[9,13] CKE A0
[9,13] CKE A1
[16]
[16]
[16]
[16]
[16]
[16]

MAA0
MAA1
MAA2
MAA3
MAA4
MAA5
MAA6
MAA7
MAA8
MAA9
MAA10
MAA11
MAA12
MAA13

48
43
41
130
37
32
125
29
122
27
141
118
115
167

BA0
BA1

59
52
113

-CS0
-CS1

157
158
71
163
-DQM0
-DQM1
-DQM2
-DQM3
-DQM4
-DQM5
-DQM6
-DQM7

97
107
119
129
149
159
169
177
140

-SWEA
-SCASA
-SRASA

63
65
154

CKEA0
CKEA1

16
17
137
138
76
75

MDCLKA0
MDCLKA#0
MDCLKA1
MDCLKA#1
MDCLKA2
MDCLKA#2
-DQS0
-DQS1
-DQS2
-DQS3
-DQS4
-DQS5
-DQS6
-DQS7

[9,13] -DQS[0:7]

5
14
25
36
56
67
78
86
47

SMBDATA_ISO 91
SMBCLK_ISO 92

181
182
183
MVREF_DIM

44
45
49
51
134
135
142
144

VCC_DDR

1
82
184
9
10
101
102
173

DDR_WP R139

90

21
111

4.7KR

103

VCC_DDR

A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13

DIMM1

D0
D1
D2
D3
D4
D5
D6
D7
D8
D9
D10
D11
D12
D13
D14
D15
D16
D17
D18
D19
D20
D21
D22
D23
D24
D25
D26
D27
D28
D29
D30
D31
D32
D33
D34
D35
D36
D37
D38
D39
D40
D41
D42
D43
D44
D45
D46
D47
D48
D49
D50
D51
D52
D53
D54
D55
D56
D57
D58
D59
D60
D61
D62
D63

BA0
BA1
BA2
CS0
CS1
NC/CS2
NC/CS3
DQM0
DQM1
DQM2
DQM3
DQM4
DQM5
DQM6
DQM7
DQM8
WE
CAS
RAS
CKE0
CKE1
CK0/DNU
CK0/DNU
CK1
CK1
CK2/DNU
CK2/DNU
DQS0
DQS1
DQS2
DQS3
DQS4
DQS5
DQS6
DQS7
DQS8
SDA
SCL
SA0
SA1
SA2
VREF
VDDID
VDDSPD

CB0
CB1
CB2
CB3
CB4
CB5
CB6
CB7

NC
NC/RESET
NC
NC
NC

WP

MD0
MD1
MD2
MD3
MD4
MD5
MD6
MD7
MD8
MD9
MD10
MD11
MD12
MD13
MD14
MD15
MD16
MD17
MD18
MD19
MD20
MD21
MD22
MD23
MD24
MD25
MD26
MD27
MD28
MD29
MD30
MD31
MD32
MD33
MD34
MD35
MD36
MD37
MD38
MD39
MD40
MD41
MD42
MD43
MD44
MD45
MD46
MD47
MD48
MD49
MD50
MD51
MD52
MD53
MD54
MD55
MD56
MD57
MD58
MD59
MD60
MD61
MD62
MD63

2
4
6
8
94
95
98
99
12
13
19
20
105
106
109
110
23
24
28
31
114
117
121
123
33
35
39
40
126
127
131
133
53
55
57
60
146
147
150
151
61
64
68
69
153
155
161
162
72
73
79
80
165
166
170
171
83
84
87
88
174
175
178
179

MD[0:63]

[9,13]

44
45
49
51
134
135
142
144
90

DDR_WP

NC/FETEN

NC/FETEN

3
11
18
26
34
42
50
58
66
74
81
89
93
100
116
124
132
139
145
152
160
176

MVREF_DIM
R41
1KR1%

BA0
BA1
BA2

MD0
MD1
MD2
MD3
MD4
MD5
MD6
MD7
MD8
MD9
MD10
MD11
MD12
MD13
MD14
MD15
MD16
MD17
MD18
MD19
MD20
MD21
MD22
MD23
MD24
MD25
MD26
MD27
MD28
MD29
MD30
MD31
MD32
MD33
MD34
MD35
MD36
MD37
MD38
MD39
MD40
MD41
MD42
MD43
MD44
MD45
MD46
MD47
MD48
MD49
MD50
MD51
MD52
MD53
MD54
MD55
MD56
MD57
MD58
MD59
MD60
MD61
MD62
MD63

2
4
6
8
94
95
98
99
12
13
19
20
105
106
109
110
23
24
28
31
114
117
121
123
33
35
39
40
126
127
131
133
53
55
57
60
146
147
150
151
61
64
68
69
153
155
161
162
72
73
79
80
165
166
170
171
83
84
87
88
174
175
178
179

GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND

59
52
113

D0
D1
D2
D3
D4
D5
D6
D7
D8
D9
D10
D11
D12
D13
D14
D15
D16
D17
D18
D19
D20
D21
D22
D23
D24
D25
D26
D27
D28
D29
D30
D31
D32
D33
D34
D35
D36
D37
D38
D39
D40
D41
D42
D43
D44
D45
D46
D47
D48
D49
D50
D51
D52
D53
D54
D55
D56
D57
D58
D59
D60
D61
D62
D63

VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ

BA0
BA1

A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13

3
11
18
26
34
42
50
58
66
74
81
89
93
100
116
124
132
139
145
152
160
176

48
43
41
130
37
32
125
29
122
27
141
118
115
167

DIMM2
[9,13] MAA[0:13]

GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND

[9,13] BA0
[9,13] BA1

MAA0
MAA1
MAA2
MAA3
MAA4
MAA5
MAA6
MAA7
MAA8
MAA9
MAA10
MAA11
MAA12
MAA13

V CC_DDR

7
38
46
70
85
108
120
148
168

15
22
30
54
62
77
96
104
112
128
136
143
156
164
172
180
[9,13] MAA[0:13]

V CC_DDR

VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ

7
38
46
70
85
108
120
148
168

SMBDATA_ISO
SMBCLK_ISO

[16,18,27] SMBDA TA_ISO


[16,18,27] SMBC LK_ISO

VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD

VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD

DIMM-184_green

DIMM-184_green

VCC_DDR
VCC_DDR

CB26
CB60
CB69
CB42
CB32
CB18
CB12

C90

VCC_DDR

C1U16Y
C68P50N
X_C 1000P50X
C0.1U25Y
C1000P50X
C47P50N
C0.1U25Y
C0.01U50X

CB53
CB21
CB22
CB31
CB49
CB5
CB65
CB52
CB72
CB68
CB44
CB59

VCC_DDR

C0.1U25Y
C0.1U25Y
C0.1U25Y
C0.1U25Y
C0.1U25Y
C0.1U25Y
C1U16Y
C0.1U25Y
C0.1U25Y
C0.1U25Y
C1U16Y
X_C0.1U25Y

close to the middle of DIMM 1

CB45
CB14
CB38

C0.1U25Y
C68P50N
C100P50N

VCC_DDR

CB27
CB17

C0.1U25Y
C1U16Y

CB10

C1000P50X

CB33

C1000P50X

CB9

X_C0.1U25Y

CB13

C0.1U25Y

EMI
VCC_DDR
CB2
C68P50N

MICRO-START INT'L CO.,LTD.


Title

CB6

X_C0.1U25Y

CB1

X_C0.1U25Y

DIMM1&2
Size
D o cument Number
Custom
MS-7211
Date:

Thursda y, July 28, 2005


2

Rev

0A
Sheet

12
1

of

32

DIMM1 & 2 Terminations

VTT_DDR

VTT_DDR

MAA[0:13]

[9,12] MAA[0:13]

MD[0:63]

[9,12] MD[0:63]

-DQM[0:7]

[9,12] -DQM[0:7]

2
4
6
8

RN2
8P4R-47R0402

MD41
MD45
-DQS5
-DQM5

1
3
5
7

2
4
6
8

RN36
8P4R-47R0402

-DQS0 1
-DQM0 3
MD2
MD6

5
7

2
4
6
8

RN5
8P4R-47R0402

-DQM6
-DQS6
MD54
MD50

1
3
5
7

2
4
6
8

RN42
8P4R-47R0402

MD7
MD3
MD8
MD12

1
3
5
7

2
4
6
8

RN7
8P4R-47R0402

MD48
MD52
MD49
MD53

1
3
5
7

2
4
6
8

RN39
8P4R-47R0402

MD13

-DQS1 5
-DQM17

1
3

2
4
6
8

RN10
8P4R-47R0402

MD55
MD51
MD60
MD56

1
3
5
7

2
4
6
8

RN43
8P4R-47R0402

MD14
MD15
MD10
MD11

1
3
5
7

2
4
6
8

RN14
8P4R-47R0402

MD61
MD57
-DQM7
-DQS7

1
3
5
7

2
4
6
8

RN44
8P4R-47R0402

CKEA1
CKEA3
CKE A2
CKEA0

1
3
5
7

2
4
6
8

RN15
8P4R-47R0402

MD62
MD58
MD63
MD59

1
3
5
7

2
4
6
8

RN45
8P4R-47R0402

MD20
MD16
MD17
MD21

1
3
5
7

2
4
6
8

RN16
8P4R-47R0402

1
3
5
7

2
4
6
8

RN34
8P4R-47R0402

MAA12
MAA11
MAA9
MAA7

1
3
5
7

2
4
6
8

RN17
8P4R-47R0402

MAA3
MAA2
MAA1
MAA0

1
3
5
7

2
4
6
8

RN26
8P4R-47R0402

-DQS2
-DQM2
MD22

1
3
5
7

2
4
6
8

RN18
8P4R-47R0402

MAA5
MAA8
MAA6
MAA4

1
3
5
7

2
4
6
8

RN22
8P4R-47R0402

MD25 1
MD29 3
-DQS3 5
-DQM37

2
4
6
8

RN24
8P4R-47R0402

MD19 1
MD23 3

2
4
6
8

RN21
8P4R-47R0402

MD9

[9,12]
[9,12]
[9,12]
[9,12]

CKEA1
CKEA3
CKEA2
CKEA0

MD18

[9,12] -SRA SA
[9,12] - SWEA
[9,12] -SCA SA

-SRASA
-SWEA
-SCASA
MAA13

MD24

MD28 7

MD42
MD46
MD43
MD47

1
3
5
7

2
4
6
8

RN37
8P4R-47R0402

-DQS4
MD34
-DQM4
MD38

1
3
5
7

2
4
6
8

RN32
8P4R-47R0402

MD39
MD35
MD40
MD44

1
3
5
7

2
4
6
8

RN33
8P4R-47R0402

[9,12] BA1
[9,12] BA0
[9,12]
[9,12]
[9,12]
[9,12]

-CS0
-CS2
-CS1
-CS3

MD32
MD36
MD33
MD37

1
3
5
7

2
4
6
8

RN30
8P4R-47R0402

MAA10
BA1
BA0

1
3
5
7

2
4
6
8

RN28
8P4R-47R0402

-CS0
-CS2
-CS1
-CS3

1
3
5
7

2
4
6
8

RN35
8P4R-47R0402

1
3
5
7

2
4
6
8

RN25
8P4R-47R0402

MD30
MD26
MD27
MD31

VTT_DDR

1
3
5
7

MD0
MD4
MD5
MD1

-DQS[0:7]

[9,12] -DQS[0:7]

VTT_DDR

CB57

C1U16Y

CB61

C1U16Y

CB50

C1U16Y

CB70

C1U16Y

CB3

C1U16Y

CB15

C1U16Y

CB19

C1U16Y

CB43

C1U16Y

CB24

X_C1U16Y

CB34

C1U16Y

CB30

X_C1U16Y

CB23

C1U16Y

CB51

C1000P50X

CB11

C68P50N

CB71

X_C1U16Y

CB28

C1U16Y

CB62

X_C1U16Y

CB39

X_C1U16Y

MICRO-START INT'L CO.,LTD.


Title

DIMM1&2 Terminations
Size
D o cument Number
Custom
MS-7211
Date:

Rev

0A

Thursda y, July 28, 2005

Sheet
E

13

of

32

+12V

VCC3
VCC_AGP

R144
4.7KR

[10]

DBIL

DBIL
SBA0

[10]

SB_STBF

SBA2
SB_STBF
SBA4
SBA6
VCC3_SB
GD31
GD29

GD27
GD25
[10]

AD_STBF1

AD_STBF1
GD23
GD21
GD19

[10]

GBE2

[10]

G IRDY

[10]

GDEVSEL

[10]
[10]

GSERR
GBE1

GD17
GBE2
G I RDY

GDEVSEL
GPERR
GSERR
GBE1
GD14
GD12

GD10
GD8
[10]

AD_STBF0

AD_STBF0
GD7
GD5
GD3
GD1
VREF_CG
CB91
C1U16Y

D BIH
WBF
SBA1

[11,17,20]
[17,27]
[10]

ST1

[10]

D B IH

[10]

W BF

[10]

SB_STBS [10]

SBA[0:7]
GD[0:31]

X_C1U16Y0805

CB73

X_C0.1U25Y

CB88

C0.1U25Y

CB83

C0.1U25Y

CB80

C0.1U25Y

VCC3
CB93

C0.1U25Y

C154

X_C10U10Y0805

C0.1U25Y

1
CB87

2
C0.1U25Y

GD30
GD28

GD26
GD24

VIA DEMO BOARD

AD_STBS1
GBE3

AD_STBS1 [10]
GBE3
[10]

VCC_AGP

GD22
GD20

+12V
VCC_AGP

R163
1KR1%

VCC_AGP
GD18
GD16

R175
1KR

Q23
GFRAME

R162
3.32KR1%

GFRAME [10]

VREF_CG
GTRDY
GSTOP
GPAR
GD15

GTRDY
GSTOP

[10]
[10]

GPAR

[10]

R160

GC_AGP8X_DET

G
1.47KR1%

AGP8X_DET_NB

AGP8X_DET_NB [10]

R173
10KR
R161
1.02KR1%

GD13
GD11

Vgs=1V
Id=385mA
Q25
N-2N7002_SOT23
Low indicates that support 8x

N-2N7002_SOT23

C133
C0.1U25Y
Vref:
2x :0.4VDDQ=600mV
4x :0.5VDDQ=750mV
8x :0.23VDDQ=345mV

S3 issue
2

GD9
GBE0

GBE0

AD_STBS0
GD6

[10]

AD_STBS0 [10]

VCC_AGP

GD4
GD2

GPERR R192

8.2KR

+12V

GD0
AGPVREF

R164
4.7KR

AGPVREF [10]

GC_AGP8X_DET
B
R174 10KR

S3 and boot-up code 25 issue


[10]

C0.1U25Y

CM7

(Place near AGP slot)


Decopuling capacitors

SBA5
SBA7

near pin

[10]

C1U16Y0805

CB81

CB82
SBA3
SB_STBS

C139
R176
X_C1U16Y0805 100KR

_SLOT-AGP124_red-2pitch

CM8

RBF

PIRQ#A
PCIRST#
GGNT

[11]

[10]

ST0
ST2
RBF

PIRQ#A
PCIRST#
GGNT

FPD8
FPD8

Low indicates that support 8x


Q21
N-2N7002_SOT23

G
S

GREQ

-TYPEDET
GC_AGP8X_DET

[10]
[10]

PIRQ#B

A1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
A16
A17
A18
A19
A20
A21
A22
A23
A24
A25
A26
A27
A28
A29
A30
A31
A32
A33
A34
A35
A36
A37
A38
A39
A40
A41
A42
A43
A44
A45
A46
A47
A48
A49
A50
A51
A52
A53
A54
A55
A56
A57
A58
A59
A60
A61
A62
A63
A64
A65
A66

X_C0.1U25Y

0R

PIRQ#B
[16]
AGPCLK
[10]
GREQ

OVRCNT#
+12V
+5V
TYPEDET#
+5V
GC_AGP8X_DET
USB+
USBGND
GND
INTB#
INTA#
CLK
RST#
REQ
GNT
VCC3.3
VCC3.3
ST0
ST1
ST2
MB_AGP8X_DET
RBF
DBI_HI
GND
GND
DBI_LO
WBF
SBA0#
SBA1#
VCC3.3
VCC3.3
SBA2#
SBA3#
SB_STBF
SB_STBS
GND
GND
SBA4#
SBA5#
SBA6#
SBA7#
RESERVED
RESERVED
GND
GND
VCC3_AUX
RESERVED
VCC3.3
VCC3.3
AD31
AD30
AD29
AD28
VCC3.3
VCC3.3
AD27
AD26
AD25
AD24
GND
GND
AD_STBF1
AD_STBS1
AD23
C#/BE3
VDDQ1.5V
VDDQ1.5V
AD21
AD22
AD19
AD20
GND
GND
AD17
AD18
C#/BE2
AD16
VDDQ1.5V
VDDQ1.5V
IRDY
FRAME
KEY
KEY
KEY
KEY
KEY
KEY
KEY
KEY
DEVEL
TRDY
VDDQ1.5V
STOP
PERR
PME#
GND
GND
SERR
PAR
C#/BE1
AD15
VDDQ1.5V
VDDQ1.5V
AD14
AD13
AD12
AD11
GND
GND
AD10
AD9
AD8
C#/BE0
VDDQ1.5V
VDDQ1.5V
AD_STBF0
AD_STBS0
AD7
AD6
GND
GND
AD5 AGP3.0 Ver 0.95
AD4
AD3
AD2
VDDQ1.5V
VDDQ1.5V
AD1
AD0
VREF_CG
VREF_GC

CB92
VCC_AGP

R140

[17]

B1
B2
B3
B4
B5
B6
B7
B8
B9
B10
B11
B12
B13
B14
B15
B16
B17
B18
B19
B20
B21
B22
B23
B24
B25
B26
B27
B28
B29
B30
B31
B32
B33
B34
B35
B36
B37
B38
B39
B40
B41
B42
B43
B44
B45
B46
B47
B48
B49
B50
B51
B52
B53
B54
B55
B56
B57
B58
B59
B60
B61
B62
B63
B64
B65
B66

C0.1U25Y

VCC3

AGP1

CB78
VCC5

VCC3
VCC5

+12V

+3.3V ==> 6A
+1.5VAGP ==>1A
+5V===>2A
+12V==>1A
+3.3AUX==>750mA

+12V
VCC_AGP

Q26
N-MMBT3904_NL_SOT23
R147
Vbe=0.65~0.85
200R1%

SBA[0:7]
GD[0:31]

MICRO-START INT'L CO.,LTD.


Title

AGP SLOT

Size
C

Document Number

Date:

Thursday, July 28, 2005

Rev

MS-7211

0A
Sheet
E

14

of

32

VGA CONNECTOR
VCC5
UD4
BAV99LT1_SOT23
1
2

100
EMI
For noise issue

VCC5

F1
VGACON
F-MINISMDC110

C80
C1000P50X

VCC5

near connector

UD3
BAV99LT1_SOT23
1
2

close to chip

EMI B

AG

[11]

AB

L12

0R

L13

0R

L14

C112

C113

C114

L10

60L600m_300

L9

60L600m_300

L8

60L600m_300

R95
75R

R93
75R

C77
C74
C10P50N C10P50N

C71
C10P50N

C76
C10P50N

VGACON1
CONN-VGA

6
1
7
2
8
3
9
4
10
5

0R

R96
75R

CONNECTOR
TOP VIEW

C73
C10P50N

R88

12

2
R91
4.7KR

R89
4.7KR

100R

13

L6

60L600m_300

14

L5

60L600m_300

R90

15

100R

SPD2

[11]

H SYNC

[11]

VSYNC

[11]

SPDCLK2 [11]

C70
C10P50N

VCC5

EMI
C67
C33P50N
CP21
1

11

16

AR

[11]

X_C10P50N

[11]

100

X_C10P50N

X_C10P50N

EMI

VCC5

UD2
X_BAV99LT1_SOT23

C64
C33P50N

UD5
BAV99LT1_SOT23
1
2

VCC5

17

VCC5

X_CP
2
UD1
X_BAV99LT1_SOT23

VGAGND
VGAGND

CP20
1

X_CP
2

CP22
1

X_CP
2

VGAGND

MICRO-START INT'L CO.,LTD.


Title

VGA Connector
Size
Document Number
Custom
MS-7211
Date:
5

Thursday, July 28, 2005

Rev

0A
Sheet
1

15

of

32

filtering from 10K~1M


V CC3

CPU
R215
R216
R217
R218

V CC3V
CP14
FB12

CB111 CB110 CB109 CB105CB98 CB97


X _ COPPER
R254 0R
X_80L700m_150

C0.1U25Y

C0.1U25Y

C0.1U25Y

C0.1U25Y

C0.1U25Y

C0.1U25Y

CB107
C4.7U10Y0805

CB1 08
X_C0.1U25Y

5
16
22
51
55

VDD_3.3
*FS3 / 48MHz
VDD_3.3 *FS2 / 24_48# MHz
VDD_3.3
VDD_3.3
VDD_3.3
P4/K7_CPU
P4/K7_CPU#
CPU-CS#
VDD_Core
CPU-CS

23
2
9
13
19
24
33
39
47
54

C181
C22P50N

C GX1
Y2
_14.318MHZ32P_D

+2.5VSB

C178
C22P50N

VCC2.5B

FB11

3
4

C GX2

[12,18,27] S MBDATA_ISO
[12,18,27] S MBCLK_ISO

C172
C4.7U10Y0805

CB146
CB104
X_C0.1U25Y C0.1U25Y

FB9

CP11

X _ COPPER

CB1 03
C0.1U25Y
CB99
C0 .1U25Y

R248
X_33 R0402

[27 ,29] FP_RST#

X_80L700m_150VCC2.5A

CB1 01
C4.7U10Y0805

[9]
[9]

[23]

D CLKI
DC LKO

AC97XIN

[11]

G U ICK

[18]

SB14MHZ

R219

DCLKI

FS0

**FS1 / PCI-F

1
56

R250
22R0402

53
52
49
48

C PUCLK_R
CPUCLK#_R
NBHCLK#_R
N BHCLK_R

R215
R216
R217
R218

33R
33R
33R
33R

44
43
42
41

DCLKA2
DCLKA#2
DCLKA5
DCLKA#5

38
37
36
35
32
31
30
29

DCLKA4
DCLKA#4
DCLKA1
DCLKA#1

DCLKA3
DCLKA#3
DCLKA0
DCLKA#0

1
3
5
7
1
3
5
7

DCLKA3
DCLKA#3
DCLKA0
DCLKA#0

1
3
5
7
RN55

MODE
SEL_CK 408

10

FS1

11
12
14
15
17
18

S EL_SDR/DDR

[17]
[22]

C PUCLK
CPUCLK#
NBHCLK#
N BHCLK

[5]
[5]
[8]
[8]

MDCLKA2
MDCLKA #2
MDCLKA5
MDCLKA #5

[12]
[12]
[12]
[12]

MDCLKA4
MDCLKA #4
MDCLKA1
MDCLKA #1

[12]
[12]
[12]
[12]

MDCLKA3
MDCLKA #3
MDCLKA0
MDCLKA #0

[12]
[12]
[12]
[12]

V CLK
G CLK_NB
A G PCLK

[19]
[10]
[14]

A PICCLK
S PCLK

[19]
[19]

LAN_CLK

[21]

S IOPCLK
PCI_CL K1

[22]
[20]

PCI_CL K2
FWH_CLK

[20]
[29]

Pull-Down Capacitors

SWAP

DCLKA2
DCLKA#2
DCLKA5
DCLKA#5

DCLKA4
DCLKA#4
DCLKA1
DCLKA#1

6
7
8

U SBCLK
SIO4 8M

K7
10
10
10
10

R257
R268
R282

33R0402
33R0402
33R0402

R285
R284

22R0402
22R0402
RN67

MULT
PCI_CLK1 _R
R272
R269
T URBO#

33R0402
33R0402

7
5
3
1

GCLK_NB
AGPCLK
VCLK

C196
C207
C189

X_C10P25N0402
X_C10P25N0402
C10P25N0402

SPCLK

C197

X_C10P25N0402

SIOPCLK

C221

C10P25N0402

F WH_CLK
PCI_CLK1

C213
C224

X_C10P25N0402
C10P25N0402

PCI_CLK2

C220

C10P25N0402

C PUCLK
C PUCLK#
N BHCLK#
N BHCLK

RN54

**Sel_SDR_DDR#/PCI-0
FB_OUT
PCI-1
Buffer_In
PCI-2
PCI-3
PCI-4
*FS0
*CPU_Stop#/PCI-5/Turbo#
/ REF0
VTT_PGd#/REF1

R264
22R0402
A C97XIN
GU ICK

47R0402
47R0402

PD# / Reset#

46
45

BUFFER_IN

R270
R271

Iref

26

22R0402

SDRAM4 / DDR2_T
SDRAM5 / DDR2_C
SDRAM6 / DDR3_T
SDRAM7 / DDR3_C

VDD_3.3/2.5
VDD_3.3/2.5
VDD_2.5
*Mobile# / AGP-0
*P4_K7# / AGP-1
*PCI_Stop# / AGP-2

25

FS3
FS2

RN53

SDRAM8 / DDR4_T
SDRAM9 / DDR4_C
SDATA SDRAM10 / DDR5_T
SCLK
SDRAM11 / DDR5_C

28
27

R279
475R1%

SDRAM0 / DDR0_T
SDRAM1 / DDR0_C
SDRAM2 / DDR1_T
SDRAM3 / DDR1_C

X1
X2

34
40
50

X_COPPER

+2.5VSB

R283 0 R

X_80L700m_150

CP13

GND
GND
GND
GND
GND
GND
GND
GND
GND

20
21

P4
33
33
33
33

2
4
6
8
8P4R-10R

2
4
6
8

USBCLK

C217

X_C10P25N0402

SIO48M

C218

C10P25N0402

SB14MHZ

C160

X_C10P25N0402

A C97XIN

C195

X_C10P25N0402

APICCLK

C211

X_C10P25N0402

SIO48M

C117

X_C10P50N

LAN_CLK

C208

X_C10P50N

GU ICK

C108

X_C10P25N0402

DC LKI

C157

C10P25N0402

8P4R-10R

2
4
6
8
8P4R-10R

8P4R-33R
LAN_CLK
8

6
4
2

S I OPCLK
PCI_CLK1
PCI_CLK2
F WH_CLK

48M/24MHZ
30mAx30mAx22=0.0198
1/16=0.0625

REFCLK
30mAx30mAx22=0.0198
1/16=0.0625

used only for EMI issue


T r a ce less 0.2"

U11

SB14MHZ

VTTGD#

R206
22R0402
V CC3

R273
X_10KR
MULT

Transistor Q29 for Vtt_PG is


for Intel P4 use only !

Shut Source Termination Resistors


C PUCLK
C PUCLK#
N BHCLK
N BHCLK#

R195
R196
R198
R197

49.9R1%
49.9R1%
49.9R1%
49.9R1%

MULT

V CC3

F o r I n tel P4 use
o n l y ! Trace less
0.2"

Rr

Iref

221

5.00mA

4*Iref

1.0V

475

2.32mA

6*Iref

0.7V

Ioh

Voh

4 9 . 9 o h m f o r 5 0 o h m M/B impedance

R209
4.7KR

VTTGD#

R210
10KR
R239

Intel Table

Q29
N-MMBT3904_N L_SOT23

V C CP
220R

S3

S2

S1

S0

Not Support

0
1
1

1
0
1

CPU
266
133
200

V CC3

V CC3

R253
4.7KR

V CC3

R262
10KR

AGP_2
PCI_Stop#

SEL_CK408
X
R262
On
xx
xx
On

Current Mode
Open Drain

Pin 8

Pin 18
PCI_5/Turbo
CPU_Stop#

R287
10KR

MODE
SEL_CK 408
T URBO#
S EL_SDR/DDR

166

MODE
R253 X
On
xx
xx
On

Pin52/53

CLOCK STRAPPING RESISTORS

RN68
FS3
FS2
FS1
FS0

8
6
4
2

R100
1KR

R99
1 KR

+ 2.5VSB

R199
X_4.7KR

SEL_SDR/DDR
Pull Hi
Pull Lo

Select SDRAM
Select DDR-SDRAM

2
4
6
8

RN65
8P4R-1KR

R280
4.7KR

V_FSB_VTT

1
3
5
7

V CC3

FS_2

FS_3

7
5
3 FS_1
1 FS_0

N-MMBT3904_N L_SOT23
Q12

N-MMBT3904_N L_SOT23
Q14
H_BS L0

8P4R-10 KR

B U FFER_IN
H_BS L1

[5,6]

[5,6]

R220
X_4.7KR

MICRO-START INT'L CO.,LTD.

Keep these resistors to get


better DDR compatibility
4

Title

Clock Generator RTM862-520 / ICS950917AF


Size
C

Document Number

Date:

Thursday, Ju ly 28, 2005

Rev

MS-7211
1

0A
Sheet

16

of

32

VCC3

[20,21] FRAME#
[20,21] DEVSEL#
[20,21] IRDY#
[20,21]
TRDY#
[20,21]
STOP#
[20,21] SERR#
[20,21]
PAR
[20,21] PERR#
[14,27] PCIRST#
[11,14,20]
[14,20]
[20]
[20]
[21]

PIRQ#A
PIRQ#B
PIRQ#C
PIRQ#D
PIRQ#E

[21]
[20]
[20]

[21] PGNT#0
PGNT#1
PGNT#2

FRAME#
DEVSEL#
IR DY#
TRDY#
STOP#
SERR#
PAR
PERR#
PCIRST#

J1
H2
J2
H1
K4
C2
F4
C3
R1

PIRQ#A
PIRQ#B
PIRQ#C
PIRQ#D
PIRQ#E
PIRQ#F
PIRQ#G
PIRQ#H

A4
B4
B5
C4
D4
E4
A3
B3

PREQ#0
PREQ#1
PREQ#2
PREQ#3
PREQ#4
PREQ#5

A5
B6
C5
D5
P3
R3

PGNT#0
PGNT#1
PGNT#2
PGNT#3
PGNT#4
PGNT#5

A6
D6
C6
E5
R4
R2

CB150
C0.1U25Y

FRAME
DEVSEL
IRDY
TRDY
STOP
SERR
PAR
PERR
PCIRST

USBOC0
USBOC1
USBOC2
USBOC3
USBOC4
USBOC5
USBOC6
USBOC7

INTA
INTB
INTC
INTD
INTE
INTF
INTG
INTH

USBCLK
USB REXT

REQ0
REQ1
REQ2
REQ3
REQ4
REQ5

UDPWR
UDPWREN
KBCK/KA20G
KBDT/KBRC
MSCK/IRQ1
MSDT/IRQ12

C0.1U25Y

CB151
CB106
C0.1U25Y
X_C10U10Y0805

A23
B23

USBP0+
USBP0USBP1+
USBP1USBP2+
USBP2USBP3+
USBP3USBP4+
USBP4USBP5+
USBP5USBP6+
USBP6USBP7+
USBP7-

CB95

CB102
C1U16Y

C0.1U25Y

D23
C23
USBP0+
USBP0USBP1+
USBP1USBP2+
USBP2USBP3+
USBP3USBP4+
USBP4USBP5+
USBP5USBP6+
USBP6USBP7+
USBP7-

C26
D24
B26
C25
B24
A24
A26
A25

OC#1

E23

USBCLK

D26 UDPWR
D25
KBCLK#
KBDAT#
MSCLK#
MSDAT#

USB0+
USB0USB1+
USB1USB2+
USB2USB3+
USB3USB4+
USB4USB5+
USB5USB6+
USB6USB7+
USB7-

[25]
[25]
[25]
[25]
[25]
[25]
[25]
[25]
[25]
[25]
[25]
[25]
[25]
[25]
[25]
[25]

1
3
5
7

1
3
5
7

2
4
6
8

2
4
6
8

near connector
RN31
8P4R-15KR

OC#4

B25 USBREXT R243

W3
V1
W1
W2

CB149

near SB
C297
C0.1U25Y
+2.5VSB

C24

E20
D20
A20
B20
E18
D18
A18
B18
D16
E16
A16
B16
D14
E14
A14
B14

C0.1U25Y

1
3
5
7

USBP0+
USBP0USBP1+
USBP1USBP2+
USBP2USBP3+
USBP3USBP4+
USBP4USBP5+
USBP5USBP6+
USBP6USBP7+
USBP7-

CBE0
CBE1
CBE2
CBE3

GNT0
GNT1
GNT2
GNT3
GNT4
GNT5

A22
B22
C22
D22
E22
F22
J13
J14
J15
J16
J17
J18

2
4
6
8

PLLGNDA
PLLGNDA

C0.1U25Y

CB117

VCC3_SB

2.5V_SB

PLLVDDA
PLLVDDA

C0.1U25Y

CB114

1
3
5
7

E2
C1
L4
M3

USBVDD
USBVDD
USBVDD
USBVDD
USBVDD
USBVDD
USBVDD
USBVDD
USBVDD
USBVDD
USBVDD
USBVDD

USBSUS25

A1
A2
B1
B2
E8
F25
H23
J21
J25

[20]
[20]

PREQ#0
PREQ#1
PREQ#2

C_BE#0
C_BE#1
C_BE#2
C_BE#3

U13A

C0.1U25Y

CB115

2
4
6
8

C_BE#[0..3]

AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31

CB147

OC#1

[25]

OC#4

[25]

RN38
8P4R-15KR

RN85
8P4R-15KR

RN86
8P4R-15KR

USBCLK [16]
100

5.6KR1%
R238

10KR

KBCLK#
KBDAT#
MSCLK#
MSDAT#

[24]
[24]
[24]
[24]

USBGND
USBGND
USBGND
USBGND
USBGND
USBGND
USBGND
USBGND
USBGND
USBGND
USBGND
USBGND
USBGND
USBGND
USBGND
USBGND
USBGND
USBGND
USBGND
USBGND
USBGND
USBGND
USBGND
USBGND
USBGND
USBGND
USBGND
USBGND
USBGND
USBGND
USBGND
USBGND
USBGND
USBGND
USBGND

[20,21] C_BE#[0..3]

G2
J4
J3
H3
F1
G1
H4
F2
E1
G3
E3
D1
G4
D2
D3
F3
K3
L3
K2
K1
M4
L2
N4
L1
M2
M1
P4
N3
N2
N1
P1
P2

_VIA-VT8237R

A13
A15
A17
A19
A21
B13
B15
B17
B19
B21
C13
C14
C15
C16
C17
C18
C19
C20
C21
D13
D15
D17
D19
D21
E13
E15
E17
E19
E21
H13
H14
H15
H16
H17
H18

AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31

VCC33
VCC33
VCC33
VCC33
VCC33
VCC33
VCC33
VCC33
VCC33
VCC33
VCC33
VCC33
VCC33
VCC33
VCC33
VCC33
VCC33
VCC33
VCC33
VCC33
VCC33
VCC33
VCC33
VCC33
VCC33
VCC33
VCC33
VCC33

AD[0..31]

GND
GND
GND
GND
GND
GND
GND
GND
GND

[20,21] AD[0..31]

H9
H10
H11
H12
J8
K8
L8
M8
N8
P8
R8
R19
T8
T19
U8
U19
V8
V19
V21
W9
W10
W11
W17
W18
W19
W21
Y21
W8

VCC3

VCC3
VCC3
RN70

PIRQ#E
PIRQ#H
PIRQ#G
PIRQ#F

1
3
5
7

RN73
8P4R-8.2KR
2
4
6
8

PGNT#5
PREQ#5
PGNT#4
PREQ#4
PGNT#3
PREQ#3
PGNT#0
PREQ#0

1
2
3
4
6
7
8
9

1
2
3
4
6
7
8
9

MICRO-START INT'L CO.,LTD.


Title
10

VT8237-1(PCI,USB,KB)

10

10P8R-8.2KR

Size
C

Document Number

Date:

Thursday, July 28, 2005

Rev

MS-7211

0A
Sheet
E

17

of

32

S D _0
S D _1
S D _2
S D _3
S D _4
S D _5
S D _6
S D _7
S D _8
S D _9
S D _10
S D _11
S D _12
S D _13
S D _14
S D _15

[24]
[24]
[24]
[24]
[24]
[24]
[24]
[24]
[24]
[24]
[24]

AC20
AB20
AC21
AE18
AF18
AD18
AD19
AF19
AE20
AF20
AD20
AE21
AF21
AD21
AD22
AF22

S D REQ AD17
S D ACK AD23
-SIOR AF23
- S IOW AE23
S DRDY AF17
- SCS_1 AF25
- SCS_3 AF26
S D_A0 AF24
S D_A1 AC22
S D_A2 AE24

S D REQ
S D ACK
- SIOR
- S I OW
S DRDY
- SCS_1
- SCS_3
S D _A0
S D _A1
S D _A2
IRQ_15

AE26

C176

C0.1U 25Y
AC19

R244

360R1% AB21

STXP_1 C214
STXN_1 C225

C0.01U 50X
STXP1 AB13
C0.01U 50X
STXN1 AC13

S RXN_1 C223
SRXP_1 C228

C1200P25X
S R XN1 AF13
C1200P25X
SRXP1 AE13

STXP_2 C205
STXN_2 C212

C0.01U 50X
STXP2 AB15
C0.01U 50X
STXN2 AC15

S RXN_2 C200
SRXP_2 C209

C1200P25X
S R XN2 AF15
C1200P25X
SRXP2 AE15

near chipset

device issue

CP15

AC17
AC11
AB17
AB11

+2.5VSB

W12
W13
W14
W15
W16

+2.5VSATA

FB 13
X_80L700m _150
C194
C0.1U 25Y

C192

CM11

T4
U4
VSUS25
VSUS25

VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD

VSUS33
VSUS33
VSUS33
VSUS33

B IT_CLK
S DIN0
S DIN1
S DIN2
S DIN3
A C SYNC
RN71
ACSDO
1
A C RST
3

PME
BATLOW
CPUMISS
RING
SUSST1
AOLGP/THRM
EXTSMI
SMBALRT
LID
PWRBTN
PWROK
CLKRUN
CPUSTP
PCISTP

PDDREQ
PDDACK
PDIOR
PDIOW
PDRDY
PDCS1
PDCS3
PDA0
PDA1
PDA2
IRQ14
SDD0/TBC1
SDD1/VALID
SDD2
SDD3/RXD2
SDD4/RXD3
SDD5/RXD4
SDD6/RBC0
SDD7/RBC1
SDD8/RXD5
SDD9/RXD6
SDD10/RXD7
SDD11/RXD8
SDD12/RXD9
SDD13/TXD0
SDD14/TXD1
SDD15/TXD2

INTRUDER
SUSCLK
SMBCK1
SMBDT1
SMBCK2
SMBDT2
SUSA
SUSB
SUSC
GPI0
GPI1
GPO0
GPO1
GPIOA
GPIOB
GPIOC
GPIOD

SDDRQ/RXD1
SDDACK/TBC0
SDIOR/TXD4
SDIOW/TXD3
SDRDY/RXD0
SDCS1/TXD8
SDCS3/TXD9
SDA0/TXD6
SDA1/TXD5
SDA2/TXD7
IRQ15

SERIRQ
SPKR
OSC
TPO
TEST

SVREF
VDDA0
SCOMPP
GNDA0
STXP1
STXN1

SREXT

SRXN1
SRXP1

SXO
SXI

STXP2
STXN2

VDDA33

SRXN2
SRXP2

GNDA33
GND
GND
GND
GND
GND
GND
GND
GND
GND

VDDATS
VDDATS
VDDATS
VDDATS
VDDATS
VDDAS
VDDAS
VDDAS
VDDAS

V C C 3_SB

2 SYNC
4 S D O UT
6 -A CRST
8

- BATLOW
C P U M ISS
- R ING
-SUSST
T HERM#
-EXTSMI
-SMB ALT
-LID
- P W R BTN
- P W R O K_NB
- CLKRUN
-C PUSTP
- PCISTP

VGATE
TEST
- CLKRUN
- LDRQ

AE1

INTRUD ER

AB3

S US_CLK

AC4
AB2

S YNC
S D OUT
- A CRST

[20,21,22]

CPUMISS

[5]

S MBCK2
SMBDT2

AA2
AD3
AF2

-S USA
SLP_S3#
SLP_S5#

AE2
AC2
AA3
AE3
AE5
AD5
AF5
AC6

GP I0
GP I1
GP O0
GP O1
G P I OA
G P I OB
G PIOC
G PIOD

AD9
AF8
AB8

S ERIRQ
S P KR
S B14MHZ

AF9
AE9

T PO
TEST

7
5
3
1

[23]
[23]
[23]

2
4
6
8

T HERM#
1
- BATLOW
3
PME#
5
-SUSST
7
GP O1
1
-PWR OK_NB
3
- P W R BTN
5
-SMB ALT
7

2
4
6
8
2
4
6
8

ACSDO
RN72
8P4R-4. 7KR

[29]

X_4.7KR
V CC3

R308

4.7KR

0: Enable
1: Disable

RN81
8P4R-4. 7KR
RN76
8P4R-4. 7KR

R313

4.7KR

- S USA

R301

4.7KR

[2 4]

1 - Disable (Default)
0 - Enable

- P W ROK_NB [10]

1
3
5
7

SMBDT2
S MBCK2
S MBDATA_ISO
S M BCLK_ISO

VBAT Issue

2
4
6
8

R292

T PO

A C S Y N C R311

4.7KR

VCC3

0: Enable
1: Disable

IN TRUDER
GP I0

Eliminate LAN EEPROM


RN82
8P4R-4. 7KR

V CC3
[19] MIIE EDI

X_4.7KR

8
6
4
2

7
5
3
1

MIIE EDI

R291

X_4.7KR

R294

4.7KR

0: Disable
1: Enable

V C C 3_SB
V CC3

RN80
8P4R-2.7KR
R306
1MR
R307
1MR

External SATA PHY

P D ACKR234

+3. 3VBAT

4.7KR

V CC3

0: Enable
1: Disable

Try if not used


S L P_S3#
S L P_S5#

GP I1

[22,27,29]
[27]

SLP_S3#

R310

X_4.7KR

SATA Master/Slave Mode

[2 4]

- PCS_1 R233

7
5
3
1

S DIN2
S DIN1
S DIN3
S ERIRQ

LPC FWH Command

GP O0

S P KR
S ERIRQ
-C PUSTP
- PCISTP

S P KR

* " S P K R " => CPU Freq. Adjust Setting

8
6
4
2

RN79
8P4R-4. 7KR

10KR

V CC3

0: Master Slave mode


1: Master Master mode

[22]

NB Strapping Selection

S B 14MHZ [1 6]

RN48
8P4R-2. 2KR

+2.5VSB

P D _A0
P D _A1
P D _A2

AC10
C295
C1U16Y

AB10

1
3
5
7

V CC3
R317 2.2KR0402

2
4
6
8

G P I OA
R320 2.2KR0402
G PIOC
R235 2.2KR0402

R319

AD11 SRE XT

- PCS_3

G PIOD
R286

AE10 S X O

2.2KR0402

4.7KR 1% FB 14
X_80L700m_ 150

AF10 S XI
AE11 V D D A33

1
C230
X_C0.1U25Y

AF11
W5
V5
M16
N11
N12
N13
N14
N15
N16

R312

VCC3

- S U SST [10]
THERM#
[5,22]

-LID

1
3
5
7

Try if not used

S MBCLK_ISO
S M BCLK_ISO [12, 16,27]
S M B DATA_ISO
S M B DATA_ISO [12, 16,27]

AC3
AD1

8
6
4
2

S U S _CLK
-EXTSMI
C P U M ISS
- R ING

RN69
8P4R-2.7KR

[23]
[23]

P ME#

V CC3

VGATE

B IT_CLK
SDI N0

5
W4
V4
Y1
Y2
Y3
Y4
AA1
AB1
AC1
AD2
AF1
AB7
AC7
AD6

R318

VCC3

GPIOA
0
1

2.2KR0402

SW3 bit3

GPIOB
0
1

Vlink auto compensation


Auto mode
Manual mode

IOQ Depth
8 Level
1 Level

C P16
SW3 bit4

4
S RXN_2
SRXP_2

5
6

_VIA-VT8237R

GTL pullup
Enable
Disable

2
3

GPIOD
0
1

1
STXP_2
STXN_2

2
3

S RXN_1
SRXP_1

SW3 bit2

Host Clock
200Mhz
Auto Mode

1
STXP_1
STXN_1

GPIOC
0
1

S A T A1

VCC3

C222
X_C0.1U 25Y

SW3 bit1

G P IOB

S A T A2

GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND

SD_[ 0..15]

[24] SD_[0..15]

T1
U3
V2
U1
V3
T2
U2
T3

VT8237 strapping
Auto Reboot

[19,22] - LDRQ

F6
F7
J5
K5
P5
R5
L11
L12
L13
L14
L15
L16
M11
M12
M13
M14
M15
K18

P D REQY23
P D ACKV24
-PIOR W26
- P IOW Y25
P DRDYY22
- PCS_1V22
- PCS_3V23
P D_A0W23
P D_A1 V25
P D_A2W24
IRQ_14
AD24

P D REQ
P D ACK
- PIOR
- P I OW
P DRDY
- PCS_1
- PCS_3
P D _A0
P D _A1
P D _A2
IRQ_14

C1U16Y
C1U16Y
C0.1U 25Y
C1U16Y
C4.7U10Y 0805
[19]

8 P 4 R-33R7

GNDAS
GNDAS
GNDAS
GNDAS

[24]
[24]
[24]
[24]
[24]
[24]
[24]
[24]
[24]
[24]
[24]

C294
C296
C B96
CB148
CM10
U 13B

ACBITCLK
ACSDIN0
ACSDIN1
ACSDIN2
ACSDIN3/SLP_BTN
ACSYNC
ACSDO
ACRST

AC16
AC12
AB16
AB12

PDD0
PDD1
PDD2
PDD3
PDD4
PDD5
PDD6
PDD7
PDD8
PDD9
PDD10
PDD11
PDD12
PDD13
PDD14
PDD15

GNDATS
GNDATS
GNDATS
GNDATS
GNDATS
GNDATS
GNDATS
GNDATS
GNDATS
GNDATS
GNDATS
GNDATS
GNDATS

P D _0 AA22
P D_1 Y24
P D_2 AA26
P D_3 AA25
P D_4 AB26
P D_5 AC26
P D_6 AC23
P D_7 AD25
P D_8 AD26
P D_9 AC24
PD_10AC25
PD_11AB24
PD_12AB23
PD_13AA24
PD_14 Y26
PD_15AA23

AB14
AC14
AD12
AD13
AD14
AD15
AD16
AE12
AE14
AE16
AF12
AF14
AF16

PD_[ 0..15]

[24] PD_[0..15]

AA4
AB4
AB5
AB6

V C C 3_SB 2.5V_SB

J9
J10
J11
J12
K9
L9
L18
M9
M18
N9
N18
P9
P18
R9
R18
T9
T18
U9
U18
V9
V10
V11
V12
V13
V14
V15
V16
V17
V18

+2.5VSB

SB Pull up resistors

+2.5VSB

5
6

X_C1U16Y C4.7U10Y 0805


SXO
near chipset

CONN-SATA_orange

SXI

- P W R BTN
25MHZ18P_D -1
C236
C237
C16P50N
C16P50N

C ONN-SATA_orange

MICRO-START INT'L CO.,LTD.

Y3

R305

68R

PWR BTN#

[29]

Title

VT8237-2(IDE,STAT,GPIO)

C251
C0.1U 25Y

1 - Enable

Size
B

D o c u m e n t Number

D a te:

Thursday, July 28 , 2005

R ev

MS-7211

0A
Sheet
E

18

of

32

VLAD0 H25
VLAD1 G26
VLAD2 K26
VLAD3 J23
VLAD4 F26
VLAD5 G25
VLAD6 K22
VLAD7 K24
E24
G23
L26
L25
E26
E25
L24
M26

[10]

VBE0

[10]
[10]

UPCMD
DNCMD

[10]
[10]
+2.5VSB

VBE0

UPSTB
-UPSTB

UPSTB
-UPSTB

[10]
[10]

G24

UPCMD K23
DNCMD K25
J26
J24

DNSTB H26
-DNSTB H24

DNSTB
-DNSTB

VD0
VD1
VD2
VD3
VD4
VD5
VD6
VD7
VD8
VD9
VD10
VD11
VD12
VD13
VD14
VD15

VCCVK
VCCVK
VCCVK
VCCVK
VCCVK
VCCVK
VCCVK
VCCVK
VCCVK
VCCVK
VCCVK
VCCVK
VCCVK
VCCVK
VCCVK
VCCVK
VCCVK
VCCVK
VCCVK
VCCVK
VCCVK
VCCVK
VCCVK

[16]

4.7KR

LVREF_SB H22

R228
360R1%

VCO MPP

J22

VCLK

L22

VCLK

F23
G22

[22,29]
[22,29]
[22,29]
[22,29]

LAD0
LAD1
LAD2
LAD3

LAD0
LAD1
LAD2
LAD3

AD8
AF7
AE7
AD7

MRXER
MRXCLK
MRXDV
MRXD0
MRXD1
MRXD2
MRXD3

VBE
UPCMD
DNCMD

MDCK
MDIO

UPSTB
UPSTB

PHYRST
EECS
EEDO
EEDI
EECK

DNSTB
DNSTB

-LFRAME
-LDRQ

[22,29] -LFRAME
[18,22] -LDRQ

AF6
AE6
AE8

VPAR

RAMVCC

VLREF
RAMGND
VCOMPP
FERR
A20M
IGNNE
INIT
INTR
NMI
SMI
STPCLK
SLP
GHI
DPSLP

VCLK
VIOUT
VIIN
LAD0
LAD1
LAD2
LAD3

VGATE
VIDSEL
VRDSLP
AGPBZ/GPI6

LFRM
LREQ0
LREQ1
PCICLK

[27,29] RSMRST#

PWRGD_SB AC5
C246
X_C0.01U50X
AD4
RSMRST#
+3.3VBAT AF4

+3.3VBAT
2

X1

AE4
X2

AF3

C244

C10P50N

APICCLK
APICD0/APICCS
APICD1/APICACK

VBAT

PLLVCC

RTCX1

PLLGND

RTCX2

C245

C11
A10
B10
B9
A9
C10

D10
C9
D8
C8
B8
A8
C7
A7
B7
D7
D11
B12
A12
C12
E7

MIIEEDI

MIIEEDI

+2.5VRAM

[18]
+2.5VSB
2.2R

R297
CB113
C0.1U25Y

E6
3

U24
U26
T24
R26
T25
T26
U25
R24
V26
R22
P21

FERR#
A20M#
IGNNE#
HINIT#
INTR
NMI_SB
SMI#
STPCLK#
SLP#
G HI
DPSLP

FERR#
A20M#
IGNNE#
HINIT#
INTR
NMI_SB
SMI#
STPCLK#
SLP#

AC9 VGATE
AC8 SATA_LED
VRDSLP
AB9
AGPBZ
AD10

R23

SPCLK

U23

APICCLK

[5,6]
[5]
[5]
[5]
[5]
[5]
[5]
[5]
[5]

VGATE
SATA_LED

[18]
[29]

AGPBZ

[10]

SPCLK

[16]

APICCLK [16]

R25
T23

APICD0
APICD1

T22

+2.5VSBPLL

U22

GND_SBPLL

R232
R231

1KR
1KR

VCC3
CP12
2
FB10

CB100
C0.1U25Y
FB7
2

+2.5VSB
1
X_80L700m_150
+2.5VSB

CM9
C1U16Y0805

X_80L700m_150

X_C0.1U16X

_VIA-VT8237R

NOTEBOOK SIGNALS

C10P50N
AGPBZ
DPSLP
G HI

R295
VRDSLP
1

1KR1%

R208
3.01KR1%

D7
S-BAT54C_SOT23
JBAT2
3

RN51
7
5
3
1

VCC3

8P4R-4.7KR0402
R293
4.7KR

C161
C0.1U25Y
LVREF_SB

C247
R309
1KR
C10U10Y0805

VBAT Issue
under 10uF

C253
C0.01U50X

LVREF_SB=0.625

JBAT1

C171,C195 should close to SB


1KR

C169
C0.1U25Y

JBAT2(1-2)
_JUMPER-1X2B_green

JBAT1
BAT-2P_SO41
R300

R193
422R1%

+3.3VBAT

3
2

8
6
4
2

1
2

R299
1KR

C1000P50X

CP10

+2.5VSB

1-2

Clear CMOS

2-3

Normal

MICRO-START INT'L CO.,LTD.


Title

SIO _VBAT

VT8237-3(V-LINK,MII,CPU,RTC)
Size
Document Number
Custom
MS-7211
Date:

C198

VCC5_SB

R298
2.55KR1%

CB94

Decoupling capacitors

P11
P12
P13
P14
P15
P16
R11
R12
R13
R14
R15
R16
R21
T11
T12
T13
T14
T15
T16
W22
W25
AA21
AB19
AB22
AB25
AC18
AE17
AE19
AE22
AE25
AA9
AB18
T21
AA10
K19

Y4
32.768KHZ12.5P_D
1
2

PWRGD
RSMRST

A11
B11

GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND

[27] PWRG D_SB

U13C
MCRS
MCOL
MTXENA
MTXD0
MTXD1
MTXD2
MTXD3
MTXCLK

R236
F24

VCC3_SB

D9
E9
E10
E11

VLAD[0:7]

[10] VLAD[0:7]]

2.5V_SB

L23
K21
L21
N21
N22
N23
N24
N25
N26
P22
P23
P24
P25
P26
M21
M22
M23
M24
M25
L19
M19
N19
P19

+2.5VSB

MIIVCC
MIIVCC
MIIVCC
MIIVCC

D12
E12

MIISUS25
MIISUS25

Thursday, July 28, 2005


G

Rev

0A
Sheet

19

of
H

32

-12V
-12V

+12V

V CC5
P I RQ#C
PIRQ#A

V CC3

[16] PCI_CLK1
[17]

P REQ#1
AD31
AD29
AD27
AD25
C_BE#3
AD23
AD21
AD19
AD17
C_BE#2

[17,21]

IRD Y#

I RDY#

DEVSEL#

[17,21] DEVSEL#

LOCK#
PERR#

[17,21] P ERR#

SERR#

[17,21] S ERR#

C_BE#1
AD14
AD12
AD10

A D8
A D7

B52
B53
B54
B55
B56
B57
B58
B59
B60
B61
B62

A D5
A D3
A D1
ACK#64

AD8
AD7
+3.3V
AD5
AD3
GND
AD1
+5V(I/O)
ACK64#
+5V
+5V

TRST#
+12V
TMS
TDI
+5V
INTA#
INTC#
+5V
RESERVED
+5V(I/O)
RESERVED
GND
GND
RESERVED
RST#
+5V(I/O)
GNT#
GND
RESERVED
AD30
+3.3V
AD28
AD26
GND
AD24
IDSEL
+3.3
AD22
AD20
GND
AD18
AD16
+3.3V
FRAME#
GND
TRDY#
GND
STOP#
+3.3V
SDONE
SBO#
GND
PAR
AD15
+3.3V
AD13
AD11
GND
AD9
C/BE#0
+3.3V
AD6
AD4
GND
AD2
AD0
+5V(I/O)
REQ64#
+5V
+5V

A1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
A16
A17
A18
A19
A20
A21
A22
A23
A24
A25
A26
A27
A28
A29
A30
A31
A32
A33
A34
A35
A36
A37
A38
A39
A40
A41
A42
A43
A44
A45
A46
A47
A48
A49
A52
A53
A54
A55
A56
A57
A58
A59
A60
A61
A62

+ 12V
P CI2

P CI1

-12V
TCK
GND
TDO
+5V
+5V
INTB#
INTD#
PRSNT#1
RESERVED
PRSNT#2
GND
GND
RESERVED
GND
CLK
GND
REQ#
+5V(I/O)
AD31
AD29
GND
AD27
AD25
+3.3V
C/BE#3
AD23
GND
AD21
AD19
+3.3V
AD17
C/BE#2
GND
IRDY#
+3.3V
DEVSEL#
GND
LOCK#
PERR#
+3.3V
SERR#
+3.3V
C/BE#1
AD14
GND
AD12
AD10
GND

PCI SLOT 2

PCI SLOT 1
D

B1
B2
B3
B4
B5
B6
B7
B8
B9
B10
B11
B12
B13
B14
B15
B16
B17
B18
B19
B20
B21
B22
B23
B24
B25
B26
B27
B28
B29
B30
B31
B32
B33
B34
B35
B36
B37
B38
B39
B40
B41
B42
B43
B44
B45
B46
B47
B48
B49

V CC5
PIRQ#B
P I RQ#D

[17]

P IRQ#D

[17]

PIRQ#B

P I RQ#D
PIRQ#B

V CC5

PCIRST#1

V CC3

VCC3_SB
PCIRST#1 [27]

PGNT#1

PGNT#1

PME#
AD30

[17]

PME#

[16] PCI_CL K2
[17]

P REQ#2
AD31
AD29

[18,21,22]

V CC3

AD28
AD26
AD24
ID2 R304

AD27
AD25
C_BE#3
AD23

330R AD19

AD22
AD20

AD21
AD19

AD18
AD16

AD17
C_BE#2

FRAME#
TR DY#
STOP#

FRAME#

[17,21]

TRDY#

[17,21] [17 ,21] DEVSEL#

STOP#

[17,21]

I RDY#

[17,21]
[17,21] P ERR#
[17,21] S ERR#

PAR
AD15

PAR

AD13
AD11

[17,21]

IRD Y#
DEVSEL#
LOCK#
PERR#
SERR#
C_BE#1
AD14
AD12
AD10

B1
B2
B3
B4
B5
B6
B7
B8
B9
B10
B11
B12
B13
B14
B15
B16
B17
B18
B19
B20
B21
B22
B23
B24
B25
B26
B27
B28
B29
B30
B31
B32
B33
B34
B35
B36
B37
B38
B39
B40
B41
B42
B43
B44
B45
B46
B47
B48
B49

A D9

C_BE#0

A D8
A D7

A D6
A D4

A D5
A D3

A D2
A D0

A D1
ACK#64

REQ#64

B52
B53
B54
B55
B56
B57
B58
B59
B60
B61
B62

-12V
TCK
GND
TDO
+5V
+5V
INTB#
INTD#
PRSNT#1
RESERVED
PRSNT#2
GND
GND
RESERVED
GND
CLK
GND
REQ#
+5V(I/O)
AD31
AD29
GND
AD27
AD25
+3.3V
C/BE#3
AD23
GND
AD21
AD19
+3.3V
AD17
C/BE#2
GND
IRDY#
+3.3V
DEVSEL#
GND
LOCK#
PERR#
+3.3V
SERR#
+3.3V
C/BE#1
AD14
GND
AD12
AD10
GND
AD8
AD7
+3.3V
AD5
AD3
GND
AD1
+5V(I/O)
ACK64#
+5V
+5V

TRST#
+12V
TMS
TDI
+5V
INTA#
INTC#
+5V
RESERVED
+5V(I/O)
RESERVED
GND
GND
RESERVED
RST#
+5V(I/O)
GNT#
GND
RESERVED
AD30
+3.3V
AD28
AD26
GND
AD24
IDSEL
+3.3
AD22
AD20
GND
AD18
AD16
+3.3V
FRAME#
GND
TRDY#
GND
STOP#
+3.3V
SDONE
SBO#
GND
PAR
AD15
+3.3V
AD13
AD11
GND
AD9
C/BE#0
+3.3V
AD6
AD4
GND
AD2
AD0
+5V(I/O)
REQ64#
+5V
+5V

A1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
A16
A17
A18
A19
A20
A21
A22
A23
A24
A25
A26
A27
A28
A29
A30
A31
A32
A33
A34
A35
A36
A37
A38
A39
A40
A41
A42
A43
A44
A45
A46
A47
A48
A49
A52
A53
A54
A55
A56
A57
A58
A59
A60
A61
A62

P I RQ#C
PIRQ#A

P IRQ#C
[17]
P IRQ#A [11,14,17]
V CC5

PCIRST#1

VCC3_SB
PCIRST#1 [27]

PGNT#2

PGNT#2

PME#
AD30
AD28
AD26
AD24
ID2 R315

[17]

PME#

[18 ,21,22]

V CC3

330R AD20

AD22
AD20
AD18
AD16
FRAME#

FRAME#

[17, 21]

TRDY#

[17, 21]

STOP#

[17, 21]

PAR

[17, 21]

TR DY#
STOP#

PAR
AD15
AD13
AD11
A D9

C_BE#0
A D6
A D4
A D2
A D0
REQ#64

S LOT-PCI

S LOT-PCI

IDSEL = AD20
MASTER = PREQ#2
PIRQ#C

IDSEL = AD19
MASTER = PREQ#1
PIRQ#B

[17, 21]

AD[0..31]

AD[0..31]

C_BE#[0 ..3]

[17,21] C_BE#[0 ..3]

[12,16,18,27] S MBDATA_ISO
[12,1 6,18,27] S MBCLK_ISO

SMBDATA_ISO
SMBCLK_ISO

PCI PULL-UP / DOWN RESISTORS


FRAME#
IRD Y#
TR DY#
DEVSEL#
LOCK#
STOP#
PERR#
S ERR#

2
4
6
8
2
4
6
8

1
3
5
7
1
3
5
7

PCI SLOT DECOUPLING CAPACITORS


V CC5

V CC3

V CC3

RN74
8P4R-2.7KR

V CC5

RN78
8P4R-8.2 KR
PGNT#11
PREQ#1 3
PGNT#25
PREQ#2 7

RN75
8P4R-2.7KR

2
4
6
8

+12V

RN77
8P4R-2.7 KR
P I RQ#D
PIRQ#B
P I RQ#C
PIRQ#A

1
3
5
7

C256
X_C0.1 U16X
C249
X_C0.1 U16X

2
4
6
8

C250
X_C0.1 U16X
C257
C1000 P50X
C259
X_C100 0P50X

R316

4.7KR

ACK#64

R314

4.7KR

VCC5

X_.CD1000U6.3EL15

EC53
+
REQ#64

VCC3_SB

-12V

V CC3

C274
X_C0.1 U16X

C258
X_C0.1 U16X
C153
X_C0.1 U16X
C232
C100 0P50X

C254
X_C0.1 U16X
C248
X_C0.1 U16X
C260
X_C0.1 U16X

EC51
X_.CD1000 U6.3EL15

2 CB1 16

2 CB1 18

C0.1U25Y
X_C0.1U25Y
C261
C0.1U25Y

2 CB1 12

MICRO-START INT'L CO.,LTD.

C0.1U25Y
Title

PCI Slo t 1 & 2


Size
Document Number
Cust om
MS-7211
Date:
8

Thursday, Ju ly 28, 2005

Rev

0A
Sheet

20
1

of

32

For RTL8110S/SB
VCC3 _SB

DVDDA

For RTL8100C

PIRQ #E
PR EQ#0
P GNT#0
100R

46
27

[10,22,27,29] PCIR ST#2


R258
X_330R

[16]

LAN _CLK

LAN _CLK28

20
7
3
16

VDD33
VDD33
VDD33
VDD33
VDD33
VDD33
VDD33

AVDDL/AVDD33
AVDDL/AVDD33
AVDDL/AVDD33
AVDDL/NC

107
94
84
71
56
41
26

116
110
99
78
64
54
45
32
24

126

CTRL18/NC
CTRL25

CBE0B
CBE1B
CBE2B
CBE3B

XTAL1

CLK

reserved to avoid
PCIRST overshoot
over 4.5V on LAN
device.

105
117
115
114
113

L A N_LINK_UP
LINK_100_C
VCC3 _SB

LINK_1000

M DI_0+
M DI_0-

106
111
109
108

MII_ EECS#
MII_ EECK
MII_EE DI
MII_E EDO

MII_ EECS#
MII_ EECK
MII _EEDI
MII_ EEDO

1
2
3
4

CS
SK
DI
DO

VCC
NC
NC
GND

M DI_1+
M DI_1-

8
7
6
5

M DI_2+
M DI_2-

R203

10KR

R202

X_10KR

R201

3.6KR1%

M DI_3+
M DI_3-

125

C TRL18

C TRL25

P l a c e these components close


t o t h e L A N Conroller
C145
C0.1U25Y

C173 G_C0.1U25Y
R237

G_49.9R1%

R242

G_49.9R1%

C168 G_C0.1U25Y
R221

G_49.9R1%

R229

G_49.9R1%

8100C removed

121

C159 C0.1U25Y

FRAMEB
IRDYB
TRDYB
DEVSELB
STOPB
PAR
PERRB
SERRB
PMEB
INTAB
REQB
GNTB
IDSEL
RSTB

M DI_0+
M DI_0M DI_1+
M DI_1M DI_2+
M DI_2M DI_3+
M DI_3-

1
2
5
6
14
15
18
19

C149
C27P50N
Y1
25MHZ18P_D-1
C147 C0.1U25Y

XTAL2
SMBDATA
SMBCLK
M66EN/NC
CLKRUNB
ISOLATEB
VSSPST
VSSPST
VSSPST
VSSPST
VSSPST
VSSPST
VSSPST
VSSPST

61
63
67
68
69
76
70
75
31
25
30
29

AT93 C46-10SI-2.7-A

VSS/NC
VSS/NC

R288

FR AME#
IRD Y #
TR DY#
D EVSEL#
STOP#
PAR
PERR#
SER R#

VL2_5

C179
C0.1U25Y

RSET

C148

122

R205

49.9R1%

R194

49.9R1%

R184

49.9R1%

R185

49.9R1%

C27P50N

74
72

8100C Populate 104P

88
65
23

R251

1KR1%

V CC5

127
R187
G_2.49KR1%

VL2_5

R247
15KR

VCC3 _SB

21
38
51
66
81
91
101
119

AD18

92
77
60
44

EECS
EESK
EEDI
EEDO

9
13

[17,20] FRAME#
[17,20] IRDY#
[17,20]
TRDY#
[17,20] DEVSE L#
[17,20]
STOP#
[17,20]
PA R
[17,20] PER R#
[17,20] SER R#
[18,20,22] PME#
[17] PIR Q#E
[17] PRE Q#0
[17] PGN T#0

VCC3 _SB

U18

VSS
VSS
VSS
VSS
VSS

C _BE#0
C _BE#1
C _BE#2
C _BE#3

MDI0+/TX+
MDI0-/TXMDI1+/RX+
MDI1-/RXMDI2+/NC
MDI2-/NC
MDI3+/NC
MDI3-/NC

LANWAKEUP
LED0
LED1
LED2
LED3/NC

4
17
123
124
128

C_BE#[0..3]

AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31

GND
GND
GND
GND

AD0
104
AD1
103
AD2
102
AD3
98
AD4
97
AD5
96
AD6
95
AD7
93
AD8
90
AD9
89
AD10
87
AD11
86
AD12
85
AD13
83
AD14
82
AD15
79
AD16
59
AD17
58
AD18
57
AD19
55
AD20
53
AD21
50
AD22
49
AD23
47
AD24
43
AD25
42
AD26
40
AD27
39
AD28
37
AD29
36
AD30
34
AD31
33

VDD18/NC
VDD18/NC
VDD18/VDD25
VDD18/VDD25
VDD18/NC
VDD18/VDD25
VDD18/NC
VDD18/VDD25
VDD18/NC

AD[0..31]

120
10

U17

C151
C0.1U25Y

35
52
80
100

For RTL8110SB

[17,20] C_BE#[0..3]

G_0R0 805

For RTL8110SB

AVDDH/NC
AVDDH/NC

G _0R

11
12

R200

[17,20] AD[0..31]

X_E_0R0805

R246

DVDD

VDD18_A/NC

G_0R0805

G_80L2_100_0805

R211

HSDAC+
HSDAC-

VCC3 _SB

X_E_0R0 805

GND/NC
GND/NC
GND/NC
GND/NC
GND/NC
GND/NC

R212

VCC3 _SB

22
48
62
73
112
118

DVDD

R191
FB8

For RTL8100C

C102
C4.7U10Y0805

2.49K for 8110SB


5.6k for 8100C

for 8100C
C87
C0.1U25Y

for 8110SB

R123
R117
R107
G_0R0805 X_E_330R
330R
LAN_U SB1B
LAN_ACTLED 19
L A N_LINK_UP 20

for 8110SB

C106
G_C0.01U50X

for 8110SB

C92
G _0R

13
18
12
17
11
16
10
15
9
14
21
22

M DI_0+
M DI_0M DI_1+
M DI_1M DI_2+
M DI_2M DI_3+
M DI_3-

LINK_1000
LINK_100_C

NC
TD1+
TD1TD2+
TD2TD3+
TD3TD4+
TD4-

NC
GREEN+
GREEN-

CONN-RJ45_ USBX2_LEDX2_black-3
C0.1U25Y
C99

VCC3 _SB

AMBER+
AMBER-

C0.1U25Y
C103

VL2_5

DVDDA

AVDDL

AVDDH

V-12P

DVDD
DVDD

C TRL25

For RTL8110SB

8100C

2.5V

3.3V

8110S

1.8V

1.8V

2.5V

3.3V

8110SB

1.2V

1.2V

2.5V

3.3V

2.5V

3.3V

Q32

2
4

VL2_5 VL1_8

For RTL8110SB
For RTL8100C
R222

G_0R0805

R266

X_E_0R0 805

P-PBSS53 50Z_SOT223

+
EC50

VL2_5

For RTL8100C

R261

C199
X_C0.1U25Y

VL1_8
EC52
VCC3 _SB R255
CD470U10EL11.5

X_E_0R

Giga-Lan

10/100-Lan
N58-22F0061-S42
N58-22F0061-F02

C TRL18

Link
Active
1000
100
10
19

Link
Yellow
Active Blinking
100
Green
10
None

CD470U10EL11.5

N58-22F0081-S42

G_0R

2
4

Q31
G_P-PBSS5 350Z_SOT223

Yellow
Blinking
Orange
Green
None

19

EMI
VL1_8
DVDD
A

DVD DA

VCC3 _SB
C191
C10U10Y1206
C158
C0.1U25Y
C216
X_C0.01U50X
C226
C0.1U25Y
C190
C0.01U50X
C193
X_C0.01U50X
C150
X_C0.1U25Y

C144
C10U10Y1206
C166
X_C0.1U25Y
C162
X_C0.01U50X
C215
C0.1U25Y
C184
X_C0.01U50X
C187
X_C0.1U25Y
C210
C0.1U25Y
C155
C0.1U25Y

R261 For RTL8110SB


R255 For RTL8100C

20
C164

R188

C163

Yellow

20

Yellow
A

C165

G_0R0805

Orange

21

For RTL8110SB
G_C1U16Y

X_C0.1U25Y G_C4.7U10Y0805

22

Green

21
22

Green

MICRO-START INT'L CO.,LTD.


Title

R e a l t ek 8100C/8110SB
Size
Document Numb er
Custom
M S -7211
Date:
4

Thursday, July 28, 2005


1

Rev

0A
Sheet

21

of

32

CPU FAN
U10
PCIRST#2
SIOPCLK
SERIRQ
-LDRQ
-LFRAME

[10,21,27,29] PCI RST#2


[16] SIO PCLK
[18]
SERIRQ
[18,19] -LDRQ
[19,29] -LFRAME
[18,20,21] PME#
[19,29]
[19,29]
[19,29]
[19,29]

30
21
23
22
29
86

LAD0
LAD1
LAD2
LAD3

LAD0
LAD1
LAD2
LAD3

LRESET#
PCICLK
SERIRQ
LDRQ#
LFRAME#
PME#

27
26
25
24

LAD0
LAD1
LAD2
LAD3

[29] BIOS_WP#
R170
X_4.7KR
GP40

VREF
CPU_TEMPA
SYSIN

VCC _AGP

R142
10KR1%
+5VIN
-12VIN
+ 12VIN

VCCP

125
123
128
121
126
124
127
122

GP13/GPX2
GP15/GPY1
GP10/GPSA1
GP17/GPSA2
GP12/GPX1
GP14/GPY2
GP11/GPSB1
GP16/GPSB2

101
102
103
104
93
94
95
96
97
98
99
100

SYSFANIN

CASEO PEN#

SIO_V BAT

61
74

VCC 3_SB
C100
C0.1U25Y

GP50

C101
C0.1U25Y

CB77
C 0 .1U25Y

PSOUT#/GP57
PSIN/GP56
PSON#/GP53
SUSB#/GP52
IOCLK

GP51/RSMRST#
GP54/PWROK

3VCC
3VCC
3VCC

77
114

GND
GND
GP22/SCE#
AGND

EN_VRM10/WDTO#/GP50
AVCC

D RVDEN0
INDEX#
MOA#
DSA#
DIR#
STEP#
WRDATA#
WE#
TRACK0#
WP#
RDDATA#
HEAD#
DSKCHG#

1
3
4
6
8
9
10
11
13
14
15
16
17
2

R19
4.7KR

PD0
PD1
PD2
PD3
PD4
PD5
PD6
PD7

THERM#

[5,18]

R14
10KR

C15
C0.1U25Y

CPU _FAN1
BH1X 4BF_white

R171
VCC5

CPUFA NPWM1

1KR
PD[0..7]

P D[0..7]

[26]
TRACK0#
W P#
RDD ATA#
DSKCHG#

[26]
[26]
[26]
[26]
[26]
[26]
[26]
[26]
[26]

2
4
6
8

RN47

1
3
5
7

VCC5

8P4R-1KR

SYS FAN
HI:STR ENABLE

STR _EN
R120
1KR

RTSA#
SOU TA
DTRA#

84
79
82
80
83
78
81
85

DCDB#
DSRB#
SINB_IRRX
RTS B#
SOUTB _IRTX
CTSB#
DTRB#
RIB#

59
60
63
62
66
65
118

R122
R121

4.7KR
4.7KR

VCC 5_SB
DCDA#
DSRA#
SINA
RTS A#
SOU TA
CTS A#
DTRA#
RIA#

[26]
[26]
[26]
[26]
[26]
[26]
[26]
[26]

DCDB#
DSRB#
SINB
RTS B#
SOU TB
CTS B#
DTRB#
RIB#

[26]
[26]
[26]
[26]
[26]
[26]
[26]
[26]

R124

4.7KR

R138

4.7KR

R135

X_4.7KR

STR _EN

+12V

SYSFANIN

27KR
SYS_F AN1

4.7KR

3
2
1

C51
X_C0.1U25Y

RN46

R129
+12V

R133
10KR

BH1X 3B_white-2
RIB#
DCDB#
DSRB#
CTSB#

1
3
5
7

2
4
6
8

VCC5
FDD1

8P4R-4.7KR

VCC5

R130

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33

B EEP

75
71

C P U F ANIN

27KR

4
3
2
1

INDEX#

RSLCT
RPE
RBU SY
RACK#
RSLIN#
RINIT#
RERR#
RAFD#
RSTB#

56
50
53
51
54
49
52
57

R16

+12V

THERM#

5
42
41
40
39
38
37
36
35
31
32
33
34
43
44
45
46
47
88
69
87
70

+12V

VCC 3_SB

20
55
19
117
VTIN_GND

W83627EHF-C
CP9

C136
C0.1U25Y

GA20M
KBRST
GP26/KDAT
GP27/KCLK
GP24/MDAT
GP25/MCLK
SI/BEEP

3VSB
VBAT

28
12
48

VCC3
B

GP34/RSTOUT4#
GP36
GP35
GP55/SUSLED

GP61/DCDA#
CPUFANIN0
GP66/DSRA#
CPUFANOUT0
GP63/SINA
CPUFANIN1/GP21/MSI
GP65/HEFRAS/RTSA#
CPUFANOUT1/GP20/MSO
GP62/PENKBC/SOUTA
SYSFANIN
GP67/CTSA#
SYSFANOUT
GP64/PENROM/DTRA#
AUXFANIN0
GP60/RIA#
AUXFANIN1/SO
AUXFANOUT
GP41/DCDB#
GP46/DSRB#
CASEOPEN#
GP43/IRRX/SINB
RSTOUT3#/GP33/SDA
GP45/RTSB#
RSTOUT2#/GP32/SCLGP42/IRTX/SOUTB
GP31
GP47/CTSB#
GP30
GP44/DTRB#
GP37
GP40/RIB#

76
89
90
91
92
64

SIO48M

[18,27,29] SLP _S3#


[16] SIO48M

PD0
PD1
PD2
PD3
PD4
PD5
PD6
PD7
SLCT
PE
BUSY
ACK#
SLIN#
INIT#
ERR#
AFD#
STB#

VID5
VID4
VID3
VID2
VID1
VID0

112
115
119
120
113
116
111
58
7

67
68
72
73
18

OVT#/HM_SMI#

VREF
AUXTIN
CPUTIN
SYSTIN
RSTOUT1#
RSTOUT0#
VIN4
VIN3
VIN2
VIN1
VIN0
CPUVCORE

105
106
107
108
109
110
C P U F ANIN
CPUFA NPWM1

DRVDEN0
INDEX#
MOA#
DSA#
DIR#
STEP#
WD#
WE#
TRAK0#
WP#
RDATA#
HEAD#
DSKCHG#
GP23/SCK

D RVDEN0

2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34

DSA#
DIR#
STEP#
WRDATA#
WE#
TRACK0#
WP#
RDDATA#
HEAD#
DSKCHG#
C275

VCC3

PWR FAN

INDEX#
MOA#

+12V

3
2
1

PWR_FAN1
BH1X 3B_white-2

C265
X_C0.1U25Y

C276

CONN- FDD(4)(5)(6)V

X_CO PPER

V REF

R168

X_C33P50N

RT1

10KR1%

S YSIN

X_C33P50N

[5] VTIN_GND

R169
10KR

CPU_TEMPA
C135
C3300P50X

BEEP

VTIN_GND

[29]
SOU TA
DTRA#
RTS A#

30KR1%

Q24
N-MMBT3904_NL_SOT23

1
3
5
7

L:
L:
L:
L:

CFAD=2E
TTL LEVEL
KBC DIS ABLE
DISABLE S PI

H:
H:
H:
H:

CFAD=4E
VRM10 L EVEL
KBC ENABLE
ENABLE SPI

2
4
6
8
8P4R-4.7KR

[5] CPU _TMPA

R165

RTSA#
GP50
SOUTA
DTRA#

RN41
ALARM

VREF

Straps

VCC5
10K RT1%

Chasiss Intrusion
R127
R128

4.7KR

GP50

X_4.7KR

SIO_V BAT

Input Pin 100


R125
2MR

1
2

_22.1KR1%-LF

+5VIN

+12V

R158

56KR1%

+ 12VIN

R155

232KR1%

- 12VIN

-12V

JINTR1

1
2

VCC5

R145

VCC 3_SB

CASEO PEN#
R159
10KR1%

N31-1020011-C09

VTIN_GND

H1X2_black

R148
10KR1%

R156
10KR1%

MICRO-START INT'L CO.,LTD.

V REF

Title

Super I/O & FAN 83627EHF-D

2M for big sink current issue

Size
D o cument Number
Custom
MS-7211
Date:
4

Rev

0A

Thursda y, July 28, 2005


1

Sheet

22

of

32

SPDIF OUT
Pin46=floatiing---external clock
=pull-low---from clock gen

AUDIO CODE REGULATORS

VDD3
CP17

100

R296

Trace Width 40mils.

+5VR

X_3R0805

+12V

VIN

VOUT

C262
X_C1U1 6Y

2
48
47
46
45
44
43
42
41
40
39
38
37

VDD3

SYNC
-AC RST

R289

33R0402

C240
C10U10Y1206
SPEAKER_L

Intel Front Audio Connector


C239

FMIC_IN

C1U16Y0805

+5VR

+5VR
C141
C1000P50X

ACVREF

C219

PHONE
AUXL
AUXR
VIDEOL
VIDEOR
CDL
NC
CDR
MIC1
MIC2
LINL
LINR

ALC655

R172
X_0R

3
C231
C1000P50X

C233

C235
X_C 1U16Y0805

C1U16Y0805

SPEAKER_R

7
SPEAKER_L

C130
X_C1000P50X
C252

MICPWR

C134
X_C0.1U25Y

GND

VCC5

L OUT_R

FLINE OUTR
LINE NEXT R

HPON

LOUT_L

10

FLINE OUTL
LINE NEXT L

C127
X_C1000P50X

SPEAKER_R
SPEAKER_L

R157
R146

X_0R
X_0R

L OUT_R
LOUT_L

C1U16Y0805

CD IN1

JAUD IO1(5-6)
JUMPER -1X2B_green

CD_IN1

4
3
2
1

MIC

JAUDIO1
_N31-2051021+N33-1020031

C1U16Y0805
C255

AUDIO-LINEIN
AUX_IN1

R166
4.7KR

1
2
3
4

FMIC _IN 1

C229
C1000P50X

C1U16Y0805

+5VR
C242
C0.1U25Y

R167
4.7KR

C227 C129
C0.1U25Y

LOUTR
LOUTL
NC
NC
VRDA
VRAD
AFILT2
AFILT1
NC
VREF
AVSS1
AVDD1

13
14
15
16
17
18
19
20
21
22
23
24

TOP VIEW

LINE_OUT_L

36
35
34
33
32
31
30
29
28
27
26
25

C4.7U10Y0805

C234
X_C47P50N

SDIN0

[18]
[18]

33R0402

C1U16Y0805
C201

C243
X_C 10U10Y0805

SPEAKER_R

[18]

R290

SDOUT
BIT_CLK

DVDD1
XTL_IN
XTL_OUT
DVSS1
SDATA_OUT
BIT_CLK
DVSS2
SDATA_IN
DVDD2
SYNC
RESET#
PC_BEEP

[18]
[18]

1
2
3
4
5
6
7
8
9
10
11
12

XTALIN

AC97XIN

C241
C10U10Y1206
LINE_OUT_R

NC
NC
TEST6
TEST5
TEST4
TEST1
AVSS2
TEST3
TEST2
NC
AVDD2
MONO

C238
C0.1U25Y

[16]

U9

+5VR

U8
MC78L05ACP_TO92

C206
C0.1U25Y

GND

VCC3

CDL

C120 2
C 1 U16Y
C119 2
C 1 U16Y
C118 2
C 1 U16Y

C D GND
CDR

1 CDLX

JAUD IO1(9-10)
JUMPER- 1X2B_green

AUDIO1C
C131

C1U16Y0805

L INE_R

C132

C1U16Y0805

L INE_L

1 CDGNDX
1 CDRX

AUDIO-CDIN1X4
R151
X_22KR

R152
X_22KR

10
11
12
13
18
16

C122
C1000P50X

C123
C1000P50X

Line-In

Top
JACK-EAR X3-13P

100
ACV REF R153

X_4.7KR
AUDIO1A

C137

X_C 1U16Y0805

ACMIC2

C138

MIC_97

C1U16Y0805

ACV REF R154

4.7KR
C125
C1000P50X

For EMI

C124
C1000P50X

1
2
4
5
3
14

Mic

Bottom
JACK-EAR X3-13P

SPEAKER OUT JACK


AUDIO1B
L OUT_R

CP25

6
7
8
9
17
15

X_CP

2
LOUT_L

Line_IN
CP26

CP27

Line_OUT

X_CP

CB85

MIC_IN

X_CP

X_C0.1U25Y

C121
C100P50N

Near Front
Audio

CB154

C128
C100P50N

Line -Out

Middle

JACK-EA RX3-13P

MICRO-START INT'L CO.,LTD.

X_C0.1U25Y
Title

AC97 Realtek ALC655


Size
D o cument Number
Custom
MS-7211

N54-13F0031-A10

Date:
4

Rev

0A

Thursda y, July 28, 2005


1

Sheet

23

of

32

IDE1 AND IDE2


SECONDARY
PRIMARY
RN58
[18] PD_[0..15]

PD_[0..15]

[18]
[18]
[18]
[18]

IDE1
[27]

HDRST#

[29] -HD_LED1

HDRST# R177
PDD7
PDD6
PDD5
PDD4
PDD3
PDD2
PDD1
PDD0
PDDREQ
-PDIOW
-PDIOR
PHDRDY
PDDACK
IRQ14
PDA1
PDA0
-PCS1
-HD_LED1

33R0402
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39

2
4
6
8
10
12
14
16
18
22
24
26
28
30
32
34
36
38
40

PDREQ
-PIOR
PDRDY
PDACK

PDREQ
-PIOR
PDRDY
PDACK

2
4
6
8

1
3
5
7

RN57
PDDREQ
-PDIOR
PHDRDY
PDDACK

R179
HDRST#
SDD7
SDD6
SDD5
SDD4
SDD3
SDD2
SDD1
SDD0

8P4R-0R0402
RN59

PDD8
PDD9
PDD10
PDD11
PDD12
PDD13
PDD14
PDD15

[18]
[18]
[18]

PD_14
PD_15
PD_0
-PIOW

PD_14
PD_15
PD_0
-PIOW

[18]

2
4
6
8

1
3
5
7

PDD14
PDD15
PDD0
-PDIOW

8P4R-0R0402
[18]
[18]

GPI1
PDA2
-PCS3

GPI1

R214 0R0402
-PCS_1
-PCS1
-PCS_3
-PCS3
R213
0R0402
RN56
PD_12 2
PDD12
1
PD_13 4
PDD13
3
PD_2
PDD2
6
5
PD_1
PDD1
8
7

-PCS_1
-PCS_3

[18]
[18]
[18]
[18]
[18]

PD_12
PD_13
PD_2
PD_1

[18]
[18]
[18]
[18]

PD_5
PD_11
PD_4
PD_3

VCC5
P HDRDY

R181

4.7KR

IRQ14

R178

4.7KR

PDDREQ
PDD7

2
4
6
8

PD_6
PD_7
PD_8
PD_10

2
4
6
8

1
3
5
7

PDD5
PDD11
PDD4
PDD3

[18]
[18]
[18]
[18]

R204
5.6KR0402
R207
5.6KR0402

PD_6
PD_7
PD_8
PD_10

SD_A0
SD_A2
IRQ_14
PD_9

[18]
[18]
[18]
[18]

-SIOR
SDACK
IRQ_15
SD_A1

IDE2

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39

2
4
6
8
10
12
14
16
18
22
24
26
28
30
32
34
36
38
40

PDD6
PDD7
PDD8
PDD10

-SCS_1
-SCS_3

[18]
[18]

PD_A1
PD_A0

[18]

PD_A2

PD_A2

1
3
5
7

-SIOR
SDACK
IRQ_15
SD_A1

2
4
6
8

1
3
5
7

-S_IOR
SD_ACK
IRQ15
SDA1

R224 0R0402
-SCS_1
-SCS1
-SCS_3
-SCS3
R223 0R0402
RN64

-LID
SDA2
-SCS3

-LID

[18]
[18]
[18]
[18]
[18]

SD_14
SD_2
SD_3
SD_13

SD_14
SD_2
SD_3
SD_13

2
4
6
8

SD_RDY R180

4.7KR

R182

4.7KR

SD_REQ

R189

5.6KR0402

1 SDD14
3 SDD2
5 SDD3
SDD13
7
8P4R-0R0402
RN66

[18]

SDRDY
[18] SD_0
[18] SD_15
[18] SD_1

S DRDY 2
SD_0 4
SD_15 6
SD_1 8

[18]
[18]
[18]
[18]

SD_12 2
SD_4 4
SD_116
SD_5 8

1 SD_RDY
SDD0
3
5 SDD15
7 SDD1
8P4R-0R0402
RN63

5.6KR0402

8P4R-0R0402
RN50
2
4
6
8

SDA0
SDA2
IRQ14
PDD9

8P4R-0R0402
[18]
[18]

IRQ15

R186

1
3
5
7

8P4R-0R0402
RN61

SDD8
SDD9
SDD10
SDD11
SDD12
SDD13
SDD14
SDD15

VCC5

SDD7

PD_A1
PD_A0

SD_A0 2
SD_A2 4
IRQ_146
PD_9 8

IDE2 is not used issue

8P4R-0R0402
RN52
1
3
5
7

33R0402

SD_REQ
-S_IOW
-S_IOR
SD_RDY
SD_ACK
IRQ15
SDA1
SDA0
-SCS1
-HD_LED2

[29] -HD_LED2

8P4R-0R0402
RN49
PD_5
PD_11
PD_4
PD_3

SD_[0..15]

[18] SD_[0..15]

[18]
[18]
[18]
[18]

SD_12
SD_4
SD_11
SD_5

1 SDD12
3 SDD4
SDD11
5
SDD5
7
8P4R-0R0402

PDA1
PDA0

-LID

R303

15KR0402

GPI1

R302

15KR0402

RN62

PDA2

[18]
[18]
[18]
[18]

8P4R-0R0402

SD_10
SD_6
SD_7
SD_9

SD_102
SD_6 4
SD_7 6
SD_9 8

SDD10
1
3 SDD6
SDD7
5
SDD9
7
8P4R-0R0402
RN60

[18]
[18]

[18] SD_8
SDREQ
-SIOW

SD_8 2
SDREQ 4
-SIOW 6
8

SDD8
1
3 SD_REQ
5-S_IOW
7

8P4R-0R0402

PS2 KB/MS

EMI

USBVCC1

2
4
6
8

VCC5

0ohm

RN1
1
3
5
7

MAY CHANGE TO

[17]

MSDAT#

[17]

MSCLK#

[17]

KBDAT#

[17]

KBCLK#

MSDAT#

L3

120L600m_250

MSCLK#

L4

120L600m_250

KBDAT#

L1

120L600m_250

KBCLK#

L2

120L600m_250

C1
C0.1U25Y
8P4R-4.7KR

C2
C0.1U25Y

C298

C299

C300

R4
X_330R
JKBMS1

7
8
11
12

10

MS

1
2
5
6
C220P50N0402
C220P50N0402
C220P50N0402
C220P50N0402

KBGND

KBGND
X_C0.1U25Y

KB

X_C0.1U25Y

X_C0.1U25Y

MICRO-START INT'L CO.,LTD.

CONN-KB_MS
Title
CP19
C306 C307 C308 C309

X_COPPER

IDE Connectors , KB/MS

KBGND

Size
C

Document Number

Date:

Thursday, July 28, 2005

KBGND
A

Rev

MS-7211

0A
Sheet
E

24

of

32

Rear USB Connectors

Front USB Connectors

43mOHM X 2 A= 86mV

USBVCC2
F3
5VDUAL2
USBVCC1

5VDUAL1

F-MINISMDC110

F2

F-MINISMDM260

[17]

OC#1

R324
47KR

C85
C0.1U25Y

C263

EC54
.CD1000U6.3EL15

USBVCC3
F4

C0.1U25Y

R103
47KR

[17]

EC42
.CD1000U6.3EL15

OC#4

OC#4

F-MINISMDC110
100

R104
C177
56KR
X_C0.1U2 5Y

C183
C 0 .1U25Y

R325
56KR

CLOSED TO CHIPSET

CLOSED TO CHIPSET

REAR PANEL USB CONNECTOR FOR USB PORT 0,1

FRONT PANEL USB CONNECTOR FOR USB PORT 4,6

USBVCC1

LAN_USB1A

[17]
[17]
[17]
[17]

5
6
7
8
1
2
3
4

USB3USB3+
USB2USB2+

USB3USB 3+
USB2USB 2+

[17]
[17]
[17]
[17]

23
24
25
26
27
28
29
30

UP
DO WN

USB 4+
USB4USB 6+
USB6-

USB4+
USB 4USB6+
USB6-

USBVCC2
USBVCC2

CONN-RJ45_U SBX2_LEDX2_black-3

USBVCC1

USB4-

USB6+

USB4+

USB2+

USB3+

USB3-

1
3
5
7

USB4USB 4+

D11
X_ES D-IP4220

D5
X_ES D-IP4220

USB6USB 6+

Consumer

OC#4

FRONT PANEL USB CONNECTOR FOR USB PORT 5,7

REAR PANEL USB CONNECTOR FOR USB PORT 2,3


[17]
[17]
[17]
[17]

USB1USB 1+
USB0USB 0+

USB1USB 1+
USB0USB 0+

2
4
6
8
10

H2X5(9)_yellow-2

[17]
[17]
[17]
[17]

USB6-

JUS B2

USB2-

USB 7+
USB7USB 5+
USB5-

USB 7+
USB7USB 5+
USB5-

VCC3

C266

VCC5

C0.1U25Y

USBVCC3

USBVCC3
USBVCC1

JUS B1

USB1

USB0+

6
1

USB1-

USB1+

D4
X_ESD -IP4220

USB0-

9
USB0USB 0+

1
2
3
4
12

10

1
2
3
4

5
6
7
8

12

11

USB5+

10

USB7USB7+

1
3
5
7

2
4
6
8
10

USB5USB5+

Consumer

OC#4

H2X5(9)_yellow-2

5
6
7
8

USB5-

USB7USB 7+

USBVCC1

USB1USB 1+

D9
X_ES D-IP4220

11

MICRO-START INT'L CO.,LTD.


Title

CONN-USB X2-8P_black

USB Connectors
Size
D o cument Number
Custom
MS-7211
Date:
5

Rev

0A

Thursda y, July 28, 2005

Sheet
1

25

of

32

PRINTER/COM PORT
C50
C52
C53
C54

SLIN#
PRND2
PINIT#
PRND1

VCC5

RSLCT

D2
BAS32L_LL34

RSTB#
RAFD#

RSTB#
R AFD#
PD0
R E R R#

RN23

8
6
4
2

7
5
3
1

STB#
AFD#
P R ND0
R E R R#

RN11

X_8P4R-0R

[22]

PD[0..7]

[22]
[22]
[22]
[22]

P D[0..7]

PD3
PD4
PD5
PD6

RN12

8
6
4
2

7
5
3
1

RSLCT
RPE
RBUSY
RACK#

P R ND3
P R ND4
P R ND5
P R ND6

PRND7
PRND6
PRND5
PRND4
RSLCT
RPE
RBUSY
RACK#

1
2
3
4
6
7
8
9

1
2
3
4
6
7
8
9

RERR#

PRND3
SLIN#
PRND2
PINIT#
PRND1
RERR#
PRND0
AFD#

1
2
3
4
6
7
8
9

1
2
3
4
6
7
8
9

RN19
PD1

[22]

RSLIN#

PD2
S LIN#

8
6
4
2

C44
C46
C47
C49

10

10

P R ND1
PIN IT#
P R ND2
S LIN#

STB#

R80

RACK#
RBUSY
RPE

8
6
4
2

18
19
20
21
22
23
24
25

RPE
RBUSY
RACK#
PRND7

10

2.2KR
C220P50N0402

CP18

RSLCT

RN9
PD7

LPT1_COM1
AFD#
14
R E R R#
15
PIN IT#
16
S LIN#
17

C220P50N0402
C220P50N0402
C220P50N0402
C220P50N0402

C33

X_8P4R-0R

1
2
3
4
5
6
7
8
9
10
11
12
13

CON N-LPT
K BGND

C34
C36
C38
C39

10P8R-2.2KR

7
5
3
1

C220P50N0402
C220P50N0402
C220P50N0402
C220P50N0402

PRND6
PRND5
PRND4
PRND3

RN20

[22]

RINIT#

10

STB#
P R ND0
P R ND1
P R ND2
P R ND3
P R ND4
P R ND5
P R ND6
P R ND7
RACK#
RBUSY
RPE
RSLCT

C220P50N0402
C220P50N0402
C220P50N0402
C220P50N0402

RERR#
PRND0
AFD#
STB#

10P8R-2.2KR

X_8P4R-0R

[22]

PARALLAL PORT

C57
C58
C59
C61

[22]
[22]

C220P50N0402
C220P50N0402
C220P50N0402
C220P50N0402

P R ND7
RACK#
RBUSY
RPE

7
5
3
1

X_CO PPER

K BGND
K BGND

X_8P4R-0R

SERIAL PORT 2
CN4

1
3
5
7

CN5
ND TRB
N S INB
N SOUTB
N DCDB#

2
4
6
8

1
3
5
7

X_8P 4C-220P50N

CN3

2
4
6
8

NRIB#
NCTS B#
N DSRB#
N RTSB

CN2

1
3
5
7

X_8P 4C-220P50N

2
4
6
8

ND TRA
N S INA
N DCDA#
N SOUTA

2 NRIA#
4 NCTS A#
6 N DSRA#
8 N RTSA

1
3
5
7

8P4C-220P50N

8P4C-220P50N

K BGND

K BGND

C32
C0.1U25Y

D12

U15

VDD(12V)

VCC(5V)

20

VCC5

+12V

+12COM

C277
C0.1U25Y
+12COM

CB7

C 0 .1U25Y

C 0 .1U25Y

C278

VCC5

U4

VDD(12V)

VCC(5V)

20

BAS32L_LL34
[22]
[22]
[22]

RTS B#
DTRB#
SOUTB

[22]
[22]
[22]
[22]
[22]

RIB#
CTS B#
DSRB#
SINB
DCDB#

RTSB#
DTRB#
SOUTB

16
15
13

RIB#
CTSB#
DSRB#
S INB
DCDB#

19
18
17
14
12

-12COM

10

DA1
DA2
DA3

DY1
DY2
DY3

RA1
RA2
RA3
RA4
RA5

RY1
RY2
RY3
RY4
RY5

VSS(-12V)

GND

5
6
8

N RTSB
ND TRB
N SOUTB

2
3
4
7
9

NRIB#
NCTS B#
N DSRB#
N S INB
N DCDB#

-12V

11

[22]
[22]
[22]

RTSA#
DTRA#
SOU TA

[22]
[22]
[22]
[22]
[22]

RIA#
CTSA#
DSRA#
SINA
DCDA#

RTSA#
DTRA#
SOUTA

16
15
13

RIA#
CTSA#
DSRA#
S INA
DCDA#

19
18
17
14
12

-12COM

10

D10
BAS32L_LL34

GD752 32_SSOP20

DY1
DY2
DY3

RA1
RA2
RA3
RA4
RA5

RY1
RY2
RY3
RY4
RY5

VSS(-12V)

GND

5
6
8

N RTSA
ND TRA
N SOUTA

2
3
4
7
9

NRIA#
NCTS A#
N DSRA#
N S INA
N DCDA#

11

GD752 32_SSOP20

COM2

1
3
5
7
9

N RTSB
NRIB#

2
4
6
8

N S INB
ND TRB
N DSRB#
NCTS B#

N DCDA#
N S INA
N SOUTA
ND TRA

COM1

1
2
3
4
5

N DSRA#
N RTSA
NCTS A#
NRIA#

6
7
8
9

CONN-COM

H2X5( 10)_black

K BGND

MICRO-START INT'L CO.,LTD.

11

N DCDB#
N SOUTB

KBGND

C31
C0.1U25Y

10

C273
C0.1U25Y

DA1
DA2
DA3

Title

K BGND

COM / Parallel Port


Size
D o cument Number
Custom
MS-7211
Date:

Rev

0A

Thursda y, July 28, 2005

Sheet
1

26

of

32

Gate Trace 8 mils


Sense Trace 8 mils

MOS
VCC5

3VSB MODE SELECT


VCC5_SB

3VSB MODE

VDIMM MODE
R249
330R

PULL LOW

Q33
N-MMBT3904_NL_SOT23

EXTRAM

LINEAR REGULATOR

4.7KR

PULL LOW

PWM REGULATOR

PULL HIGH

RSMRST# [19,29]
SLP _S5#
[18]

4.7KR

VDIMM LINEAR OR PWM SELECT

Added For
MS7 Ver:RAC

R240

PULL HIGH

DUAL MOSFET

[29] PLED1

For MS-7 + MS-8

3VDLDEC#

SINGLE MOSFET
R265
330R

VCC3_SB

SLP _S3#

R256

Connect to MS7_S3 for MS7 Ver:RAC


[18,22,29]

PCIRST#
[14,17]
HDRST# [24]
R260

VCC 5_SB

R245
R241

1 KR

R DS(ON)

Vdss

Vgs

P07N03LV

25.0m

30V

20V

7A

SO-8

13

APM7313

28.0m

30V

20V

6A

SO-8

20

C185
X_C22P25N

Package

Qg

P3055LD

90.0m

25V

20V

12A

TO-252

20

28.0m

25V

20V

45A

TO-252

30

P50N03LD

12.0m

27V

20V

50A

TO-252

50

NDS351

117m

30V

20V

2.5A

SOT-23

2N7002

7.5

60V

20V

115mA

SOT-23

20.0m

20V

12V

6A

PCIRST#1 [20]
PCIRST#2 [10,21,22,29]

R281
330R

Id

P45N02LD

PHKD6N02LT

10R
10R

SO-8

20

5V Dual Power

C174
X_C22P25N

5VDUAL1
VCC 5_SB

Rear USB ports

C167
C1U16Y

[29] PLED2

C
Q34
N-MMBT3904_NL_SOT23

5VDUAL_GATEH

4
3
2
1

B
VCC5

C91
X_C2200P50X

R263
VCC3

1 KR

VCC 5_SB

Q17

4.7KR
R267

1.2(R1/R2+1)=VOL

5
6
7
8

Rds(on)=0.02ohm
Vgs=1V
Id=7A
NN-P 2103HV_SO8

VCC3

20mOHM X 2 A= 40mV
50mil 1inch 9.53mOHM

C180
C0.1U25Y

5VDUAL2

Front USB ports


VCC3

[29]

PWR_OK

Low RDS ON MOSFET


NN-P 2103HV_SO8
VCC3

+2. 5VSB

G
C175
X_C1000P50X

VCC3
C97
X_C1000P50X
Rds(on)=0.09ohm@10V
Vgs=0.8~2.5V
Id=12A

R227
110R1%

EC48
.CD1000U6.3EL15

C156
X_C 10U10Y1206

Q20
N-P3055LD_TO252
VCC _AGP

close to MS7

MS- 7-RBC

R230
100R1%
+

8237
VCC3 should lead VCC2.5 by 1.5 ~ 2ms

Q30
N-P3055LD_TO252

R AMDRV

C66

C272
X_C1000P50X

100
EC43
X_.CD1000U6.3EL15

[6,28] VID_GD#

V_FSB_V TT
B

c lose
t o MS7

13
14
15
16
17
18
19
20
21
22
23
24

VTT
CPU Imax=3.5A
NB Imax=1.5A(Intel)

C203
C1U16Y

R226
100R1%

C202
C0.2 2U16X

MS-7

CHARPMP
C2
C1
5VSB1
VLR1_DRV
VLR1_SEN
5VUSB_DRV
5V_DRV
VLR2_DRV
VLR2_SEN
GND1
VAGP_DRV

VCC5

VCC5

SCL
SDA
FP_RST#
CHIP_PWGD
CPU_PWGD
PWROK_BUF
PWROK
PSOUT#
DDRTYPE
SS
GND0
VCC5

5VDUAL_GATEL

5
6
7
8

[12,16,18] SMB CLK_ISO


[12,16,18] SMBDA TA_ISO
[16,29] FP_R ST#
[19] PWRG D_SB
[5,6] CPU_GD

R225
110R1%
C1U16Y0805
C171

36
35
34
33
32
31
30
29
28
27
26
25

1
2
3
4
5
6
7
8
9
10
11
12

4
3
2
1

R276
1 KR

VCC 5_SB

Q36
Q18
N-P3055LD_TO252
+2.5VN B_VTT

C1U16Y0805

R274
4 .7KR

VID_GD
VID_SEN
VID_DRV
5VSB0
RAM_LSEN
RAM_LDRV
RAM_HDRV/DMV
RAM_HSEN
RAM_SBDRV/DMSB
3VSB
3VSB_DRV
VAGP_SEN

R275
1 KR

U12

PLED1/EXTRAM
PLED0/3VDLDEC#
S5#
S3#
PCI_RST#
HDD_RST#
DEV_RST#
SLOT_RST#
VCC3
BUF_RST#
RSMRST#
AGND

VCC 3_SB

9VSB

48
47
46
45
44
43
42
41
40
39
38
37

C170

Rds(on)=0.027ohm
Vgs=1V
Id=6A

EC46
.CD1000U6.3EL15

VCC 5_SB

G
VCC 5_SB

R259
+

Q16
N-P3055LD_TO252

WIDE TRACE

Q19

3.3R0805
C86

X_C 10U10Y0805

EC49
C142

X_C1000P50X
VCC 3_SB

EC45
X_.CD1000U6.3EL15

5
6
7
8

VCC3_SB

NN-P 2103HV_SO8

VCC3

DDR VTT Power

EC41
.CD1000U6.3EL15

C186
C1U16Y

Rds(on)=0.054ohm@6V
Vgs=0.6~1.5V
Id=6A

VCC3

EC44
.CD1000U6.3EL15

G RAMDRV

2
4

.CD1000U6.3EL15

X_C 1000P50X
+2.5V NB_VTT

4
3
5VDUAL _GATEL2
1
VCC3

C116
X_C1000P50N

VCC_DDR

VCC3_SB

W83310DS_SOIC8
VCC_DDR

VCC3

C93

V_FSB_V TT

R252
150R0805

C188
C10U10Y0805

C28
C0.1U25Y

EC18

R49
100R1%
A

C0.1U25Y

C182
X_C0.1U2 5Y

.CD1000U6.3EL15
.CD1000U6.3EL15

VCC3
+

DIMMx4=8A
NB I/O=4.1A
Stand-by=345mA
Vtt=2A
refer to Intel

C110
X_C0.1U2 5Y

EC39

C35
X_C0.1U25Y

VTT_DDR

EC15
.CD1000U6.3EL15

Q22
N-P3055LD_TO252

R48
100R1%

1
2
3
4

100
2.5V_SB
D6
1N4001_DO214AC

VREF2
VIN
ENABLE
GND
VCNTL
VREF1
BOOT_SEL VOUT

8
7
6
5

VCC 3_SB
U3

VCC3

N-APM2054N_SOT89

GND

Q27

2.5V_SB Power

Q28
N-P3055LD_TO252

EC47
EC14
.CD1000U6.3EL15.CD1000U6.3EL15

VCC3

C270VCC5

VCC3

C0.1U25Y
C37 VCC5

MICRO-START INT'L CO.,LTD.


C29
X_C0.1U25Y

Title

MS7 ACPI Controller


Size
D o cument Number
Custom
MS-7211

X_C0.1U25Y
8

Date:
3

Thursda y, July 28, 2005


2

Rev

0A
Sheet

27
1

of

32

+12VP
VC C5
R 39
5.6KR

12V GND

1
2

P W R - 2X2M

V I D_GD# [6,27]

VC CP

+12V_MOS
C 13
C4.7U10Y08 05

31

U_ G1

29

PH ASE1

BOOT2

X_750R

100R

R26
R28

VC C5

X_240KR1%
19.1KR

PHASE2

27

U_ G2

28

PH ASE2

25

R 24

23

L _G2

C 17
C0.1U16X

R68
1R0805

U_ G2
R67

ISEN2
OFST

C4

BOOT3
4
3.09KR1%

13

18

1 2VP3

21

BOOT3

OCSET

UGATE3

ICOMP

PHASE3

20

2.2R0 805

R5

53.6KR1%

15
C6

16
R1

ISUM
IREF

X_C0.01U50X
C5

X_4.7KRT

X_1.65KR1%
C7

1+
HS1
H S-0500410-K08

22

PH ASE3

ISEN3

19

R8

R27
1R0805

E C37

1+

LGATE3

E C26

1+

10KR

COIL1
_

39.2KR1%

E C20

1+
VC CP

L _G3

L _G3

Q5

Q6

R34
2.2R0 805

E C17

1+

R46

10KR

C20
C 1000P50X

E C35

_ N-IPF06N03LA_TO252-3

_N-IPF06N03LA_TO252-3

1+

E C19

1+

VCCP

R328
X_0R0805

R329
R330

V CCP
E C23
C10U10X12 06
E C10
X_C10U10X1206
E C11
X_C10U10X1206
E C29
C10U10X12 06
E C12
X_C10U10X1206
E C16
C10U10X12 06

VC C3

C P 28
X

1
3
5
7

OS-CON,560u/4V/8*9/3. 5mm

39.2KR1%

VID Pull-Up Rresistors

VID0
VID1
VID2
VID3
VID4
VID5

OS-CON,560u/4V/8*9/3. 5mm

PH ASE3

RN90

OS-CON,560u/4V/8*9/3. 5mm

PH ASE2

R18

C D 560U4OS-2

HS2
H S-0500410-K08

R29

C D 560U4OS-2

PH ASE1
39.2KR1%

C D 560U4OS-2

C0.01U50X

R31

E C34

1+
X_C4.7U35Y1206
C1U16X0805

PH ASE3

2.4KR1%

BOTTOM PAD CONNECT TO GND


THROUGH 10 vias

C0.01U5 0X

U G3

C D560U4OS-2

_N-IPF09N03LA_TO252
R30

17

_ N-IPF06N03LA_TO252-3

CD680U4EL8

C60
C 1000P50X

R2

EC6
R79
2.2R0 805

Q3

41

Close low side


mos fet

10KR

C 11
C0.1U16X

U_ G3

VC CP

0R

R6

Q9

_N-IPF06N03LA_TO252-3
+12V_MOS
EC7
+1
C18
2
C16
CD1000U16EL20 -1

+12VP
R15

VRM10

GND

VC CP

U_ G3

14

COIL2
_

REF
PVCC3

R9

_N-IPF09N03LA_TO252

Q8

C 1U16X0805

2.2R0805

CD680U4EL8

FS
R7

EC5

1+

MOSFET Heatsinks

.CD3300U6.3E L25

X_C4.7U35Y1206
C1U16X0805

PH ASE2

L _G2

C0.01U50X REF

C 19

U G2

10KR

2.4KR1%

O FS

36

_ N-IPF06N03LA_TO252-3

C 1 00U2SP-2
EC2
1+
2

C 82
C 1000P50X

Q7

RGND

LGATE2

178KR1%0402
FS

HS3
H S-0500410-K08

2.2R0805

2.2R0 805

R77
R 35

1+

2.2R0 805

C3
X _C0.1U25Y

_ N-IPF06N03LA_TO252-3
+12V_MOS
E C 27
+1
C40
2
C41
CD1000U16EL20 -1

+12VP
R25

10KR

E C28
R 98

11
100R

BOOT2

C 1U16X0805

VSEN

X _ C1000P50X

[5] V S S _ V R M _ S ENSE
R3

UGATE2

1 2VP2

26

Q 15

12
C8

24

Q 13

L _G1

R11

2.4KR1%

L _G1

.CD3300U6.3E L25

R 37

34

32

R21

PVCC2

1+
VC CP

FB
VDIFF

COIL3
_

X_C560P50X

R 10

EC1

2.2KR

10

_N-IPF09N03LA_TO252

U G1 G

10KR

PH ASE1

R94

V DIFF

VC CP
[5] V C C _ V R M _ SENSE

U_ G1

COMP

1+

X _ C 100U2SP-2

R12

R81
1R0805
R82

C14

EC3
C95
X_C4.7U35Y1206

Q 10
C 21
C0.1U16X

100p/50V/6

FB

X_C4.7U35Y1206
C1U16X0805

LGATE1

+12VP
C68
C62

C12

X_CD1000U16EL20-1

C 2200P16X
C OMP

R38
2.2R0 805

2.2R0805
+12VP

C10
COP

33
30

R36

ISEN1
5.1KR1%

E C 36
CD1000U16EL20 -1

VID4
PVCC1
VID3
BOOT1
VID2
VID1
VID0
DACSEL/VID5 UGATE1
PGOOD
ENLL
PHASE1

1 2VP1
BOOT1

EN LL

C9

+12V_MOS
E C40
+1
2

C 1U16X0805

38
39
40
1
2
3
35
37

VCC

VID4
VID3
VID2
VID1
VID0
VID5

R 13

C23

U1
_ISL6566CR_QFN 40

VID[0. .5]

[5]

COIL4
CH- 1.2U18A

10KR

R22

12V GND

Q2
N - MMBT3904_NL_SOT23

C88
X_C0.01U50X

1KR

R 40

EN LL

J PW1
+12VP

V CCP
EC8
X_C10U10X1206
EC9
X_C10U10X1206
E C24
C10U10X12 06
E C30
C10U10X12 06
E C32
C10U10X12 06
E C13
X_C10U10X1206

E C38
10u/10V/ 1206
E C25
10u/10V/ 1206
E C33
10u/10V/ 1206
E C31
10u/10V/ 1206
E C21
10u/10V/ 1206
E C22
10u/10V/ 1206

8 P 4R-4.7KR

2
4
6
8

MICRO-START INT'L CO.,LTD.

4.7KR
4.7KR
Title

VRM 10.1 - Intersil 6566_3 Phases


Size
D ocument Number
Custom

R ev

MS-7211

D ate:
5

0A

Thursday, July 28, 2005

Sheet
1

28

of

32

ATX CONNECTOR
VCC5_SB

C O NN1

13

VCC3
R83
1 KR

14

-12V

CB46
C0.1U25Y

CB35
C0.1U25Y

15
16

[18]

Q11
N-MMBT3904_NL_SOT23

SLP _S3#

17
18
19

C63
X_C1000P50X

R92
4 .7KR

-5V

20
C55

21
C1000P50X
VCC5

22
23

CB25
C0.1U25Y

24

3.3V 3.3V
-12V 3.3V
GND GND
PSON 5V
GND GND
GND

5V

GND GND
-5V

POK

5V 5VSB
5V

12V

5V

12V

GND DET

Intel Front Panel

VCC3

VCC5

2
3

C78
CB37
C1000P50X
X_C1U16Y0805

R323
330R
H DD+

JFP2

IDE_LED

VCC5
VCC5

5
6

5
CB29
C0.1U25Y

R78
4.7KR

FP_RST#

[16,27] FP_R ST#

CB125
C0.1U25Y

P WR_OK [27]

VCC 5_SB

10

+12V

HDD+

PLED

HDD-

SLED

RESET-PWSW+
RESET+PWSW-

PLED1

PLED2

P WRBTN#

PLED1

[27]

PLED2

[27]

PWRB TN#

[18]

NC
H2X5(10) _black-N31-2051231

C56
C0.1U25Y

Electromagnatic effect

11
12

CB20
C0.1U25Y

CB16
C0.1U25Y

ATX CON 2*12

VCC3

SPEAKER
VCC5
C

[22]

ALARM

[18]

SPKR

8P4R-150R
S PK

7
5
3
1

THRD OWN
VCCP
C271
C0.1U25Y

R322

2.2KR

R278
2.2KR

B Z1
BUZZER

R277
0R

RSMRST#

[19,27]

8
6
4
2

Q35
N-MMBT3904_NL_SOT23

Q37
N-MMBT3904_NL_SOT23

C204
C0.1U25Y

RN83

[5,6] THERMTRIP#

Flash Rom

HDD LED
VCC3

VCC3
U16

[22] BIOS_WP#

[19,22] LAD0
[19,22] LAD1
[19,22] LAD2

LAD0
LAD1
LAD2

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16

VPP
RST#
FGPI3
FGPI2
FGPI1
FGPI0
WP#
TBL#
ID3
ID2
ID1
ID0
FWH0
FWH1
FWH2
GND

VCC
CLK
FGPI4
IC(VIL)
GNDA
VCCA
GND
VCC
INIT#
FWH4
RFU
RFU
RFU
RFU
RFU
FWH3

32
31
30
29
28
27
26
25
24
23
22
21
20
19
18
17

FWH _CLK

PRE S4

[16]
PRE S1
PRE S2
PRE S3
PRE S4

R321

RN84

8
6
4
2

VCC3

VCC5

7
5
3
1

-LFRAME

8P4R-10KR
-LFRAME

[19,22]
RN87
TBL#
8
BIOS_WP# 6
PRE S0
4

LAD3

[19,22]

7
5
3
1
1

[24] -HD_LED1

1
2

RN29
8P4R-4.7KR

4.7KR

D3
S-BAT54A_SOT23

8P4R-10KR

PLCC-32
<Priori ty>

JWP1

VCC3

8
6
4
2

PCIRST#2
PRE S3
PRE S2
PRE S1
PRE S0
BIOS_WP#
TBL#

7
5
3
1

[10,21,22,27] PCI RST#2


B

BIOS_WP#

FWH DECOUPLING CAPACITORS


2

[24] -HD_LED2

H1X2_black

D8
IDE_LED

JWP1( 1-2)
X__JUMP ER-1X2B_green
C269
VCC3

X_C 470P50X

X_COP PER

BAS32L_LL34
[19] SATA_LED

C268
X_C0.1U25Y

C267
C1U16Y

C264
C10U10Y0805

CP23

CB123
C 0 .1U25Y

CP24

MICRO-START INT'L CO.,LTD.

X_COP PER
Title

Place Cap. as Close to


FWH< 350 mil

ATX & F_Panel & BIOS


Size
D o cument Number
Custom
MS-7211
Date:

Rev

0A

Thursda y, July 28, 2005

Sheet
1

29

of

32

EMI

CB55
X_C0.1U2 5Y

CB124
X_C0.1U2 5Y

VCC 5_SB

PCB OTHER COMPONENT

MH3

MH6

MH2

MH1

VCC3

K BGND

CB4
C 0 .1U25Y

CB66
X_C0.1U2 5Y

CB47
X_C0.1U2 5Y

CB86
X_C0.1U2 5Y

CB8
X_C1000P50X

CB36
X_C1000P50X

1
CB40
X_C0.1U25Y

CB122
X_C0.1U25Y

C25
X_C0.1U25Y

1
CB152
X_C0.1U2 5Y

1
CB119
C 0 .1U25Y

CB74
X_C0.1U2 5Y

CB58
X_C0.1U2 5Y

MH5

MH4

CB126
X_C0.1U2 5Y

VCC5

VCC3
+12V

1
CB121
X_C0.1U2 5Y

VCC3

CB79
X_C0.1U2 5Y

C301
X_C0.01U50X

C302
X_C0.01U50X

FIDUCIALS

FM2

X_FIDUCIAL

FM1

FM11

X_FIDUCIAL

X_FIDUCIAL

FM3

X_FIDUCIAL

VCC3

FM6

X_FIDUCIAL

CB155
C1000P50X
FM7

X_FIDUCIAL

FM14

FM4

X_FIDUCIAL

FM10

X_FIDUCIAL

FM9

FM12

FM8

X_FIDUCIAL

+2.5VSB

FM5

FM13

X_FIDUCIAL

X_FIDUCIAL

FM18

X_FIDUCIAL

X_FIDUCIAL

FM15

FM17

X_FIDUCIAL

X_FIDUCIAL

X_FIDUCIAL

X_FIDUCIAL

FM16

X_FIDUCIAL

FM19

X_FIDUCIAL

VCC5

J2

X_PIN1*2
J1

MICRO-START INT'L CO.,LTD.

X_PIN1*2
Title

PCB Components & EMI


Size
D o cument Number
Custom
MS-7211
Date:
5

Rev

0A

Thursda y, July 28, 2005

Sheet
1

30

of

32

You might also like