Download as doc, pdf, or txt
Download as doc, pdf, or txt
You are on page 1of 109

A - Elektronika Digitala

2.0. Bertsioa
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Aurkibidea:
1. Gaia: Bilakaera teknologikoa.
1.1- Kalkulagailu mekanikoak.
1.2 - Algebra boolearra.
1.3 - Zirkuitu elektrikoak.
1.4 - Balbuladun ordenagailuak.
1.5 - Transistoredun ordenagailuak.
1.6 - Zirkuitu integratuak.
1.7 - Mikroprozesatzaileak.
2. Gaia: Elektronika digitalaren aplikazioak.
2.1 - Mikroelektronika.
2.2 - Elektronika digitalaren aplikazioak.
3. Gaia: Zenbaketa sistemak.
3.1 - Sistema hamartarra.
3.2 - Zenbaki bitarrak.
3.3 - Zenbaki hamaseitarrak.
4. Gaia: Aritmetica Bitarra.
4.1 - Sarrera.
4.2 - Batuketa.
4.3 - Kenketa.
4.4 - Biderkaketa.
2
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
4.5 - Zatiketa.
5. Gaia: Kode bitarrak eta alfanumerikoak.
5.1 - Kode bitar pisudunak.
5.2 - Kode bitar pisugabeak.
5.3 - Kode alfanumerikoak.
6. Gaia: Ate logikoak.
6.1 - Sarrera.
6.2 - Gailu logikoen erantzuna.
6.3 - Ate logikoak.
6.4 - NAND eta NOR atedun zirkuitu logikoen inplementazioa.
6.5 - Ate logikoen erabilpen praktikoa
7. Gaia: Zirkuitu konbinazionalak.
7.1 - Zirkuitu konbinazional baten diseiua.
7.2 - Zirkuituen sinplifikazioa.
7.3 - Zirkuitu logikoen diseiua ateen bidez.
7.4 - Kode bihurgailuak.
7.5 - Multiplexoreak.
8. Gaia: Zirkuitu aritmetikoak.
8.1 - Konparatzaileak.
8.2 - Batutzaileak.
8.3 - Kentzaileak.
3
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
8.4 - ALU.
9. Gaia: Baskulak eta erregistroak.
9.1 - Sarrera.
9.2 - RS baskula asinkronoa.
9.3 - Sistema sekuentzial sinkronoak.
9.4 - RS baskula sinkronoa.
9.5 - JK baskula.
9.6 - Datu baskula.
9.7 - T baskula.
9.8 - Erregisroak
9.9 - Desplazamendu erregistroak.
4
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
1. Gaia: Bilakaera teknologikoa.
1.1 Kalkulagailu mekanikoak.
Ordenagailua zenbakiak nola kalkulatu behar dituen esan diezaiokegun
makina bat da. Kalkulatu duen zenbakia gogoratzeko ahalmena dauka eta
beste zenbaki batzuk kalkulatzeko egokitu daiteke.
Adibide bat abakoa izan liteke, 2000. urtean k.a. erabiltzen zen eta
oraindik ere erabiltzen da. Abakoa oso erabilgarria den ordenagailu klase bat
da norberak ikus bait dezake fisikoki kalkulatu duen erantzuna. Ondorengo 1.1
irudian hamaseitarren abako bat aurkitu dezakegu.
1.1 irudia: Hamaseitarren abako bat.
Bola mugikorren posizioak memoria bat osatzen du. Abakoa ordea ez da
automatikoa eta ez da egokia zenbaki handiak erabiltzeko.
Blaise Pascal filosofo eta matematiko frantziarrak asmatu zuen 1642.
urtean munduko lehenengo kalkulagailu mekanikoa, Pascalina izuen izena.
Bere helburua batu, kendu, bidertu eta zatitu zezakeen makina sortzea zen. 1.2
irudian Pascalina kalkulagailu mekanikoa agertzen da.
5
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
1.2 irudia: Pascalina kalkulagailua.
Pascalinak puntzoi bat erabiltzen zuen gurpilak mugitzeko eta
mekanismo berezi bat zeukan digitoak zutabe batetik bestera pasatzeko.
1822. urtean Charles Babbage-k bere lehenengo makina diferentziala
aurkeztu zuen, taula logaritmikoak osatzeko kalkuluak egiteko gai zena eta
1834. urtean makina analitikoa diseaitu zuen ekuazio logaritmikoak baino
konplexuagoak ziren ekuazioak matematikoak askatzen dituena. 1.3 irudian
lehenengo makina diferentziala.
1.3 irudia: Lehenengo makina diferentziala.
Charles Babbagek gaur egungo ordenagailuak funtsezko dituen zenbait
ideia eman zituen, programazioaren nozioa adibidez. Aurrenengo proiektu
honetan Ada Lovelace-ren kolaborazioa eduki zuen, munduko lehen
programatzailea kontsideratu daitekeena.
1.2 - Algebra boolearra.
1623. urtean erabili zuen aurrenengo aldiz Francis Bacon-ek aritmetika
bitarra (1-ak eta 0-ak erabiliz). 1815. urtean jaio zen Lincoln-en (ngalaterra)
George Boole.
Boole-k bazekien pertsonek normalean erabiltzen duten arrazoibide
prozesua logika formalaren bidez deskribatu daitekeela, logika honen
6
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
erabilpenaren aintzindariak greziarrak izan ziren. Pertsonen arrazoibidea
termino matematikoen bidez adierazi nahi zuen.
1847. urtean bere ideiak plazaratu zituen, algebra Boolearra izenez
ezagutzen dena. Nahiz eta bere garaian arreta berezirik ez piztu ideia hauek
gaur egun berebiziko garrantzia dute.
1.3 - Zirkuitu elektrikoak.
1938. urtean Claude Shannon-ek konmutazioko zirkuitu elektrikoen bidez
bitar logikako eragiketak egin zitezkeela demostratu zuen.
Aurrenengo diseiuetan releak erabili ziren baina hauen
funtzionamendua guztiz elektrikoa etzelako, bere zati mekanikoek matxura
ugari sortzen zituztelako, energiaren kontsumo handiagatik eta funtzionamendu
geldoa zituelako bere fidagarritasunari buruz mesfidantza sortu zen.
Momentu honetan hasi ziren elektronika digitalaren oinarriak ezartzen.
Ordenagailuen aurrenengo belaunaldiaren ezaugarri garrantzitsuena
balbula termoionikoen erabilpena da etengailuaren funtsezko konponente
bezala. 1.4 irudianbalbula bat aurkitu dezakegu.
1.4 irudia: Vlula bat.
7
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Balbula hauen funtzionamendua guztiz elektronikoa zen eta beraz
azkarragoa. Hala ere elektrizitate asko kontsumitzen zuten, oso handiak ziren
eta etziren guztiz fidagarriak.
1.4 - Balbuladun ordenagailuak.
1906. urtean Lee de Forest-ek huts balbula asmatu zuen, horrela
aurrenengo etengailu elektronikoa (etengailu estatikoa) lortuz.
1943. urtean, . Gerra Mundialeko momentu kritikoenetan, Estatu
Batuetako armadak makina kalkulagailu bat eskatu zuen artileriarako. Erronka
hau Pennsylvaniako unibertsitateak hartu zuen eta 1946. urtean aurkeztu zuen
asmakuntza. Makina honi honi ENAC izena jarri zioten eta balbuladun
aurrenengo ordenagailua izan zen. ENAC-ek 18000 balbula eta 1500 rele
erabiltzen zituen eta 200 KW kontsumitzen zituen.
10 digitoko 20 zenbaki gorde zitzazkeen eta konexioak ordenatuz
programatu zitekeen.
1952. urterarte erabili zen, ordurako 19000 balbula aldatu beharra eduki
zuten makinak ez baitzuen funtzionamenduan bi minutu baino gehiago jasaten
balbulak urtu gabe.
1.5 - Tranitoredun ordenagailuak.
Transistorearen funtzionamendua balbularenaren antzekoa da baina
bere errendimendua handiagoa da, abiadura handiagoan egiten zuen lan,
fidagarritasun handiagoarekin eta berorik sortu gabe. Gainera txikiagoa da eta
merkeagoa fabrikatzeko. Ondorengo 1.5 irudian transistore bat azaltzen da.
1.! irudia: "ransistore bat.
Balbulak bezala etengailu elektronikoak dira, itzali et a piztu
daitezkeenak eta bitar kodeko batekoak eta zeroak adierazteko erabili daitezke.
8
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
50. hamarkadan eta 60. hamarkadaren hasieran gero eta azkarragoak
eta handiagoak ziren ordenagailuak diseatu ziren, enpresa handiek nahiz
gobernuek erabiltzen zituzten.
1.! - Zirkuitu integratuak.
60. hamarkadaren erdialdera zientzilariek miniatura bihurtutako zirkuitu
elektronikoek antzeko efikaziarekin lan egingo zutela konturatu ziren.
Laborategietan zirkuituen diseiuak siliziozko txip bakar batean sartzen hasi
ziren, ondorengo 1.6 irudian ikusi dezakegunez.
1.# irudia: $irkuitu integratu bat.
Ordenagailuaren funtzionamendurako beharrezko ziren etengailu guztiak
txip bakar batean sartuta izugarri murriztu ziren kostuak. 60. hamarkada amaitu
aurretik zirkuitu integratuak sortu ziren.
Garai hauetan SS, 1-10 ate txipeko eta MS, 10-100 ate txipeko
bezalako integrazio eskalak lortu ziren.
1." - #ikro$ro%eat%aileak.
70. hamarkadan teknologiaren bilakaeraren ondorioz eskala handiko
integrazioa lortu zen, LS, 100-10000 ate txipeko.
Teknologia honen bitartez txip bakar batean ordenagailu baten funtsezko
arkitektura integratu daiteke, mikroprozesatzaileak jaio ziren.

Moduloa: Sistema sekuentzialen kontrola


A - Elektronika Digtala
Momentu honetatik aurrera informatikak bultzada handia jaso zuen
ordenagailu txikien agerpenarekin.
!"
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
2. Gaia: Elektronika digitalaren a$lika%ioak.
2.1 - #ikroelektronika.
Mikroelektronikan teknologiak izan duen bilakaerari esker elektronika
digitalak kontsumoko aplikazioetan, informatikan, komunikazioetan, industrian...
parte hartu du.
2.2 - Elektronika digitalaren a$lika%ioak.
Kontumoa
Kalkulagailuek osatzen dute elektronika digitalak parte hartzen duen
kontsumo handiko elementu bat. Orain dela 20 urte makina hauek mekanikoak
ziren eta ezinezkoa zirudien poltsikoko kalkulagailuaren ideiak.
Mikroprozesatzaileak etxetresna elektrikoetan, jokuetan... hartzen du
parte.
&n'ormatika
Mikroprozesatzaileei esker mahaiko ordenagailuak diseatu daitezke
aurreko hamarkadako ordenagailu handiek zuten kalkulurako potentzi
berdinarekin.
&ndutria
Mikroprozesatzaileen bitartez programatu daitezkeen automatak
diseatu daitezke automatismo industrialen kontrola asko sinplifikatuz.
Makina herraminta konbentzionaletik kontrol numerikora pasatzen da,
mikroprozesatzailea izanik pieza bat fabrikatzeko beharrezko diren kalkuluak
egiten dituena.
Bestalde elektronika digitalak robotikaren garapena laguntzen du.
Hurrengo orrialdeko 2.1 irudian robot bat aurkitzen dugu.
!!
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
2.1 irudia: %obot bat.
Beste kasuetan bezala mikroprozesatzailea robotaren mugimendu
ezberdinak koordinatzeaz ardurtazen da.
Gaur egun enpresa modernoek irudian agertzen diren bezalako
fabrikazio sistema flexibleak erabiltzen dituzte 2.2 irudiako antzerakoak.
!2
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
2.2 irudia: &abrikazio sistema fle'iblea.
Mikroelektronikan teknologiak izan duen bilakaerak amets hau egi
bilakatu du.
!3
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
3. Gaia: Zenbaketa itemak.
3.1 - (itema )amartarra.
Eguneroko bizitzan sistema hamartarra erabiltzen da. Sistema honek 10
sinbolo ezberdin ditu, 0-9.
Zenbaki bat sinbolo ezberdinen konbinaketari esker adierazten da, adibidez
273. Sinbolo bakoitzak digito bat adierazten du eta kokapenak pisu ezberdinak
ematen dizkio.
Digitoa Pisua Balioa
2 100 200
7 10 70
3 1 3
Guztira 273
Zenbaketa sistema hau hinduek asmatu zuten, gerora arabiarrek
adoptatu zuten eta hauek zabaldu zuten Europan.
Sistema erromatarrak etzuen pisuaren kontzeptua kontuan hartzen eta
honek murriztu egiten zion kalkulurako aplikazioa.
3.2 - Zenbaki bitarrak.
Sistema digitalak konmutazioko zirkuituetan oinarritzen dira eta hauek
etengailua dute funtsezko elementu bezela. Honek bi egoera ditu posible:
irekita 0 eta itxita 1.
Zenbaketa sisteman erabilitako sistema digitalak beraz bitarrak dira, bi
sinbolo bakarrik agertzen dira, 0 eta 1. Digito bakoitzari bit deitzen zaio.
Sistema bitarrari kodigo bitar naturala deitzen zaio. N biten bitartez 2
N
konbinazio desberdin lortu daitezke. Adibidez, 4 bitekin lor daitekeen konbinazio
kopurua 16 da.
Zenbaki hamartarrak hurrengo moduan adierazten dira kodigo bitarrean.
!4
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Zenbaki
hamartarra
Zenbaki
bitarra
0
1
2
3
4
5
6
7
8
9
10
0
1
10
11
100
101
110
111
1000
1001
1010
Bitarretik )amartarretara bi)urketa:
Zenbaki hamartar bat biderkadura batzuen batuketa bezala adieraz
daiteke.
1427
D
= 1*10
3
+ 4*10
2
+ 2*10 + 7 =1000 + 400 + 20 + 7
Zenbaki bitarretan antzerako zerbait gertatzen da. Hurrengo koadroan
zenbaki bitar bat (101101
B
) azaltzen da gainean digito bakoitzaren pisua
adierazten delarik.
32 16 8 4 2 1
1 0 1 1 0 1
101101
B
= 1*2
5
+ 0*2
4
+ 1*2
3
+ 1*2
2
+ 0*2
1
+ 1*2
0
= 32 + 0 + 8 + 4 + 0 + 1 = 45
D
!5
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
*amartarretik bitarretara bi)urketa:
Zenbaki hamartar bat bitarrera pasatzeko metodoa zenbaki hamartarra
2-gaitik zatitu eta lortzen den emaitza behin eta berriz 2-gaitik zatitzen joatea da
hondarra gordetzen joanez.
Adibidea:
45/2 = 22 hondarra = 1 (pisu txikienekoa)
22/2 = 11 hondarra = 0
11/2 = 5 hondarra = 1
5/2 = 2 hondarra = 1
2/2 =1 hondarra = 0
1/2 = 0 hondarra = 1 (pisu handienekoa)
Beraz, 45
D
sistema bitarrean 101101
B
da.
Ariketak
1 Bihurtu hamartarrera ondorengo zenbaki bitarrak 11011
B
, 10010111
B
eta
10101100
B
.
2 Bihurtu bitarrera ondorengo zenbaki hamartarrak 37
D
, 144
D
eta 217
D
.
3 Zenbat bit dira beharrezkoak sistema bitarrean milioi bat adierazteko?
3.3 - Zenbaki )amaeitarrak.
Mikroprozesatzaileek 0 eta 1ekin lan egiten dute. Bit batzuen
konbinaketak hitz bat osatzen dute. Normalean hitz hauek 8 bit edo 16 bitekoak
izaten dira.
8 biteko hitz bati byte deitzen zaio.
Mikroprozesatzaileen lengoaian zenbaki hamaseitarrak erabiltzen dira.
!6
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Sistema hamaseitarra base bezala 16 duen zenbaketa sistema da.
Erabiltzen dituen sinboloak hauek dira: 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E
eta F.
Zenbaki
hamartarra
Zenbaki
bitarra
Zenbaki
bitarra
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
0
1
10
11
100
101
110
111
1000
1001
1010
1011
1100
1101
1110
1111
0
1
2
3
4
5
6
7
8
9
A
B
C
D
E
F
Bitarretik )amaeitarrera bi)urketa:
Zenbaki bitar bat hamaseitarrera bihurtzekoa nahikoa da 4-ko taldeetan
elkartzea zenbaki bitarraren eskuin aldetik hasita.
Zenbaki bitarra 0 1 0 1 1 1 0 0
Zenbaki hamaseitarra 5 C
!7
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
01011100
B
zenbaki bitarra 5C
H
da sistema hamaseitarrean.
Ariketak
1 Bihurtu hamaseitarrera ondorengo zenbaki bitarrak: 10101000
B
, 1110100
B
,
11001001
B
eta 1100101000010001
B
.
2 - Bihurtu bitarrera ondorengo zenbaki hamaseitarrak: 312
H
, 255
H
H, 8C3B
H
H
eta 64AF
H
H.
3 - Bihurtu hamaseitarrera ondorengo zenbaki hamartarrak: 192
D
, 419
D
, 2680
D
eta 4302845
D
.
4 Bihurtu hamartarrera ondorengo zenbaki hamaseitarrak: 2BD
H
H, 19EF
H
H,
FFBD
H
H eta 340E
H
H.
!8
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
4. Gaia: Aritmeti+a Bitarra.
4.1 - (arrera.
Sistema digitalek egiten dituzten eginkizun askok funtzio logikoak
bakarrik erabiltzen dituzte. Batzuetan ordea beharrezkoa izaten kalkulu
aritmetikoak egitea, batu, kendu, bidertu, zatitu.
Aurreko atalean ikusi den bezala sistema digitalek bitar sistema
erabiltzen dute, beraz kalkulu aritmetikoak ere sistema bitarrean egin behar
dira.
4.2 - Batuketa.
Batuketaren arauak ondorengoak dira:
0 + 0 = 0
0 + 1 = 1
1 + 0 = 1
1 + 1 = 0 bururakoa edo eramana: 1
Adibideak
Bitarra Hamartarra
11011
B
27
110110
B
54
eramanak 1111 1
1010001
B
81
!
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Bitarra Hamartarra
10011101
B
157
01110110
B
118
eramanak 111111 _ 1_
100010011
B
275
Ariketak
10101110101 11010111011 1101011
+011110111__ +11110011011 101111011
+10101110
10100101011 10101011011 110101110
10100111100 10001101011 001010001
+__11011100 +10001111101 110010010
+ 110011
4.3 - Kenketa.
Kenketaren arauak ondorengoak dira:
0 - 0 = 0
0 - 1 = 1 bururakoa 1
1 - 0 = 1
1 - 1 = 0
Adibideak
2"
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Bitarra Hamartarra
1110
B
14
-1001
B
- 9
bururakoak 1 1
0101
B
5
Esplikatu den prozesuak ez du zailtasunik kenkizuna, kentzailea eta
emaitza positiboak direnean.
Kentzailea kenkizuna baino handiagoa denean emaitza negatiboa da.
Zenbaki negatibo bat nola adierazi behar dugun arazoa sortzen da.
Arazo honi aurre egiteko soluzio bat pisu handieneko bita
zeinuarentzako erabiltzea da, horrela bit hau 0 denean zenbaki positiboa izango
litzateke eta 1 denean zenbaki negatibo bat.
_Bitarra Hamartarra_
01101
B
+13
11101
B
-13
010001011
B
+139
110001011
B
-139
Hain erreza dirudien sistema honek arazoak ematen ditu kalkuluak
egiteko garaian.
Zero denean zeinua azaltzea, -0 eta +0, da arazoetako bat.
Arazo hauek ekiditeko zenbaki positibo bat negatibo bihurtzen duen
algoritmo bat erabiltzen da, horrela kenketa bat batuketa batean bihurtuz.
Eragiketa honi birako osagarria deitzen zaio.
Eragiketa hau zenbakia alderantzikatu (baterako osagarria) eta 1
gehitzean datza.
2!
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
_Bitarra Hamartarra__
0011110
B
30
Baterako osagarria: 1100001
B
+ 1
Birako osagarria 1100010
B
- 30
Birako osagarria eragiketa ezagutzeko era bat ondorengoa da, 4.1
irudian oinarriturik.
4.1 irudia: (irako osagarrien eragiketa.
Hasera batean zirkulua bi zati berdinetan zatitzen da. Eskuineko aldea
zenbaki positiboei dagokie eta ezkerrekoa berriz negatiboei.
Ondoren zirkulua neurri bereko sekzioetan banatzen da eta zirkuluaren
goitik hasita sekzio guztiak izendatzen dira, sekzio bakoitzean zeinua
errespetatuz.
Aurrera joateak erlojuaren orratzen norantzan joatea esan nahi du eta
atzera joateak kontrako norantzan joatea.
Batzea posizioak aurreratzea izango litzateke eta kentzea berriz
posizioak atzeratzea.
22
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Adibideak
1 - Bitarra Hamartarra
0010
B
2
+0011
B
+3
0101
B
5
0000 posizioan kokatu,
2 posizio aurreratu,
3 posizio aurreratu.
Azken posizioa 0101
B
da, hamartarrean 5.
2 - Bitarra Hamartarra
0010
B
2
-0011
B
-3
1111
B
-1
0000 posizioan kokatu,
2 posizio aurreratu,
3 posizio atzeratu.
Azken posizioa 1111
B
da, hamartarrean -1.
Mikroprozesatzaileek birako osagarria algoritmoa erabiltzen dute.
Normalean batutzaile-kentzaile bat osatzen da birako osagarria den aritmetika
erabiltzen duena.
8 biteko mikroprozesatzaile batekin adierazi dezakegun zenbaki positibo
handiena 127 da eta zenbaki negatibo txikiena 128.
Balio maximoa:
Zenbaki bitarra 0 1 1 1 1 1 1 1 B
Zenbaki hamaseitarra 7 F H
Zenbaki hamartarra 127 D
23
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Balio minimoa:
Zenbaki bitarra 1 0 0 0 0 0 0 0 B
Zenbaki hamaseitarra 8 0 H
Zenbaki hamartarra -128 D
Ariketak
1 - Ondorengo eragiketak burutu:
1110101101
B
10111010011
B
11101100001
B
-1001110111
B
-10000111011
B
-11010111101
B
10011010001
B
1110100010
B
10010010011
B
- 101110
B
-1001101011
B
- 11111100
B
2 - Aurreko ariketak batuketa bihurtu birako osagarria erabiliz.
4.4 - Biderkaketa.
Biderketaren arauak ondorengoak dira:
0 * 0 = 0
0 * 1 = 0
1 * 0 = 0
1 * 1 = 1
24
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Adibideak
Bitarra Hamartarra
1110
B
14
*1100
B
* 12
111000 28
1110___ 14_
eramanak 111____ ___
10101000
B
168
Bitarra Hamartarra
110101001
B
425
* 1101011
B
* 107
110101001 2975
110101001 4250_
110101001 45475
110101001
110101001______
1011000110100011
B
Ariketak

100110100
B
10101101
B
1111011011
B
* 1011
B
_*_10010
B
* 101010100
B
1001001101
B
100111010110
B
10101001001
B
* 110101
B
* 11010110
B
*___ 1000011
B
4.5 - Zatiketa.
Zatikizunean zatitzailea zenbat aldiz dagoen zehaztean datza zatiketa,
zenbaki honek zatidura adierazten du eta zatikizunari sobran gelditzen zaiona
hondarra izango da.
25
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Adibideak
Bitarra Hamartarra
110010 |_101_ 50 |_5_
- 101 1010 - 5 10
00101 00
- 101
0000
11010110101 |_11001__ 1717 |_25_
-11001 1000100 -150 68
000011101 217
- 11001 - 200
0010001 17
1101101101 |_11101_ 1100010110 |_101_
- 11101 111100 -101 10011110
0110011 001001
- 11101 - 101
0101101 01000
- 11101 - 101
0100001 00111
- 11101 - 101
00010001 0101
- 101
000
Ariketak
10101110110 |_1001_ 110011011000 |_1101_
1101101010110 |_101011_ 10111110011 |_11011_
11100101010010 |_1001101_ 1101010000100 |_10010_
26
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
5. Gaia: Kode bitarrak eta al'anumerikoak.
5.1 - Kode bitar $iudunak.
Ekipamendu digitaletan eragiketa espezifikoak egiteko kode bitar
bereziak ere badaude.
Hauetariko bat BCD (binary-coded-decimal) kodigoa da. Kode honen
bitartez sistema hamartarrean lan egin dezake sistema digital batek. Kode
honek sistema bitarrean kodetzen du sistema hamartarreko digito bakoitza.
Zenbaki
hamartarra
BCD
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
0000
0001
0010
0011
0100
0101
0110
0111
1000
1001
0001 0000
0001 0001
0001 0010
0001 0011
0001 0100
Pisu handiena duen bitak 8ko pisua du eta pisu gutxien duen bitak 1eko
pisua du. Kodigo hau BCD 8421 kode bezala ezagutzen da. Zifra honekin bit
bakoitzari ematen zaion pisua adierazten da.
*amartarretik B,D-ra bi)urketa:
Digito bat baino gehiago dituen zifra bat baldin badugu digito bakoitza
sistema bitarrean adierazi behar da.
27
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Zenbaki
hamartarra
2 5 7
BCD 0 0 1 0 0 1 0 1 0 1 1 1
257 zenbaki hamartarra 001001010111 izango da BCD kodean.
B,D-tik )amartarrera bi)urketa:
1 0 0 1 0 0 1 0 0 1 1 0 0 0 1 1
9 2 6 3
BCD kodean 1001001001100011 den zenbakia sistema hamartarrean
9263 da.
Bete B,D kodeak:
BCD beste metodo batzuei aplikatu daitekeen oinarrizko termino bat da.
Ezagunena BCD 8421 baldin bada ere beste BCD kode batzuk ere badaude,
BCD 4221 eta BCD 5421. Zifra hauek bit bakoitzaren pisua adierazten dute
lauko talde bakoitzean.
Ariketak
1 - Ondorengo zenbaki hamartarrak BCD 8421 kodera bihurtu:
327 294 7092 1865 1977
2 - BCD 8421 kodean dauden ondorengo zenbakiak sistema hamartarrera
bihurtu:
1010 010101000011 1000001110010101
00011001011000110010 1000011101100010
3 - Ondorengo zenbaki bitarrak BCD 8421 kodera bihurtu:
28
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
100110 1010100 10010111 11010110
1000011 11110010110
5.2 - Kode bitar $iugabeak.
Kode bitar batzuk pisugabeak dira, beraz bit bakoitzak ez du pisu
espezifikorik.
Kode bitar hauen adibide bat Gray kodea da.
Gray kodearen ezaugarri nagusietako bat zera da, kontaketaren igoera
bakoitzak bit bakarra aldatzen duela. Bitetan aldaketa bat baino gehiago
egiteak arazoak ekartzen dituenean erabili ohi da normalean.
Zenbaki
hamartarra
Zenbaki
bitarra
Gray
kodigoa
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
0000
0001
0010
0011
0100
0101
0110
0111
1000
1001
1010
1011
1100
1101
1110
1111
0000
0001
0011
0010
0110
0111
0101
0100
1100
1101
1111
1110
1010
1011
1001
1000
Bitarretik Gra- kodera bi)urketa:
Lehenik eta behin zenbaki bitarra eskuinera desplazatu behar dugu
posizio bat. Eragiketa hau egin ondoren lortu dugun zenbaki bitarrari bihurtu
nahi dugun zenbaki bitarra batu behar diogu baina batuketa egiterakoan
bururakoak ez dira kontuan hartu behar.
2
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Zenbaki bitarra 001110
B
Ezkerrera desplazatua + 00111
Zenbakia Gray kodean 001001
B
001110
B
zenbaki bitarra Gray kodean 1001
B
da.
Gra- kodetik bitarrera bi)urketa:
Gray kodean ezkerretara dagoen bita zuzenean zenbaki bitarrean
kokatzen da eta gero Gray kodeko hurrengo bitari batzen zaio bit hau,
bururakoak kontuan hartu gabe egiten da bi biten arteko batuketa eta lortutako
bita zenbaki bitarrean kokatzen da eskuinaldean, gero berriz Gray kodeko
hurrengo bitari batzen zaio bit hau horrela prozesua behin eta berriz
errepikatuz.
Aipatu beharra dago Gray kodeko zenbaki batek eta bere zenbaki bitar
baliokideak bit kopuru berdina dituztela.
1001
B
Zenbakia Gray kodean 11011
Zenbaki bitarra 10010
B
Gray kodean 11011
B
den zenbakia bitarrean 10010
B
da.
Ariketak
1 - Ondorengo zenbaki bitarrak Gray kodera bihurtu:
1001010 1100001 11001010 11110
2 - Gray kodean dauden ondorengo zenbakiak sistema bitarrera bihurtu:
3"
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
10010 101001 1000101 11000011
5.3 - Kode al'anumerikoak.
Orain arte aztertutako kodeetan zenbakiak bakarrik adierazi zitezkeen
baina sistema digital askotan beharrezkoa da informazio alfabetikoa eta beste
zeinu batzuk adieraztea. Behar hauek betetzeko kodigo alfanumerikoak ditugu.
Kodigo alfanumeriko guztien artean ASC (American Standard Code for
nformation nterchange) izan da kode internazional bezala aukeratua.
ASC kodea
(Hex)
Karakterea ASC kodea
(Hex)
Karakterea
00
01
02
03
04
05
06
07
08
09
0A
0B
0C
0D
0E
0F
10
11
12
13
14
15
16
17
18
19
1A
1B
1C
1D
1E
NUL
SOH
STX
ETX
EOT
ENQ
ACK
BEL
BS
HT
LF
VT
FF
CR
SO
S
DLE
DC1
DC2
DC3
DC4
NAK
SYN
ETB
CAN
EM
SUB
ESC
FS
GS
RS
20
21
22
23
24
25
26
27
28
29
2A
2B
2C
2D
2E
2F
30
31
32
33
34
35
36
37
38
39
3A
3B
3C
3D
3E
SP
!
"
#
$
%
&
'
(
)
*
+
,
-
.
/
0
1
2
3
4
5
6
7
8
9
:
;
<
=
>
3!
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
1F US 3F ?
ASC kodea
(Hex)
Karakterea ASC kodea
(Hex)
Karakterea
40
41
42
43
44
45
46
47
48
49
4A
4B
4C
4D
4E
4F
50
51
52
53
54
55
56
57
58
59
5A
5B
5C
5D
5E
5F
@
A
B
C
D
E
F
G
H

J
K
L
M
N
O
P
Q
R
S
T
U
V
W
X
Y
Z
[
]
\
^
_
60
61
62
63
64
65
66
67
68
69
6A
6B
6C
6D
6E
6F
70
71
72
73
74
75
76
77
78
79
7A
7B
7C
7D
7E
7F
`
a
b
c
d
e
f
g
h
i
j
k
l
m
n
o
p
q
r
s
t
u
v
w
x
y
z
{
|
}
~
DEL
Teklatuko karaktereak ordenagailuaren lengoaiara itzultzeko erabiltzen
da ASC kodea ordenagailuetan.
32
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Adibidea
A tekla sakatzen dugunean, teklatuko mikroprozesagailuak 8 biteko
hurrengo hitza sortzen du.
Hamaseitarra 4 1
Bitarra 0 1 0 0 0 0 0 1
Ariketak
1 - ASC kodean idatzi ondorengo karaktereak:
1 7 9 a f x C M Z /
+ % ?
2 - datzi ASC kodean idatzirik zenbakiei dagozkien karaktere alfanumerikoak
idatzi.
2A H 3D H 40 H 4C H 5D H 6B H 7A H
33
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
!. Gaia: Ate logikoak.
!.1 - (arrera.
Ate logikoen bidez diseinatzen dira zirkuitu digitalak.
Berauek dira sistema digital baten oinarrizko elementuak, erabaki
logikoak hartu eta kalkulu aritmetikoak egin ditzaketenak.
!.2 - Gailu logikoen erant%una.
Gailu logikoek sarrerako seinalearen nibel bati edo flanko bati erantzun
diezaiokete.
Gailuak 1 nibel logikoari erantzuten badio logika positiboa deritzo eta 0
nibel logikoari erantzuten badio logika negatiboa deritzo.
Logika positiboko sarrera Logika negatiboko sarrera
Berdina irteera aztertzen badugu:
Logika positiboko irteera Logika negatiboko irteera
Flanko bati erantzuten dioten gailuek igoerako flanko bati edo jaitsierako
flanko bati erantzun diezaiokete. Flanko bat bi egoera desberdinen arteko
transizioa da.
goerako flanko bati erantzuna Jaitsierako flanko bati erantzuna
34
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
!.3 - Ate logikoak.
!.3.1 . A/D atea.
AND eragiketa logikoak, konjuntzio bezala ezagutuak, Boole-ren
biderkaketa egiten du eta irteera 1 izango da sarrerako seinale guztiak 1
direnean.
Sinboloa Egi taula
) ( *
0
0
1
1
0
1
0
1
0
0
0
1
Ariketa
1.- Marraztu ondorengo AND atearen * irteera.
!.3.2 . 01 atea.
OR eragiketa logikoak Boole-ren batuketa egiten du eta irteera 1 izango
sarrerako seinalereren bat 1 baldin bada.
Sinboloa Egi taula
) ( *
0
0
1
1
0
1
0
1
0
1
1
1
35
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Ariketa
1.- Marraztu ondorengo OR atearen * irteera.
!.3.3 . /0T atea.
NOT eragiketa logikoa alderantzizkoa bezala da ezagutua. Ate honek
sarrera bat eta irteera bat bakarrik ditu. rteerako seinalea 1 da sarrerako
seinalea 0 denean eta irteerako seinalea 0 da sarrerako seinalea 1 denean.
Sinboloa Egi taula
) *
0
1
1
0
Ariketa
1.- Zein da * irteera ) puntuan irteera sarrera a puntuan 0 baldin bada.
!.3.4 . /A/D atea.
NAND eragiketa logikoa AND operazioaren alderantzizkoa da. (not AND)
Sarrerako seinale guztiak 1 direnean bakarrik izango da irteerako
seinalea 0, beste kasu guztietan 1 izango da.
36
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Sinboloa Egi taula
) ( *
0
0
1
1
0
1
0
1
1
1
1
0
Ariketa
1.- Marraztu ondorengo NAND atearen * irteera.
!.3.5 . /01 atea.
NOR eragiketa logikoa OR operazioaren alderantzizkoa da. (not OR)
Sarrerako seinale guztiak 0 direnean bakarrik izango da irteerako
seinalea 1, beste kasu guztietan 0 izango da.
Sinboloa Egi taula
) ( *
0
0
1
1
0
1
0
1
1
0
0
0
Ariketa
1.- Marraztu ondorengo NOR atearen * irteera.
37
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
!.3.! . 201 atea.
XOR eragiketa logikoak, bi sarrerarentzako denean, 1 balioa hartzen du
sarreretako bat 0 eta bestea 1 denean.
XOR eragiketa sinboloaz adierazten da.
Eragiketa hau biderkaduren batuketan banatu daiteke:
( ) ( ) ( ) ( ) ( ) * + = =
Sinboloa Egi taula
) ( *
0
0
1
1
0
1
0
1
0
1
1
0
Sarrera bat baino gehiago dituen XOR eragiketaren propietateak
ezagutzeko lehenengo bi sarrerari aplikatu behar zaio XOR eragiketa, ondoren
lortu dugun erantzuna eta hirugarren sarrerarekin burutu behar da XOR
eragiketa eta horrela behin eta berriz azken sarrerara iritsi arte.
Sarrera bat baino gehiago dituen XOR eragiketaren erantzuna 1 izango
da 1 balio logikoa duten sarreren kopurua bakoitia denean eta eragiketaren
erantzuna 0 izango da 1 balio logikoa duten sarreren kopurua bikoitia denean.
Ariketa
1.- Marraztu ondorengo XOR atearen * erantzuna.
38
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
!.3." . 2/01 atea.
XNOR operazioa XOR operazioaren alderantzizkoa da. Hau da, sarrera
guztiek balio berdina dutenean emaitza 1 izango da eta sarrerako seinaleek
balio ezberdina dutenean erantzuna 0 izango da.
XNOR eragiketa ondorengo moduan adierazten da: ( ) .
( ) ( ) ( ) ( ) ( ) ( ) ( ) * + + = + = =
Sinboloa Egi taula
) ( *
0
0
1
1
0
1
0
1
1
0
0
1
Sarrera bat baino gehiago dituen XNOR eragiketaren emaitza 1 izango
da 1 balio logikoa duten sarreren kopurua bikoitia denean eta emaitzak 0
balioko du 1 balio logikoa duten sarreren kopurua bakoitia denean.
Ariketa
1.- Marraztu ondorengo XNOR atearen erantzuna.
3
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Adibideak
1.- Ondorengo funtzio boolearrak ate logikoen bidez eraikiko ditugu:
C B A Y + =
( ) * =
# $ C B B A Y + =
Ariketak
1.-Adierazi zirkuituen bidez ondorengo ekuazio boolearrak.
+ ) + ( ) * + + =
( ) ( ) ) ( + ( ) * + + =
+ ) ( + ( ) * + + =
2.- datzi ondorengo zirkuituei dagozkien ekuazio boolearrak.
4"
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Ekuazioa:
Ekuazioa:
Ekuazioa:
4!
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
!.4 - /A/D eta /01 atedun %irkuitu logikoen in$lementa%ioa.
Sistema logiko guztiak oinarrizko ate logikoen bidez eratu diatezke, AND,
OR eta NOT ateen bidez.
Hori bai, edozein zirkuitu logiko NAND ateekin bakarrik edo NOR ateekin
bakarrik eratu daiteke, horrela kostua jaitsiz.
Morgan-en teoremek erraztu egiten dute NAND eta NOR ateak erabiliz
egiten den ate logikoen inplementazioa. Ondoren adierazten dira Morgan-en
teoremak:
B A B A = +
B A B A + =
Aurrenengo teoremak OR bat AND batean bihurtzen du eta bigarren
teoremak berriz AND bat OR batean.
Ate logikoen bi)urketa:
Ondorengo taulak erabiliz edozein ateren bihurketa lor daiteke.
42
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Adibideak
1.- Lortu zirkuitu baliokideak NAND ateak erabiliz.
43
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Erantzuna:
2.- Lortu zirkuitu baliokideak NOR ateak erabiliz.
Erantzuna:
Ariketak
1.- Bihurtu ondorengo adierazpenak NAND eragiketetan eta marraztu zirkuitu
baliokidea.
+ ) ( ) * + + =
44
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
( ) ( ) + ) + ( ) * + + + =
2.- Aurreko ariketa errepikatu NOR ateak erabiliz.
!.5 . Boole algebra
Zirkuitu digitalaren garapenerako garraintzitsu diren legeak, arauak, eta,
azkenik, Morgan teorema, ondoren azaltzen dira.
A Legeak:
Trukakortasuna
A B B A
A B B A
=
+ = +
Elkargarritasuna
( ) ( )
( ) ( ) C B A C B A
C B A C B A
=
+ + = + +
Baturaren banatasuna, biderketarekiko ( ) ( ) ( ) C A B A C B A + = +
B Arauak:

" "
"
=
= +
A
A A
A A
A
=
= +
!
! !
! = +
= +
A A
A A A
" =
=
A A
A A A
A A =
B A B A A
A B A A
+ = +
= +
( ) ( ) BC A C A B A + = + +
C Morgan teoremak:
B A B A
B A B A
+ =
= +
B A B A
B A B A
+ =
= +
!.! - Ate logikoen erabil$en $raktikoa
45
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Hasera batean ate logikoak rele eta balbulen bidez eratzen ziren. Urte
batzuk igarotakoan erresistentziak, diodoak eta transistoreak erabiltzen hasi
ziren. Gaur egun ordea batez ere zirkuitu integratuak erabiltzen dira.
Zirkuitu integratuetan ezagunena 14 hanka dituen DP enkapsulatua da.
Hanka bakoitzari dagokion zenbakia ezagutzeko marka bat erabiltzen da.
Marka horretatik hasita eta erlojuaren kontrako norantzan beste hanka guztiei
dagokien zenbakia jakin dezakegu 1etik 14ra. 6.1 irudian horrelako zirkuitu
integratu bat azaltzen da.
#.1 irudia: 14 ,ineko integratu bat- bi sarrereko lau .)./ ateak izanik.
Tentio iturria:
Konexio positiboa (Vcc) eta negatiboa (GND) lurra 14. eta 7. hankan
egiten dira hurrenez hurren. Tentsio iturria 5 voltiokoa da.
1 nibel logiko bezala 5v hartzen dira eta 0 nibel logiko bezala 0v.
Zirkuitu integratu digital baten identi'ika%ioa:
Zirkuituak identifikatzeko sistema ezberdinak dauden arren, ezagunena
hurrengoa da:
Adibidea: 74 LS 08
- Aurrenengo esparruak bertsio militarra (54) ala komertziala (74) den
adierazten digu.
- Bigarren esparruak dagokion teknologia eta familia adierazten dizkigu.
- Azkenengo zifrek zirkuitu integratuak egiten duen funtzioa adierazten
digute.
6.2 irudian zenbait zirkuitu integratuen funtzio logikoak, dagozkion pinen
konfigurazioa eta izendapena azaltzen dira.
46
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
#.2 irudia: zirkuitu integratuen batzuen funtzio logikoak-
dagozkion ,inen konfigurazioa eta izenda,enak.
Teknologia:
Ate kopuruen arabera sailka ditzakegu zirkuitu digitalak, integrazio
eskalaren arabera.
0 -10 ate. SS (integrazio eskala txikia).
10 -100 ate. MS (integrazio eskala ertaina).
47
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
100 -1000 ate. LS (integrazio eskala handia).
Existitzen diren teknologien artean TTL eta CMOS teknologia dira
erabilienak.
TTL teknologian LS familia da erabiliena eta CMOS teknologian
erabiliena HC familia da.
Hurrengo taulan ezaugarrien konparaketa bat egiten da:
Te+)nolog- *,#0( ,#0( TT3 3(TT3 (TT3 A3(TT3 A(TT3
4arameter
5amil- "4*,
4666
,D *E "4 "43( "4( "4A3( "4A(
4o7er dii$ation 8m9:
Gate static 2.5 * 10
-6
0.001 10 2 19 1.2 8.5
Dynamic @ 100kHz. 0.075 0.1 10 2 19 1.2 8.5
Counter static 5 * 10
-6
0,001 300 100 500 60 --
Dynamic @ 100kHz. 0.125 0,12 300 100 500 60 --
4ro$agation dela- 8n:
Gate typical 7 94 40 10 9.5 3 4 1.5
maximum 14 190 80 20 15 5 7 1.5
Dela-;$o7er $rodu+t 8$<:
Gate at 100 kHz. 0.52 9 4 100 19 57 4.8 13
#a=. +lo+k 're>uen+- 8#*%:
typical 55 4 12 25 33 100 60 160
D-type flip-flop minimum 30 2 6 15 25 75 40 --
Counter typical 45 2 6 32 32 70 45 --
minimum 25 1 3 25 25 40 -- --
0ut$ut dri?e 8mA:
standard outputs 4 0.51 0.8 16 8 20 8 20
bus outputs 6 1.6 48 24 64 24 48
5an.out 83(-load:
standard outputs 10 1 2 40 20 50 20 50
bus outputs 15 4 120 60 160 60 120
Test conditions: Supply voltage VCC= 5 V.; ambient temperature Tamb= 25 C; load capacitance
CL= 15 pF.
&rteera motak:
Zirkuitu logikoetan hiru irteera mota daude.
1 Push-pull irteera. rteera hau aktiboa da korrontea emateko nahiz
xurgatzeko, honi eskerrak azkartasuna lortzen du. Horretarako
modu independientean lan egiten duten bi transistore ditu. Ezin
daitezke irteerak paraleloan konektatu bestela zirkuitulabur bat
egiten delako. rteera mota hau erabiliena da.
48
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
2 Drenadore irekiko irteera.TTL teknologian kolektore irekiko irteerari
dagokio. rteera mota honekin paraleloan konektatu ditzakegu
irteerak eta driver bezala erabiltzen da.
3 Hiru egoerako irteera. rteera mota honen bitartez BUS batean
konektatu ditzakegu irteerak, paraleloan. EO (Output Enable)
pinaren bidez zirkuituaren irteera deskonektatu dezakegu
inpendantzi handiko egoeran utziz.
Bu'errak:
rteeran korronte handiagoa behar dugunean buffer zirkuituak erabiltzen
dira.
Adibideak
1 AND ate bati konexioa.
7804 zirkuitu integratua erabiliz AND atearen konexioa 6.3 irudian egiten
da. Sarrerari nibel logiko bat definitzea beharrezkoa da eta horretarako ) eta (
konmutadoreak erabiliko ditugu.
#.3 irudia: )./ ate baten kone'ioa.
4
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Bost voltioko tentsio iturri bat ere beharrezkoa da eta 14. pinari (Vcc) eta
bi konmutadoreei konektatuko diogu. Tentsio iturriaren zeroa 7. pinari (GND)
eta bi konmutadoreei konektatuko diogu .
Lehenengo eta bigarren pinak AND ateari dagozkio, beraz bi pin hauei
konektatuko dizkiegu konmutadore biak. AND atearen irteera, 3. pina, LED
diodo bati konektatuko diogu eta hau piztu egingo da korrontea igarotzen
denean.
) konmutadoreak 5 voltiora konektatu ezkero dagokion sarrera 1 nibel
logikora jartzen da. Bi sarrerak 1 nibel logikora daudenean LED diodoa piztuko
da.
2 OR ate bati konexioa.
6.4 irudian OR ate baten konexioa egiteko 7432 zirkuitu integratua
erabiltzen dugu. kus dezakegunez, burutu beharreko eskema aurrekoaren ia
berdina da.
#.4 irudia: 0% ate baten kone'ioa.
Laugarren eta bostgarren pinak OR ateari dagozkio, beraz bi pin hauei
konektatuko dizkiegu konmutadoreak. Or atearen irteera, 6. pina, LED diodo
bati konektatuko diogu.
Sarreretako bat 1 nibel logikora dagoenean piztuko da LED diodoa.
5"
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
3 Ekuazio logiko baten inplementazioa NAND ateen bidez.
nplementatu beharreko ekuazioa ondorengoa da.
C B A B A Y + =
NAND ate motak soilik erabiliz inplementatu behar denez, batuketa
biderketa bihurtu behar dugui, horretarako Morgaren legeak erabiliz:
C B A B A C B A B A C B A B A Y = + = + =
5!
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Adibideak
1 Hurrengo zirkuitu digitalak inplementatu zirkuitu integratu egokiak erabiliz.
52
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
53
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
". Gaia: Zirkuitu konbina%ionalak.
".1 - Zirkuitu konbina%ional baten diei@ua.
Zirkuitu baten irteerak sarreren konbinazioaren menpe daudenean
zirkuitu konbinazinal baten aurrean gaude:
Zirkuitu konbinazional bat diseinatzeko egi taula erabiltzen dugu non
sarreren arabera irteera bakoitzak hartzen dituen balioak adierazten ditugu.
Egi taularen bidez ekuazio boolerra lortzen dugu biderkaduren batura
moduan.
Sarreren konbinazio batek irteeran balio ezberdinak behar baditu ez gara
zirkuitu konbinazional baten aurrean egongo. Zirkuitu hauek aurrerago
aztertuko ditugu.
Adibidea:
Zirkuitu konbinazional baten egi taula.
) ( + *
0
0
0
0
1
1
1
1
0
0
1
1
0
0
1
1
0
1
0
1
0
1
0
1
0
1
0
1
1
0
1
0
Hau da:
( ) ( ) ( ) ( ) + ( ) + ( ) + ( ) + ( ) * + + + =
54
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
".2 - Zirkuituen in$li'ika%ioa.
Zirkuitu logikoak sinplifikatzeko teknika errazenetako bat Karnaugh-en
mapak dira. Jarraituko beharreko pausoak ondorengoak dira:
A Egi taulako emaitzak hartu ondoren ekuazio logikoak idazten dira.
Emaitzen zutabeko 1-eko bakoitzak AND baten bidez elkarrekin
erlazionatutako bi aldagai sortzen ditu. Horrela lortutako taldeak
elkarrekin OR baten bidez elkartzen dira ekuazio boolear bat
lortuaz.
B 1-ekoak Karnaugh-en mapan idatzi, koadro egokian kokatuz.
C 1-eko auzokideak taldeetan elkartu, 2, 4, 8,..-ko taldeetan.
D Aldagai bat eta bere osagarria talde batean daudenean aldagai hori
ezabatu.
E Gelditzen diren aldagaiak OR baten bidez elkartu.
Adibidea:
Ondorengo 7.1 irudian adibide bat.
1.1 irudia: 2arnaugh ma,a adibide bat.
55
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
".3 - Zirkuitu logikoen diei@ua ateen bide%.
Zirkuitu konbinazionalen diseinua ate logikoen bidez.
Zirkuitu konbinazional bat diseinatzeko lehenik eta behin ekuazio logikoak
lortu behar dira eta hauek ahal denik sinplifikatuenak egotea komeni da.
Ekuazio hauen inplementazioa ate logikoak erabiliz egiten da.
Adibideak
1 - Pertsona batek aseguruko poliza bat lortzeko ondorengo baldintzak bete
behar ditu.
a 19. polizara harpidetuta egon, gizonezkoa izan eta ezkonduta egon.
b Edo 19. polizara harpidetuta egon, ezkonduta egon eta 25 urte baino
gutxiago eduki.
c Edo 19. polizara harpidetu gabe egon, ezkonduta egon eta
emakumezkoa izan.
d Edo ezkonduta egon eta 25 urte baino gehiago eduki.
e Edo gizonekoa izan eta 25 urte baino gutxiago eduki.
Karnaugh-en mapa erabiliz emandako baldintza hauek sinplifikatu egin
behar dira. Ekuaziok adierazteko ondorengo aldagaiak erabiliko ditugu:
P: Poliza lortzeko onartutako pertsona.
): 19. polizara harpidetuta dago.
(: Ezkonduta dago.
+: Gizonezkoa da.
/: 25 urte baino gutxiago ditu.
Ondorengo egi taula lortuko genuke:
56
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
) ( + / P
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
0
0
1
1
1
1
1
0
0
0
1
1
1
1
1
D C B A D C B A D C B A D C B A D C B A
D C B A D C B A D C B A D C B A D C B A P
+ + + +
+ + + + + =
Ekuazio hau sinplifikatzeko Karnaugh-en mapa erabiliko dugu:
Egin beharreko sinplifikazioak egin ondoren ondorengo ekuazio gelditzen
zaigu:
/ + ( P + =
57
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
2 - Likidoen garraioan aritzen den itsasontzi batek 4 zatitan, a, b, c eta d,
banatzen du karga, 7.2 irudian azaltzen den bezala.
Kargatzeko bonba bat erabiltzen du eta 4 adierazle erabiltzen ditu
likidoaren nibela zenbaterainokoa den jakiteko.
tsasontziak karga egokia ondorengo kasuetan edukiko du:
a - 4 zatiak beteak daudenean.
b - 4 zatiak hutsak daudenean.
c - A eta B beteta, C eta D hutsak.
d - A eta C beteta, B eta D hutsak.
e - B eta D beteta, A eta C hutsak.
f - C eta D beteta, A eta B hutsak.
1.2 irudia: likidoak garraitzeko itsasontzi bat.
Ondoren egi taulan karga noiz den egokia adierazten da. Batekoen
bitartez karga noiz den egokia adierazten da eta 0-en bitartez noiz ez den
egokia, itsasontzia ondoratuko zatekeen kasuak.
) ( + / *
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
1
0
0
1
0
1
0
0
0
0
1
0
1
0
0
1
58
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Erantzun bezala ondorengo ekuazio boolearra lortuko genuke:
/ + ( ) / + ( )
/ + ( ) / + ( ) / + ( ) / + ( ) *
+ +
+ + + + =
Ekuazio hau sinplifikatzeko Karnaugh-en mapa erabiliko dugu:
Beraz, ezin dezakegu ezer simplifikatu. Egin daitezkena da Boolen
algebraz saiatzea:
( ) ( )
( ) ( ) ( ) ( ) / + ( ) / + ( ) / + ( ) / + ( ) / + ( ) / + +/ ( ) )(
/ + ( ) / + ( ) / + +/ ( ) / + +/ )(
/ + ( ) / + ( ) / + ( ) +/ ( ) / + )( )(+/ *
+ + = + + + + =
= + + + + + =
= + + + + + =
Ahal den ekuazio sinpleena lortutakoan hurrengo pausua ate logikoen
bidez lortu dugun ekuazioa inplementatzea da:
5
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Ariketak
1 - Edari banatzaile automatika batek ondorengo konbinaketak onartzen ditu:
ura + anisa
ura + menda
ura + andere-mahatsa
ura + anisa + menda
ura + anisa + andere-mahatsa
Eta ondorengo konbinaketak ez ditu onartzen:
Ura hutsik
anisa + menda urik gabe
andere-mahatsa urik gabe
menda urik gabe
anisa + andere-mahatsa urik gabe
menda + andere-mahatsa urarekin edo urik gabe
6"
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Nahi dugun konbinaketa lortzeko produktu bakoitzari dagokion botoiak
sakatu behar dira.
Ahal den ekuazio boolear sinpleena lortu. Horretarako Karnaugh-en
mapa marraztu eta gero lortutako eskema elektronikoa marraztu.
2 - Ondorengo zirkuitu logikoak emanik ekuazio boolearrak lortu eta sinplifikatu
zirkuitu berriek ahal den ate logiko gutxiena eduki dezaten.
".4 - Kode bi)urgailuak.
Gailu digitalek 0 eta 1 diren bitak bakarrik prozesatu ditzakete baina oso
zailak dira 0 eta 1-ez osaturiko kate oso luzeak ulertzea. Beraz kode
bihurgailuak beharrezkoak dira.
Eskuko kalkulagailu bat hartu ezkero sarrerako sistema bezala teklatua
edukiko genuke. Tekla hauek eta kalkulagailuaren prozesatzailearen (CPU)
6!
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
artean kodetzaile dago zenbaki hamartarra kode bitarrera bihurtzeko. Hau dena
7.3 irudian azaltzen da.
1.3 irudia: alkulagailu baten oinarrizko zatiak
CPU-ak eragiketa kode bitarrean egingo luke eta erantzuna ere kode
bitarrean emango liguke. Ondoren dekodetzaileak kode bitarretik zazpi
segmentuko bistaratzaile baterako kode berezi batera bihurtzen du eta horrela
erantzuna zenbaki hamartarrean ikus dezakegu.
Sistema honetan, kodetzailea eta dekodetzailea kode bihurgailu
elektronikoak dira. Kodetzailea giza lengoaiatik makina lengoaira bihurtzen
duen itzultzaile bat da eta dekodetzailea makina lengoaiatik giza lengoaiara
bihurtzen duen itzultzailea.
".4.1 . Kodet%aileak
Kodetzaile batek bitarrera bihurtzen du aukeratutako sarreraren
zenbakia.
Orain hamartarretik BCD kodera bihurtzen duen kodetzailea aztertuko
dugu:
62
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Kodetzaile honen egi taula ondorengoa da.
Sarrerak rteerak
)1 )2 )3 )4 )! )# )1 )3 )4 53 52 51 56
X
X
X
X
X
X
X
X
1
0
X
X
X
X
X
X
X
1
0
0
X
X
X
X
X
X
1
0
0
0
X
X
X
X
X
1
0
0
0
0
X
X
X
X
1
0
0
0
0
0
X
X
X
1
0
0
0
0
0
0
X
X
1
0
0
0
0
0
0
0
X
1
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
0
1
1
0
0
0
0
0
0
0
0
0
0
1
1
1
1
0
0
0
0
0
0
1
1
0
0
1
1
0
0
1
0
1
0
1
0
1
0
1
0
Oharra: X: sarrerek 0 edo 1 balorea hartu dezakete, bietatik edozein.
Hamartarretik BCD kodera bihurtzen duen zirkuitu integratua 74147
zirkuitua da. Kodetzaile honen ezaugarri nagusietako bat zera da, sarrerek eta
irteerek logika negatiboa erabiltzen dutela, 0 logikoarekin aktibatzen dira.
Fabrikatzaileentzat errazagoa denez logika negatiboan egitea zirkuitu
integratuak, logika negatiboarekin funtzionatzen dute zirkuitu integratu askok.
Hauetako bat ondorengo 7.4 irudian.
1.4 irudia: 14141 kodetzailea
Kodetzaile honen egi taula ondorengoa da.
Sarrerak rteerak
63
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
1 2 3 4 ! # 1 3 4 / + ( )
X
X
X
X
X
X
X
X
0
1
X
X
X
X
X
X
X
0
1
1
X
X
X
X
X
X
0
1
1
1
X
X
X
X
X
0
1
1
1
1
X
X
X
X
0
1
1
1
1
1
X
X
X
0
1
1
1
1
1
1
X
X
0
1
1
1
1
1
1
1
X
0
1
1
1
1
1
1
1
1
0
1
1
1
1
1
1
1
1
1
0
0
1
1
1
1
1
1
1
1
1
1
0
0
0
0
1
1
1
1
1
1
0
0
1
1
0
0
1
1
0
1
0
1
0
1
0
1
0
1
Hamartarretik BCD kodera bihurtzen duen 74147 kodetzaile komertzial
baten diagrama logikoa ondorengo 7.5 irudian azaltzen da.
1.! irudia: 14141 kodetzailearen diagrama logikoa.
64
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Ate logikoen kopurua 10 eta 100-en artean dagoenez integrazio eskala
ertain (MS) baten aurrean gaude.
Ariketa
1 - Adierazi BCD kodearen adierazleek sarrerako pultsu bakoitzeko edukiko
duten balioa.
".4.2 . Dekodet%aileak
Dekodetzaileak kodetzailearen alderantzizko eragiketa egiten du,
zenbaki bitar bat zenbaki hamartarrean bihurtzen du.
BCD-tik hamartarrera bihurtzen duen dekodetzaile bat aztertuko dugu.
Bere egi taula ondorengoa izango da:
Sarrerak rteerak
)3 )2 )1 )6 56 51 52 53 54 5! 5# 51 53 54
0
0
0
0
0
0
0
0
1
1
1
0
0
0
0
1
1
1
1
0
0
0
0
0
1
1
0
0
1
1
0
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
0
0
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
0
0
0
1
0
A0-A3 sarreretan BCD kodean dagoen zenbaki bat aplikatzen da eta
irteera bakar batek hartuko du 1 balioa eta irteera horri BCD sarrerari dagokion
zenbaki hamartarra ezartzen zaio.
Dekodetzaileari dagokion eskema ondorengo 7.6 irudian
65
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
1.# irudia: (+/ hamartarrera dekodetzaile bat.
7442 zirkuitu integratua BCD-tik hamartarretara bihurtzen duen
dekodetzailea da, bere irteerek 0 logiko baten balioa hartzen dute aktibatuta
daudenean eta bere sarrerak 1 logiko bate aktibatzen dira, 7.7 irudian azaltzen
den bezala.
1.1 irudia: (+/7tik hamartarrerako 1442 dekodetzailea.
Bere egi taula ondorengoa da:
Sarrerak rteerak
/ + ( ) 6 1 2 3 4 ! # 1 3 4
66
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
0
0
0
0
0
0
0
0
1
1
1
0
0
0
0
1
1
1
1
0
0
0
0
0
1
1
0
0
1
1
0
0
1
0
1
0
1
0
1
0
1
0
1
0
0
1
1
1
1
1
1
1
1
1
1
1
0
1
1
1
1
1
1
1
1
1
1
1
0
1
1
1
1
1
1
1
1
1
1
1
0
1
1
1
1
1
1
1
1
1
1
1
0
1
1
1
1
1
1
1
1
1
1
1
0
1
1
1
1
1
1
1
1
1
1
1
0
1
1
1
1
1
1
1
1
1
1
1
0
1
1
1
1
1
1
1
1
1
1
1
0
1
1
1
1
1
1
1
1
1
1
1
0
1
Dekodetzaile honen diagrama logikoa 7.8 irudian daukagu.
1.3 irudia: (+/7tik hamartarrerako 1442 dekodetzailearen diagrama logikoa.
Ariketa
67
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
1 - rteera aktiboa duten sarrerako pultsuak adierazi ondorengo 7442
dekodetzailean, irteerak aktiboak izango dira 0 logikoak direnean.
".4.3 . Za%$i egmentuko dekodet%ailea
Zirkuitu digital baten ohiko lana da makina lengoaiatik giza lengoaiara
bihurtzea. 7 segmentuko pantaila da zenbaki hamartarrak bistaratzeko era bat.
1.4 irudia: zaz,i segmentuko ,antaila.
BCD kodetik zazpi segmentuko pantailan bistaratzeko kodera bihurtzen
duen dekodetzailearen egi taula ondorengoa da:
68
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Sarrerak rteerak
)3 )2 )1 )6 a b c d e f g
0
0
0
0
0
0
0
0
1
1
1
0
0
0
0
1
1
1
1
0
0
0
0
0
1
1
0
0
1
1
0
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
1
0
1
0
1
1
1
0
1
1
1
1
1
0
0
1
1
1
0
1
1
0
1
1
1
1
1
1
1
0
1
0
1
1
0
1
1
0
1
0
1
1
0
1
0
0
0
1
0
1
0
1
1
0
0
0
1
1
1
0
1
1
0
0
0
1
1
1
1
1
0
1
1
1
Pantailako segmentu bakoitza LED bat izan daiteke baina hau
fabrikatzailearen esku dago. Beraien pzketa 7.10 irudian azaltzen da
1.16 irudia: zaz,i segmentuko ,antalla ,izketa.
Aktibatzeko modua ondorengo 7.11 irudian agertzen da.
6
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
1.11 irudia: zaz,i segmentuko ,antaila aktibazio modua.
7.11 irudiko b eta c etengailuak ixten badira korrontea GND-tik b eta c
LED segmentuetara doa erresistentzietatik eta anodo komuneko konexiotik
bateriara. Bi segmentuak argitzen dira eta 1 zenbakia bistaratzen da.
Azkenik zazpi segmentuko pantailari dekodetzailea jarri ezkero, 7.12
irudian azaltzen den bezala geratzen da konexioa.
1.12 irudia: zaz,i segmentuko ,antaila dekodetzaile batez konektatua.
Ariketak
1 - Nola funtzionatuko luke katodo komuneko display batek?
Marraztu eskema bat pinez pin bateragarriak direla suposatuz.
Nola piztuko da segmentu bakoitza etengailuak erabiliz?
Eskema berdina burutu baina oraingo honetan 7478 dekodetzailea (7447rekin
bateragarria baina irteerak alderantziz) erabiliz.
2 - Adierazi zazpi segmentuko pantailan azalduko diren zenbaki hamartarrak
ondorengo pultsuak aplikatu ezkero sarreran.
7"
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
".5 - #ulti$le=oreak.
Zirkuitu multiplexoreak sarrerako datuak hautatzeko n sarrera gehigarriz
osatutako sistema konbinazionalak dira, 2
n
sarrerako datu eta irteera bakar bat
ditu.
Konmutadore birakari baten bertsio elektronikoa da multiplexorea.
7.13 irudian agertzen den bezala,S sarrerak bitarrean harturik
hamartarrean dagokion D datu sarrera hautatzen da.
1.13 irudia: multi,le'ore bat.
Hautatutako sarrerari dagokion datua irteerara igortzen da
konmutadorearen birakariaren kontaktuei esker.
Datuen posizioa etengailu birakariaren errotorea biratuz aukeratzen da.
7!
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Zortzi sarrera dituen multiplexorearen egi taula ondorengoa da.
82 81 86 *
0
0
0
0
1
1
1
1
0
0
1
1
0
0
1
1
0
1
0
1
0
1
0
1
/6
/1
/2
/3
/4
/!
/#
/1
Multiplexoreari dagokion zirkuitu integratua 74150 da. Honek W batez
identifikatutako alderantzizko irteera bakar bat du, 4 sarrera hautaketa egiteko,
16 sarrera datuentzako eta alderantzizko sarrera bat datu hautatzailea
habilitatzeko.
74150 zirkuituaren bloke logikoa eta egi taula ondorengoak dira.
8
3
8
2
8
1
8
6
9 :
X X X X 1 H
0 0 0 0 0 " /
0 0 0 1 0 ! /
0 0 1 0 0 2 /
0 0 1 1 0 3 /
0 1 0 0 0 4 /
0 1 0 1 0 5 /
0 1 1 0 0 6 /
0 1 1 1 0 7 /
1 0 0 0 0 8 /
1 0 0 1 0 /
1 0 1 0 0 !" /
1 0 1 1 0 !! /
1 1 0 0 0 !2 /
1 1 0 1 0 !3 /
1 1 1 0 0 !4 /
1 1 1 1 0 !5 /
74150 zirkuitu integratuak 24 pin ditu: 21 pin sarrerakoak, 1 irteerakoa
eta tentsio iturrirako pinak (Vcc eta GND),7.14 irudian ikus dezakezunez.
72
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
1.14 irudia: 141!6 zirkuitu integratuen kone'ioen diagrama.
Sarreratako bat ENABLE pinari dagokio, baimena; honek 0 logiko bat
behar du, sarrera hau alderantzizkoa baita, zirkuitu integratuak multiplexore bat
bezala funtzionatu dezan. Enable pinak funtzionatzeko baimena ematen dio.
Multiplexoreen aplikazio ohikoetako bat kanal multiplexore batera
aurreko kanaletako informazioa hautatu eta bidaltzea da instante oro,
hautatzeko sarrerak erabiliz.
Beste aplikazio interesgarri bat zirkuitu konbinazionalak inplementatzea
da multiplexoreen bidez.
Adibidea
1 - Adibide bezala har dezagun ondorengo espresio boolearra sinplifikatua:
)(+/ +/ ( ) / + ( ) / + ( ) / (+ ) / + )( / + ( ) * + + + + + + =
AND-OR ateak edo NAND ateak erabiliz zirkuitu integratu asko beharko
genituzke aurreko espresioa inplementatzeko. Multiplexore batekin asko
errazten da inplementazioa.
Ekuazio boolearra kontuan hartua ondorengo sarrerak onartzen dira:
73
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
!5 !!!!
3 ""!!
5 "!"!
!""!
6 "!!"
!2 !!""
" """"
= =
= =
= =
= =
= =
= =
= =
)(+/
+/ ( )
/ + ( )
/ + ( )
/ (+ )
/ + )(
/ + ( )
Beraz zenbaki hamartarrari dagokion multiplexorearen sarrereran 1
jarriko dugu eta gainontzekoetan 0.
Kasu honetan multiplexorearen irteera alderantziz dago, beraz 0 batez
aktibatuko da.

/ + ( ) 9 :
X X X X 1 H
0 0 0 0 0 0
0 0 0 1 0 1
0 0 1 0 0 1
0 0 1 1 0 0
0 1 0 0 0 1
0 1 0 1 0 0
0 1 1 0 0 0
0 1 1 1 0 1
1 0 0 0 0 1
1 0 0 1 0 0
1 0 1 0 0 1
1 0 1 1 0 1
1 1 0 0 0 0
1 1 0 1 0 1
1 1 1 0 0 1
1 1 1 1 0 0
74150 zirkuitu integratuaren hautatzeko sarrerak izango dira a, b, c eta d
eta sarrerako datuetan 1-ekoak kokatuko ditugu ekuazioa betetzeko kondizioak
betetzen diren sarreretan, kondizioak betetzen ez diren sarreretan 0-ak
kokatuko ditugu. Horrela edozein konbinazio aplikatuta hautatzeko sarreretan,
irteeran Y ekuazioari dagokion erantzuna lortuko dugu.
Logika konbinazionaleko ariketa honetan multiplexorea soluzio erraza
eta azkarra da.
74
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Ariketak
1 - Marraztu 74150 multiplexorea espresio boolearraz deskribatutako hurrengo
ekuazio logikoa inplementatzeko.
D C B A D C B A D C B A D C B A D C B A Y + + + + =
Egi taula ere marraztu.
75
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
A. Gaia: Zirkuitu aritmetikoak.
A.1 - Kon$arat%aileak.
Zirkuitu konparatzaileek n biteko bi sarrera bitar berdinak diren ala ez
detektatzen dute eta ezberdinak direnean bietako zein den haundiena.
Funtzio logiko hau sarritan erabiltzen da sistema logikoen diseinuan eta
bloke funtzional bezala dago eskuragarri sistema logikoen diseinua
sinplifikatzeko.
Konparatzailea egi taula eta sinbolo logikoa ondorengoak dira.
9 ) ( ) < ( ) = ( ) > (
1
0
0
0
X
) < (
) = (
) > (
0
1
0
0
0
0
1
0
0
0
0
1
Konparatzen diren A eta B sarreren 8 bitez gain beste sarrera bat
existitzen da, , inhibitzailea deritzona. Sarrera honek 0 balore logikoa duenean
irteera guztiek 0 balio dute.
sarrerak 0 balio duenean A eta B sarreren arabera hartuko dituzte
irteerek beren balioak. A eta B sarrerak sistema bitar naturalean egon behar
dute.
Lau biteko konparatzailea, 8.1 irudian agertzen dena, 7485 zirkuitu
integratua da. Konparatzaile honek BCD eta sistema bitarrean egiten ditu
konparaketak.
76
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
3.1 irudia: 143! kon,aratzailea.
Luzera handiagoko hitzak konparatzea ere posible da konparatzaileak
katean jarrita. Horregatik 3 pin daude kateko sarrerei dagozkienak. Egi taula
ondorengoa da.
Konparatzeko sarrerak Sarrerak katean rteerak
)3 (3 )2 (2 )1 (1 )6 (6 ) > ( ) < ( ) = ( ) > ( ) < ( ) = (
)3>(
3
)3<(
3
)3=(
3
)3=(
3
)3=(
3
)3=(
3
)3=(
3
)3=(
3
)3=(
3
)3=(
3
)3=(
3
)3=(
X
X
)2>(
2
)2>(
2
)2>(
2
)2>(
2
)2>(
2
)2>(
2
)2>(
2
)2>(
2
)2>(
2
)2>(
2
)2>(
X
X
X
X
)1>(
1
)1>(
1
)1>(
1
)1>(
1
)1>(
1
)1>(
1
)1>(
1
)1>(
1
)1>(
1
)1>(
X
X
X
X
X
X
)6>(
6
)6>(
6
)6>(
6
)6>(
6
)6>(
6
)6>(
6
)6>(
6
)6>(
6
X
X
X
X
X
X
X
X
1
0
0
X
1
0
X
X
X
X
X
X
X
X
0
1
0
X
1
0
X
X
X
X
X
X
X
X
0
0
1
1
0
0
1
0
1
0
1
0
1
0
1
0
0
0
0
1
0
1
0
1
0
1
0
1
0
1
0
0
0
1
0
0
0
0
0
0
0
0
0
0
1
1
0
0
77
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
3
)3=(
3
)3=(
3
2
)2>(
2
1
7485 konparatzailearen diagrama logikoa ondorengo 8.2 irudian azaltzen da.
3.2 irudia: 143! kon,aratzailearen diagrama logikoa.
Zirkuitu honen integrazio eskala ertaina da, MS.
Ariketak
1 - Adierazi momentu oro lortuko genituzkeen irteerak konparatzaileari
ondorengo sarrerak sartu ezkero.
78
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
A.2 - Batut%aileak.
Bi biten arteko batuketa oso sinplea da erantzunak bi balore besterik
hartuko dituelako 0 eta 1. Batuketaren egi taula ondorengoa da.
Batugaiak Batura bitarra Bururakoa
( ) 8 +
0
0
1
1
0
1
0
1
0
1
1
0
0
0
0
1
Batuketa bitarrak 1 balorea hartuko du bi batugaietako bakarra denean
1. Bi batugaiek 1 balorea dutenean batuketak 0 balioko du eta bururakoa 1
izango da.
Beraz bi biteko batuketa bat bi sarrera (batugaiak) eta bi irteera (batura
eta bururakoa) dituen funtzio logiko bat da.
Egi taulatik ondorengo espresio matematikoak deduzitzen dira:
( ) +
( ) ( ) ( ) 8
=
= + =
Beraz ondorengo 8.3 irudian agertzen den zirkuitu logikoa edukiko
genuke.
8.3 irudia: zirkuitu batutzailea.
7
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Zenbaki bitar bateko bi bit batzerakoan aurreko biten arteko batuketako
bururakoa batu beharra dago. Batuketa hau egiteko batutzaile totala deituriko
sistema konbinazional bat diseinatu beharra dago.
Batutzaile totalaren egi taula ondorengoa da:
+i ( ) 8 +o
0
0
0
0
1
1
1
1
0
0
1
1
0
0
1
1
0
1
0
1
0
1
0
1
0
1
1
0
1
0
0
1
0
0
0
1
0
1
1
1
Ci bitartez aurreko bit batuketaren bururakoa adierazten da eta Co
bitartez a, b eta Ci sarreren batuketaren bururakoa.
Bi batutzaile eta OR ate baten bitartez batutzaile totala eratu daiteke,
ondorengo 8.4 irudian azaltzen den bezela.
3.4 irudia: batutzaile totala ;<=.
Egi taulatik ondorengo espresioak deduzitu daitezke:
+i ( ) +i ( ) +i ( ) +i ( ) +o
+i ( ) +i ( ) +i ( ) 8 8
totala
+ + + =
+ + = =
Espresio hauek Karnaugh-en taula erabiliz sinplifikatu daitezke.
Karnaugh-en taulak ondorengoak izango dira 8 eta +o-rentzat.
8"
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
8 +o
8-ren Karnaugh-en taula hiru sarrerako XOR atearenaren berdina da.
Beraz 8-ren espresioa ondorengoa izango da.
+ ( ) 8 =
Behar diren taldeak osatuta +o-rentzat ondorengo espresioa lortuko
genuke:
+i ) +i ( ( ) +o + + =
Azkenik ondorengo 8.5 irudian agertzen den zirkuitu digitala osatuko
genuke batutzaile totala eratzeko.
3.! irudia: batutzaile totala ;<<=.
Batutzaile totala aplikazio askotan erabiltzen denez integrazio
teknologiak erabiliz integrazio ertaineko zirkuituak aurki ditzakegu. Horrela
8!
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
bloke funtzional bezala dago eskuragarri sistema logikoen diseinua
sinplifikatzeko. 7483 zirkuitu integratu komertziala 4 biteko batutzaile totala da.
)1 eta (1 pisu gutxieneko biten sarrerak dira eta )n eta (n pisu gehien duten
biten sarrerak. 8.6 irudian ikusi dezakegu.
3.# irudia: 1433 batutzaile.
Paraleloan beste batutzaile bati ez dagoenean konektatua ohikoa da +in
sarrera lurrera konektatzea.
Bere diagrama logikoa ondorengo 8.7 irudian bilatzen dugu.
3.1 irudia: 1433 batutzailearen diagrama logikoa.
82
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Adibidea
1 - Adierazi sarrerako pultsu bakoitzeko irteeran lorturiko batura bitarrak:
a pultsua 0101 + 0101 = 01010 i pultsua 0011 + 0010 = 00101
b pultsua 0010 + 1010 = 01101 j pultsua 1101 + 1111 = 11100
c pultsua 1000 + 1100 = 10100 k pultsua 1110 + 1001 = 10111
d pultsua 0110 + 0011 = 01001 l pultsua 0001 + 0110 = 00111
e pultsua 0001 + 0100 = 00101 m pultsua 0010 + 1001 = 01011
f pultsua 0011 + 1011 = 01110 n pultsua 1001 + 0111 = 10000
g pultsua 1111 + 0111 = 10110 o pultsua 1111 + 1111 = 11110
h pultsua 1000 + 1101 = 10101
Ariketa
1 - Adierazi ondorengo 4 biteko batutzailearen irteeran lortutako emaitzak:
83
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
A.3 - Kent%aileak.
Bi zenbaki bitarren arteko kenketa batuketa algebraiko baten baliokidea
da. A zenbaki positibo bati B zenbaki positibo bat kentzea eta A zenbakiari B
zenbakia kentzea baliokideak dira.
4. atalean birako osagarria zer den ikasi dugu. Kentzailearen
alderantzizkoa egin, baterako osagarria eta 1 batzean datza.
Lortuko genukeen eskema ondorengo 8.8 irudian azaltzen da.
3.3: irudia: kentzailea.
Lau biteko kentzaile bat eratzeko beraz, nahikoa da batutzaile bat, 7483
zirkuitu integratua, eta alderantzizkoa egiten duen ate logiko bat.
Lau biteko kentzaile baten eskema ondorengo 8.9 daukagu.
84
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
3.4: irudia: lau biteko kentzailea.
Adibidea
Ondorengo kenketa egingo dugu:
1100
-1001
0011
Birako osagarria ondorengo eran egingo litzateke:
1100
+ 0110 Baterako osagarria
10010
+ 1
1 0011 Birako osagarria
Hau da:
85
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Azkenik, kenketaren emaitza 0011 da, bururakoa ez baita kontuan
hartzen.
Ariketa
1.- Adierazi momentu oro lortuko genituzkeen irteerak ondorengo sarrerekin.
A.4 - A3B.
Ordenadore bat memoria batez eta CPU (Prozesamenturako Unitate
Zentrala) batez dago osatua. CPU-ak sarrerak irakurtzen ditu eta irteerak
kalkulatzen ditu eragiketa batzuk burutuaz, 8.10 irudian azaltzen den bezala.
86
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
3.16: ordenadore baten kon,osaketa.
CPU-a CU (Kontrolerako Unitatea) eta ALU (Unitate Aritmetiko Logikoa)
batez dago osatua.
Funtzio aritmetiko-logiko erabilienak zirkuitu batean integratzen dira
horrela ALU-a eratuz, 8.11 irudian bezala errepresentatuz.
3.11 irudia: )L> baten erre,resentazioa.
87
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Bit batekin lan egin dezakeen ALU bat era genezake multiplexore
batekin. Kalkulatu beharreko operazio logikoak ondorengoak izango lirateke.
( ) $ = ( ) $ + = ) $ = ( $ =
Bi pineko multiplexore bat beharko genuke, 2 sarrera egin nahi den
eragiketa hautatzeko.
Egi taula eta eskema ondorengoak lirateke.
Kontrola
86 81 $
0
0
1
1
0
1
0
1
( ) $ =
( ) $ + =
) $ =
( $ =
74381 zirkuitu integratua 4 biteko A.L.U. bat da. Mota honetako zirkuituak 4
biteko hitzak prozesatzeko erabiltzen dira. Hitz hauek datu numerikoak nahiz
logikoak adierazten dituzte.
88
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
3.12: irudia: 14331 zirkuitu integratua.
74381 zirkuitu integratuak 8 eragiketa aritmetiko-logiko egin ditzake. Egin
ditzakeen eragiketen artean batuketa, kenketa, AND, OR, XOR eta irteerak
0000 eta 1111 jarri ditzaketen bi eragiketa sartzen dira.
74381 zirkuitu integratuak sarrerako 3 pin ditu egin beharreko eragiketak
hautatzeko. Sarrerek ondorengo balioak hartzen dituzte guk nahi dugun
eragiketa egiteko:
82 81 86 Hautatutako eragiketa
0
0
0
0
1
1
1
1
0
0
1
1
0
0
1
1
0
1
0
1
0
1
0
1
Z=0000
Z=B - A (Kenketa)
Z=A - B (Kenketa)
Z=A + B (Batuketa)
Z=A B (XOR)
Z=A B (OR)
Z=A B (AND)
Z=1111
A.L.U. bakoitzak behar dituen seinaleak sortzen ditu etapa
desberdinetan bururakoak (P eta ?) pasatzeko A.L.U. bat baino gehiago
elkarrekin konektatu ezkero.
A.L.U.-aren bitartez biderkaketak eta zatiketak egin ditzakegu.
Batuketak eta ezkerretara desplazamenduak eginez biderkaketak egiten
dira biderkaketa bitarrak egiten diren bezala.
8
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Kenketak eta eskuinera desplazamenduak eginez zatiketak egiten dira
zatiketa bitarrak egiten diren bezala.
Eskuinera eta ezkerrera desplazamenduak egiteko erregistro berezi bat
erabiltzen da desplazamenduko erregistroa deritzona. Erregistro hau hurrengo
gaian azalduko da.
Ariketak
1 - Diseinatu ondorengo eragiketak egingo dituen bit bateko A.L.U. bat:
AND, OR, NOT, NAND, NOR, XOR, XNOR
2 - Adierazi ondorengo eragiketak egiteko 74381 zirkuitu integratuak behar
dituen kontroleko hitzak:
Batuketa, kenketa, AND, OR
3 - Adierazi nola burutu behar den 4 biteko biderkaketa bat 74381 zirkuitu
integratuarekin.
4 - Nola burutuko litzateke zatiketa?
"
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
C. Gaia: Bakulak eta erregitroak.
C.1 - (arrera.
Logika bi zati nagusitan banatzen da: logika konbinazionala eta logika
sekuentziala. Ate logikoak zirkuitu konbinazionalen oinarri dira eta baskulak
berriz logika sekuentziala duten zirkuituen oinarri. 9.1 irudian, sistema
sekuentzial baten oinarria azaltzen da.
4.1 irudia: sistema sekuentzial baten oinarria.
Logika sekuentziala duten zirkuituak memoriako ezaugarriengatik dira
garrantzitsuak. Hauek elkarrekin konektatuak daude datuak gorde, denbora
neurtu, kontatu edo sekuentzia bat jarraitu dezaketen zirkuituak sortzeko.
C.2 - 1( bakula ainkronoa.
Oinarrizko baskulari RS deitzen zaio. Baskula mota honek bi sarrera ditu,
S, ingeleseko set hitzetik datorrena eta R, ingeleseko reset hitzetik datorrena.
Ate logikoek ez bezala bi irteera ditu, 5 eta 5 , elkarren artean
osagarriak direnak.
RS bascularen sinboloa NOR ateen bidez konexioa
!
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
RS baskula ate logikoen bidez eratu daiteke. NOR ateak berrelikatu
egiten dira ate baten irteeratik beste atearen sarrerara. Ate logikoekin bezala
egi taula batek definitzen ditu baskulak egin beharreko eragiketak.
%S &askularen egi taula ondorengoa da:
Sarrerak rteerak
Operazio moduak: 8 %
n
5
! + n
5
Egonkorra
Reset
Set
Debekatua
0 0
n
5
n
5
0 1
n
5 0
1 0
n
5 1
1 1 X X
Aurrengo kasua egoera egonkorra edo desgaitua da, irteerak kondizio
hau gertatu aurreko egoeran daude, hau da, ez dago aldaketarik irteeran.
Bigarren kasua reset egoera edo itzalitakoa da, non 1 logiko batek reset
(%) sarrera aktibatzen du eta honek 5 irteera normala 0ra jartzen du.
Hirugarren kasua set egoera edo piztutakoa da, 1 logiko batek set (8)
sarrera aktibatzen du eta honek 5 irteera normala 1era jartzen du.
Laugarren kasua berriz egoera debekatua da. Egoera hau ez da
erabiltzen RS baskulan kontradikzio bat suposatzen duelako.
NOR ateekin eratu beharrean RS baskula NAND ateekin ere eratu
daiteke. Ondoren daukagu eratu beharreko eskema:
RS bascularen sinboloa NAND ateen bidez konexioa
Kasu honetan, kontrolerako terminalak nibel baxuarekin dira aktiboak.
Egi taula ondorengoa da:
2
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Sarrerak rteerak
Operazio moduak: 8 %
n
5
! + n
5
Debekatua
Set
Reset
Egonkorra
0 0 X X
0 1
n
5 1
1 0
n
5 0
1 1
n
5
n
5
Kasu honetan, debekatutako egoera bi sarrerak 0-ak direnean ematen
da eta egoera egonkorra bi sarrerak 1-ekoak direnean.
Set egoera edo piztuakoa 0 logiko batek set (8) sarrera aktibatzen
duenean ematen da eta honek 5 irteera normala 1-era jartzen du.
Reset egoera edo itzalitakoa 0 logiko batek reset (%) sarrera aktibatzen
duenean ematen da eta honek 5 irteera normala 0-ra jartzen du.
Ariketak
1 - RS baskularen 5 irteera normalen irteera bitarrak, S eta R seinaleak
emanda
ondorengoak dira:
a pultsua 1 f pultsua 0
b pultsua 1 g pultsua 1
c pultsua 0 h pultsua 1
d pultsua 0 i pultsua 1
e pultsua 0 j pultsua 0
2 - Adierazi 5 irteera normalaren irteera bitarrak irudiko RS baskularentzat:
3
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
C.3 - (itema ekuent%ial inkronoak.
Ate logiko errealek atzerapenak sortzen dituzte seinaleak
hedatzerakoan, hau da, denbora bat iragaten da seinaleak jasotzen dituzten
momentutik erantzuten duten arte.
Sistema logiko bat ate logiko askoz dagoenean eratua komenigarria da
sinkronizatzea nahi ez ditugun erantzunak ekiditeko.
Sinkronizatzeko behar hau ulertzeko adibide bat formazioan dagoen
ejerzito bat izan liteke. Lehenik eta behin agindua ematen da baina hau ez da
exekutatzen ar agindua jaso arte. Horrela erantzun sinkronizatu bat lortzen da.
Sistema digitaletan ohikoa da busak erabiltzea gailu ezberdinak
elkartzeko eta beharrezkoa da kontuz ibiltzea zirkuitulaburrik ez egiteko.
Behar hau hobeto ulertzeko bidegurutze bateko semaforo baten adibidea
daukagu, hauek behar bezala sinkronizatuta egon behar dute istripuak
ekiditzeko.
C.4 - 1( bakula inkronoa.
Oinarrizko RS baskula gailu asinkrono bat da, ez du inolako erloju edo
denbora neurtzeko instrumenturen laguntzarekin funtzionatzen. Sarrera bat
aktibatzen denean irteera normala ere aktibatzen da.
RS baskula sinkronoak erloju batekin funtzionatzen du. Beraz, erloju edo
clock sarrera bat gehitzen zaio lehendik dituen % eta 8 sarrerei. Ondorengo
irteerak ere baditu, 5- irteera normala eta 5 , irteera normalaren osagarria.
RS baskula sinkronoa NAND ate logikoen bidez eratu dezakegu.
4
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
RS baskularen sinkronoaren
sinboloa
NAND ateen bidez konexioa
Egi taula ondorengoa da:
Sarrerak rteerak
Operazio moduak: +L2 8 % 5
n
5
n@1
Egonkorra
Reset
Set
Debekatua
0 0 5
n
5
n
0 1 5
n
0
1 0 5
n
1
1 1 X X
: erlojuaren flanku positiboa.
+L2, erlojuaren sarrerak baskula habilitatzen du erlojuaren pultsuak 1
nibel logikoa duenean. RS baskula sinkronoa flankoekin aktibatzen den gailu
bat da. Pultsua altua den unean % eta 8 sarreretako informazioa irteeretara
igarotzen da.
% eta 8 sarrerak 0 direnean +L2 sarrerara pultsu bat iristen bada
irteerak ez dira aldatzen, hau da, pultsua iritsi aurretik zeuden bezala
mantentzen dira.
Sarrerak reset operazio moduan daudenean, 8 sarrerak 0 balioa eta %
sarrerak 1 balioa dituztenean, irteera normalak 0 balio hartzen du pultsu bat
iristen denean +L2 sarrerara.
5
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Sarrerak set operazio moduan daudenean, 8 sarrerak 1 balioa eta %
sarrerak 0 balioa dituztenean, irteera normalak 1 balio hartzen du pultsu bat
iristen denean +L2 sarrerara.
Azken kasua kontradikzio bat denez ez da erabiltzen.
Adibidea
1 - RS baskularen 5 irteeraren balioak ondorengoak dira 8 pultsutan zehar:
a pultsua 1 e pultsua 1
b pultsua 1 f pultsua 1
c pultsua 1 g pultsua 1
d pultsua 0 h pultsua 1
Ariketa
1 - Azaldu Q irteeraren balioak baskula honetan ondorengo pultsuak dituenean
sarreretan.
6
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
C.5 - <K bakula.
JK baskula bi sarrera sinkronoz (A,2) eta erloju sarreraz dago osatua:
Bere egi taula ondorengoa da:
Sarrerak rteerak
Operazio moduak: +L2 A 2 5
n
5
n@1
Egonkorra
Reset
Set
Aldaketa
0 0 5
n
5
n
0 1 5
n
0
1 0 5
n
1
1 1 5
n
n
5
: erlojuaren pultsu positiboa.
Taulako lehenengo lerroan egoera egonkorra adierazten da, ez da
aldaketarik ematen irteeran J eta K sarrerek 0 balioa izanik pultsu bat iristen
denean erlojuaren sarrerara.
Reset egoera bigarren lerroan adierazten da, egoera hau irteera normala
0 baliora jartzean datza.
Set egoera, J sarrerak 1 balioa eta K sarrerak 0 balioa dituztenean
ematen da. Egoera honetan irteera normala 1 baliora jartzen da pultsu bat
iristen denean erlojuaren sarrerara.
Azkenik, laugarren lerroan JK baskulan erabilpen handia duen egoera
adierazten da. Bi sarrerak, J eta K, 1 baliora daudenean irteerako balioa aldatu
egiten da (flip-flop) erlojuaren pultsua ematen denean.
7
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Adibidea
1 - JK baskularen 5 irteeraren balioak sarreretan 8 pultsu aplikatu ondoren:
a pultsua 1 e pultsua 0
b pultsua 1 f pultsua 1
c pultsua 1 g pultsua 0
d pultsua 0 h pultsua 1
Ariketa
1 - Azaldu 5 irteeraren balioak baskula honetan ondorengo pultsuak dituenean
sarreretan.
7473 zirkuitu integratua JK baskula bat da:
8
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Bere egi taula ondorengoa da:
Sarrerak rteerak
Operazio moduak: % +L2 A 2 5
n
5
n@1
Reset asinkronoa
Egonkorra
Reset
Set
Aldaketa
0
X X X 0 1
0 0 0 5
n
5
n
1 0 1 5
n
0
1 1 0 5
n
1
1 1 1 5
n
n
5
: erlojuaren flanku negatiboa.
C.! - Datu bakula.

Moduloa: Sistema sekuentzialen kontrola


A - Elektronika Digtala
Datu baskulak datu bat gordetzen du erlojuaren clock bakoitzean:
Memoriak eta erregistroak egiteko oinarrizko elementua da baskula hau.
7474 zirkuitu integratua datu baskula bat da, aipatutako sarrerez gain RS
sarrerak ere baditu. Beraz, datu baskula edo RS baskula bezala egin dezake
lan:
Bere egi taula ondorengoa da:
Sarrerak rteerak
!""
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Operazio moduak: 8 % +L2 / 5
n
5
n@1
Set asinkronoa
Reset asinkronoa
Debekatua
Set sinkronoa
Reset sinkronoa
Egonkorra
0
1 X X 5
n
1
1 0 X X
5
n
6
0
0
X X
X X
1
1
1 5
n
1
1
1
0 5
n
0
1
1
1
1
0
1
X
X
5
n
5
n
5
n
5
n
: erlojuaren flanku positiboa.
Ariketa
1 - Azaldu 5 eta 5 irteeren balioak baskula honetan ondorengo pultsuak
dituenean sarreretan.
JK baskula batetik datu baskula bat eratzeko nahikoa da irudian
agertzen den konexioa egitea:
!"!
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Egi taula aztertzen badugu datu baskula batek bezala funtzionatzen
duela konturatuko gara.
C." - T bakula.
T baskula edo flip-flop baskula aginte bakarreko baskula bat da, eta
irteera balioz aldatzen da sarrerara pultsu bat iristen den bakoitzean.
Kontagailuentzat oinarrizko baskula da.
T baskula bat eratzeko, JK baskula bat erabiliz, nahikoa da J eta K
sarrerak 1 baliora jartzea.
C.A - Erregiroak
kusi dugun bezala, baskulek memoria dute eta bit bat gorde dezakete.
Erregistro bat n baskulek osatzen dute eta bertan n biteko hitz bat gorde
genezake. Hitz hau guk nahi dugunean irakurri dezakegu.
ALU bat eta erregistro batzuk elkartuta mikroprozesatzaile bat lortuko
genuke.
Mikroprozesatzaile edo konpatagailu batek gehien egiten dituzten
eragiketak erregistro batetik beste batera egiten diren transferentziak dira.
Horregatik, prozesu digital batean transferentzia asko egiten dira
erregistro batetik bestera eragiketa aritmetiko edo logikoekin alderatuz.
!"2
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Erregistro baten eskema ondorengoa izan liteke:
Funtzionamendua ondorengoa da: sarreran memorian gorde edo igorri
nahi diren datuak kokatzen dira. Datuak gorde ahal izateko idazketak
habilitatuta egon behar du.
Datuak irakurri nahi direnean nahikoa da irakurketa habilitatzea.
Erregistroak latch izenaz ere ezagutzen dira.
74373 zirkuitu integratua 8 biteko erregistro bat da, 9.2 irudian ikusten
den bezala.
Sinbolo logikoa Funtzionamendu diagrama
4.2 irudia: 14313 zirkuitua.
!"3
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
74373 zirkuituaren diagrama logikoa 9.3 irudian aurkitu dezakegu.
4.3 irudia: 14313 zirkuituaren diagrama logikoa.
C.C - De$la%amendu erregitroak.
Shift register desplazamenduko erregistro bat da. Erregistro mota honek
erabilpen handia du sistema digitaletan, logika sekuentzialeko zirkuitu bezala
erabiltzen dira eta baskulen bidez eratzen dira.
Baskulek sistema sinkrono bat osatzen dute, baskula guztiak erloju
seinale berdinarekin aktibatzen baitira. Erlojuaren pultsu bakoitzean baskula
baten egoera hurrengo baskulara pasatzeko moduan egiten dira baskulen
arteko konexioak.
Desplazamenduko erregistroetan bi mota daude, seriean eta paraleloan
egiten diren desplazamenduak. Hauetako bakoitzean ezkerretara edo
eskubitara egin daitezkeen desplazamenduak daude.
C.C.1 - Karga eriean duen de$la%amendu erregitroa.
Seriean lau bit eskuinera desplazatzen dituen erregistroa aztertuko dugu.
Horrelako bat 9.4 irudian ikus dezakegu.
!"4
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
4.4 irudia: karga serieko lau biteko des,lazamendu erregistroa.
Erregistroa ezabatzeko 0 bat jarri behar da Reset edo ezabatzeko
sarreran, sarrera hau alderantzizkoa baita. Sarrerak honek baskula guztiak 0-ra
jartzen ditu.
Adibidez, hasieran irteera 0000 izanik sarreran 1-eko bat jarriko genuke
eta erloju pultsu batzuk bidaliko genituzke. Pultsua iristen den momentuan
irteera 1000 izatera igaroko da. Sarreran 0 bat jarri ezkero beste pultsu bat
iristen den momentuan irteera 0100 izatera igaroko da. Sarrera aldatu gabe,
beste pultsu bat iristean irteerak 0010 balioko du eta hurrengo pultsua iristean
0001.
0001 hitz bitarra bitez bit sartu da erregistroan, hau da seriean. rteera
ordea paraleloan irakurtzen da. Horregatik erregistro hauei serie-paralelozko
erregistroak deitzen zaie.
Erregistro hauen aplikazio nagusia datuak serietik paralelora pasatzea
da.
74164 zirkuitu integratua serie-paralelozko erregistroa da. Erregistro
honek 2 sarrera, /
sa
eta /
sb
, bakoitza 8 irteerakoa (5
6
.. 5
1
), erloju sarrerak,
reset edo ezabatzeko sarrera eta tentsio iturriko sarrerak.
Pinen konfigurazioa eta sinbolo logikoa ondorengo 9.5 irudian azaltzen
dira.
!"5
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Bloke funtzionala Sinbolo logikoa
4.! irudia: 141#4 zirkuitua.
Pinen deskribapena ondorengoa da:
Pin zenbakia Sinboloa Funtzioa
1,2
3,4,5,6,10,11,12,13
7
8
9
14
/sa, /sb
5
6
.5
1
?./
+L2
B%
V
cc
Sarrerako datuak
rteerak
Lurrerako
Konexioa
Erlojua
Reset
Tentsio iturria
C.C.2 - Karga $araleloan duen de$la%amendu erregitroa.
Karga seriean duen desplazamendu erregistro baten desabantaila zera
da, pultsu asko behar dituela sarrerako karga egiteko. Karga paraleloan duen
desplazamendu erregistro batek berriz, sarrerako bit guztiak pultsu bakar
batean kargatzen ditu.
Ezabatzeko sarrerak +L% sarrera bakoitza paraleloan konektatzen du
eta erlojuak +L2 sarrera bakoitza konektatzen du paraleloan. Baskula
bakoitzaren P8 sarrera datuak paraleloan kargatzeko ateratzen da.
!"6
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Berrelikadurako lineak erregistroaren eskuineko aldetik galduko ziren datuak
babesten ditu. Datuak bueltako ibiliko dira erregistroan zehar.
Lau biteko desplazamendu erregistro baten eskema ondorengo 9.6
irudian daukagu.
4.# irudia: karga ,araleloko lau biteko des,lazamendu erregistroa.
JK baskuletako P8 eta +L% sinboloak dituzten sarrerak 0 batekin
aktibatzen dira eta asinkronoak dira, ez dute erloju pultsurik behar.
Goiko lau lineak paraleloko datu sarrerak edo kargako sarrerak dira: ),
(, + eta /, hain zuzen.
74165 zirkuitu integratua zortzi biteko serie-paralelozko desplazamendu
erregistro bat da.
Pinen konfigurazioa eta sinbolo logikoa ondorengo 9.7 irudian dauzkagu.
!"7
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Bloke funtzionala Sinbolo logikoa
4.1 irudia: 141#! zirkuitua.
Pin bakoitzaren funtzioa ondorengoa da:
Pin zenbakia Sinboloa Funtzioa
1
7
9
2
8
10
11,12,13,14,3,4,5,6
15
16
PL
7
5
5
1
+L2
?./
/s
/
6
- /
1
+9
V
cc
Karga paraleloko sarrera
Azkenengo irteera
alderantzizkatua
Azkenengo irteera
Erlojua
Lurrerako konexioa
Serieko sarrera
Paraleloko sarrera
Erlojuaren habilitatzailea
Tentsio iturria
!"8
Moduloa: Sistema sekuentzialen kontrola
A - Elektronika Digtala
Zirkuitu integratu hauek, serie-paralelo nahiz paralelo-serie
desplazamenduko erregistroak, asko erabiltzen dira sistema digitaletan.
Erregistro hauek batez ere bi aplikaziotan erabiltzen dira:
komunikazioetan eta operazio aritmetikoetan (zatiketa eta biderkaketa).
Kontuan eduki behar da sistema digitaletan datuak paraleloan igortzen direla
eta sistema ezberdinen arteko komunikazioak seriean egiten direla.
Ariketa
1 - Adierazi irteerako adierazleen egoera pultsu bat jasotzen dugun bakoitzean
desplazamenduak eskuinera egiten dituen ondorengo erregistroan.
!"

You might also like