Praktikum Iz Digitalne Elektronike BW

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 80

.......................................................................................................................................

3
1 ................................................................................................................5
1.1 icroCap..............................................................................5
..........................................................................5
. ........................................................................5
..................................7
, a ................7
, a ................9
1.2 ............................................................................................10
...................................................10
....11
.....................................................................................................12
1.3 .............................................................13
...................................................................................................13
................................................................................................15
1.4 ...............................................................................16
.........................................................................16
...............................................................16
() 17
..............17
1.5 .............................19
2 ............................................................................................21
2.1 ....................................................................................................................21
2.2 ..........................................................................21
icroCap-a ....................................21
SR Master-Slave .............................................................23
Master-Slave ..........................................23
..................................................................24
SR , ......25
2.3 ...............................................25
..............................................................25
....................................................................................26
2.4 ...............................................27
......................................................................27
.........................................................................28
3 ..........................................................................................29
3.1 ....................................................................29
.....................................................................................................29
SR . .....................................................................................31
.....................................................................................................31
1

3.2 .....................................................................32
..........................................................32
, ............................................32
...................................................................................34
4 ......................................................................................................................................47
4.1 () ..................................................................................................47
...............................................................................47
...........................................................50
10..............................................................................51
4.2 () .........................................................................52
( ) 5 ..............................52
..............................................................53
4.3
() ..................................................................................................................................54
4.4 .....56
................................................................................................57
............................................................................................58
.............................................60
..........................................................................................61
5 ....................................................................................................................................62
5.1 ........................................................................................................63
D- .....................................................63
D- ..............................64
D ...........................65
D- .................................................................66
.......................................................................................68
5.2 ............................................................................................................69
- ..69
(
) .............................................................................................................70
......................................................................71
...................................................................73
5.3 ...................................................................................................73
FIFO .............................................................73
..............................................................74
...........................................74
......................................................................................................75
.......................................75
...................................................................................................................................76
: .......................................................................77


,
.

,
. :



( )



()
.
, ,
, .

MicroCap, SPICE.
() , ,
.
.
, .
.
,
MicroCap .
( ,
),
(
). , , ,
, .
.
.
,
, .

.
3

, . ,
.

. , ,
(
). ,
( ,
.).
, .
,
(FIFO ).

.
MicroCap ( 7) ,
, .
, ,
MicroCap-, .

. (
).
:

1

.
, ,
, .

MicroCap. MicroCap .
(, .)
.
( )
. ,
-
.

1.1 icroCap

MicroCap V_1_01.CIR.
!
Analysis Transient.
(Transient Analysis
Limits) .
(Time Range)
(Stimulus generator) .

(.
,
).
(Maximum Time Step)
,
, .
, , , .
.
V_1_02.CIR.
.
. ,
, : ,,Transient Analysis
Limits.
5

Y Expression ()
, d(.)
(. 1.1).

1.1

,
,
. ,
. 1.2.

.).

1.2
.
.
( )
.
()
.
.


,
.
.
Options Scope Animate Options.
Animate Options. Wait
, .
. :
Dont Wait: .
.
Wait for Key Press:

. .
Wait for Time Delay:
Time Delay .
. .


.

.
: , ,
, .
, a
V_1_03.CIR.
! ( ) ,
,
.
.
Components,
Digital Primitives, Stimulus Generator StimN
N (
N=1, 2, 4, 8 16). ,
, . 1.3.

1.3
(Select Mod)
. Component=StimN
N . FORMAT
( FORMAT=, Value ).
FORMAT, 1, 3 / 4 (1 , 3
, 4 ).
. (1133 ,
, .1046 -
10100110).
COMMAND=
. Value
COMMAND , ,
.DEFINE.
.DEFINE ()
, ,
.DEFINE signal1

,, , ,,
+
. ,
.
FORMAT.
H - , Z - .
, 200 ns 250 ns (
, FORMAT=1):
+ 0ns 0
+ 200ns 1
+ 450ns 0

, a
V_1_04.CIR.
! ,
. MicroCap
.
,
,
INCR DECR . INCR DECR
, BY
. .
16 (. 1.4).
( ) 0.
.DEFINE stimulus3
+0ns 0
+LABEL=start
+100ns INCR BY 1
+200ns GOTO start 15 TIMES

1.4
,
, .
GOTO TIMES,
: UNTIL GT (until greater than - ), UNTIL GE (until
greater or equal - ), UNTIL LT (until less than -
) UNTIL LE (until less or equal - )
. GOTO
.

9 :
9

.DEFINE stimulus4
+0ns 0
+LABEL=start
+100ns INCR BY 1
+200ns GOTO start UNTIL GE 9


() (. 1.5).

1.5 9
1.2

V_1_05.CIR. (. 1.6)
. .
.
1, .
.

1.6
10


,
.
Components, Animation. :
(Digital Switch). ,
.
,
.
( ; LED).
() .
,
(H) .
.
( )
, -
.
,,1 , ,,0 .
.
7 : NBCD 7 ,
. 7448
Components, Digital Library.
. 1.7.

1.7
NBCD

V_1_06.CIR. ( Text)
. !
( , RUN).
.
,,Transient Analysis Limits ,
.
11


V_1_07.CIR. (. 1.8)
. ! ,
.
,,Transient Analysis Limits
. !
.
. .
, .

1.8

12

1.3
V_1_08.CIR.
. . 1.9.

1.9
V_1_09.CIR V_1_10.CIR.
.


. 1.10 ,, .
a)


,, .

) V_1_11.CIR. A = 0, B = 0, D = 0,
C , .
.
. ?
) V_1_12.CIR. ).
?

13

1.10
:
) ,,
F
F = A C D + A BC + BD + AC D

;
(. 1.11).
, .
,, :
H1: A = 0, B = 0, D = 0, C
H2: B = 0, C = 1, D = 0, A
H3: A = 0, C = 1, D = 1, B
H4: A = 1, B = 1, C = 1, D
H5: A = 0, B = 1, C = 0, D
CD
AB

H1

00

00

01

11

01

11

10

10

H2
H1
H3

1
1

H5

H4

H2

1.11 F ,

14


V_1_13.CIR. (. 1.12)?

. .

1.12

15

1.4

) .
1.

V_1_14.CIR, V_1_15.CIR V_1_16.CIR


,
.

2.


, .

.

3.


, .

4.

,
.

) ,
( )
Y (D,C,B,A) = (0,1,2,4,5,8,10,14,15)
( )
)
)
)

,
(
)

1.13
16


a)
)
)

()

.
.

Y (D,C,B,A) = (0,1,2,4,5,8,10,14,15)
UX 8/1 ( MicroCap,
V_1_13.CIR.
.
a) ( )
) .



. PROM, PAL PLA .
.

1.

ROM, PAL PLA .



. .

2.

V_1_17.CIR, . 1.14.
PAL 4/2/2.

3.

1.15.
.

4.

5.

PAL ():
F1 = A B C D + B D
F2 = A C D + B C
17

6.

(

, D).
PAL
.

1.14 PAL 4/2/2 ,


.

1.15 PAL 4/2/2

18

1.5
,
, , ,
.
:
( ),
( ).
(. )
,
, :
1)
2)
3)
4)

, : ;
, : ;
, : ;
, : .

2 3 ( )
( ), .

1.

V_1_18.CIR, . 1.16. F3,


.

2.

F1
B ( ).

3.

.
.

4.

5.

F2 ( )
, .1.17. ( ,
, (State Variables)

Leave, ).

( ) .
.
.
? (
.)

19

1.16

1.16
( F1), (F2).
() F2 .

20

2
2.1
, ,
,
. , .
, (
M , ), ( M ,
). ( )
, ( M
, ).

( , )
( , ).
.
MicroCap
( ) . , ,
( , ),

.
( - , ,
, ) .
, .
.
, ,
, .

2.2
icroCap-a
MicroCap V_2_1.CIR. ,

, . 2.1.
(Master Set = Preset,
PREB MicroCap Master Reset = Clear, CLRB).
1.

21

2.1
(CLK).
200 ns, 28 . CLR,
Q=0 (CLRB).

( . 2.2.)
2.

2.2 ,
,
. ,
CLK
.
,
, . 2.2.
3.

4.

CLR
. CLR . B
( ), 100 ns,
.

5.

50 ns (
) .
, -.

22

SR Master-Slave
1.

V_2_2.CIR, SR Master-Slave (MS)


. 2.3.

2.3 SR MS ,
2.

3.

, .

4.

! .
0. S R ,
.
.
S=R=1!

: CLK=1, 0,
CLK=0, (

). CLK=1, ,
- 0.
.
5.

Master-Slave
1.

V_2_2.CIR.

2.

3.

.
.

4.

!
.
S=R=1!
23

5.

V_2_2B.CIR .

: CLK=1, 0, CLK=0,
- - U1 U2
). U1 U2 (
, U1, Timing Modela DLY_TTL,
U1). CLK=1, ,
- 0.
.


1.

V_2_3.CIR!

2.

. 2.4
.

2.4 : ) ,
) ,
3.

4.

. Set Reset = 0
Set1 Reset1 ,
().

SR .

24

SR ,
1.

V_2_4.CIR.
. 2.5.

2.5 SR

2.

.
,
.
SR , Set Reset.

3.

.
V_2_4.CIR.


00 11,
.

( ) . (
Timing Model U17 U18: DLY_TTL D_00 ).
.

4.

2.3

1.

V_2_5.CIR. .
2.6.

2.

! !
.
(
)!
25

2.6 D .


1.

12.1.1 .

2.

V_2_6.CIR. !
! , ,
. 2.7!

2.7 D

26

3.

. (
, , U.)


U
0
0
0
0
1
1
1
1

4.

Q1Q0
0
0
1
1
0
0
1
1

Q1Q0

0
1
0
1
0
1
0
1

2.4

1.

B
Z, 1
BA=00 BA=11, !
( D .)

2.

V_2_7.CIR!

3.

.
!

4.

( )?
?

27


1.

SR , D
.

2.

.CIR icroCap.

3.

j ,
.

4.

Set Reset,
.

5.

28

3
3.1

icroCap. ()
, .
.

1. . ,
V_3_1.CIR. ,
( TTL,
MicroCap).
, V_3_1.CIR.
, ( L,
MicroCap).
MicroCap V_3_1.CIR.

?
1.
. ,
.
V_3_1.CIR ,
: ,
Q' (
MicroCap QP).

3.1
.
1, 2 4,
, .
(3-4) .
Q (, ).
29

2.

V_3_1.CIR. .

.

3.2 (
)

3.

(: ,
. 3.3) . (
.)
.

3.3
30

SR .
1.

2. .

2.

MicroCap .
, Q'1
QP1. (
, ).

3.

S R,
(Stimulus Generators)
.

( : V_3_1.CIR.
. , .
.
. .)

4.

, (Z1 Z2)
S=R=1,
(Z1=Z2=0).


1.


1. .

2.

.
( :
V_3_1.CIR. , .)

3.

31

3.2


() .
,
,
(,, , )
. ,
.
, .

. ,
:
1) (
)
2)
().
, .
,

, (
). ,
. .
, , ,
( )
.
:

, ,

, ,

32


1.: "" (
, . ). .
2.: ,
, .
3.: : . . .
4.: ( ) .
, .
.
.
. (: ) . ( ,
) .
. Q " "
. ( , ,
.) , , , ( ). .
. .
5.:
.
.
:
) , .
) ,
.
.
6.: .
7.: .
.
33


1:
, B,
(Z). . 3.4.
.
: , :
B,
, Z .
.
.
2 4 (AB = 11 ),
.
( ).
, ()
, ( , ,
Q). ,, Q.
, . (
, .)
Q ( 2 4) Q
. . 3.4 Q, .
() .
Q
.
( , )

t
t

,
( ,
2 4)

3.4 : () , B ( )
Z ( ) Q
34

1.. .
, . 3.5.
( )
, .
Q Q,
( Q) , ,
B Q. ( .
, Q Q,
. Q "" .

).
X

Z
Kombinaciona
mrea
(K.M.)

Y
Q

Q'

3.5
. 3.6 .
A

Q'

3a 3b

5a 5b

3.6

,
. t ,
(3 5, Q)
() .
35

( ) Q, , (
b) , Q.
B = 00 , ,
, .
(. 3.7), , , B Q,
Q Z.
. .

)
)
3.7 ) ( )
)
.
:
Q = B + Q
:
= BQ
(. 3.8) ,
.

3.8 .
( ), , ,
( ).
. 3.9 ( V3_4.CIR).

36

Q = B + AQ

3.9 1.

B ( V5_1; SIGNAL_A SIGNAL_B; +
, ; *
) :
.define SIGNAL_A
* signala (ime)
*: (Y Expression)!!!
+LABEL=pocetak
* LABEL
+0ns 0
*:<> < >
+100NS 1
+500NS 0
+600NS GOTO POCETAK 2 TIMES
* G () .
* .
* .
* 0.
.define SIGNAL_B
+LABEL = POCETAK
+0NS 1
+200NS 0
+300NS 1
+600NS GOTO POCETAK 2 TIMES

. 3.10 .
(Time Range)
. x - (X Range)
, (Auto Scale Range).

37

3.10 .
. 3.11 .

Q' = B + A Q = s(ulaza) + d (ulaza) Q ,


s d . s
(1) Q. s = 1 Q
Q = 1.
d Q 1. d = 1
Q 0 ( , s ). Q
, d = 0. (: , Q = 1,
s+d =1.)

38

3.11 . 3.9.
1.. SR


. . 3.12 ( , ).
, Q
. (
, ,
, .
.)

3.12 SR

,
(
; ,
Q).
Z, 5,
. . (. 3.13)
S R, .
39

3.13
SR

S R .
(. 3.14)
? , B = 00 . ,
S S = 1 Z, ,
0. 4 1. , 4. B = 11, 2,
. , S4 = 1.
. R5 = R1 = 1, R2 1 ( R4 1, ).

)
)
)
3.14 (, ) ().

(
. 3.13). SR = 1.
. 3.15.
40

3.15 :

V3_5.CIR .
:
1) SR , ,
(NOR2). : S R,
?
2) .
( .)
, . 3.15.
. 3.16.

41

A
B

Z
S

SR SR :

A
B

S R

. (
V5_3.CIR)

:
A
B

Z
Q
, :
A
B

Q
Q


:
A
B

Q = BAQ = B +AQ

3.9!

Q
3.16.
( ).
42

2: D-

D- ( )
() D
. , (, ).
. 3.17
. (
.
, , .)
1
00

2
01

3
00

4
10

5
11

6
10

7
00

8
01

9
11

10
01

11
00

12
10

13
11

14
10

1
00

C ()
D
Z
:
Q'
Q
5 5 6 6

9 9

12 12 13 13 14 14

3.17. -,
( ).

CD = 00 01,
10 11 ( 1, 2, 3, 7, 8). 10 11
Q (). CD = 11 Q
, 9 10.
Z Q' (. Q),
C.
. 3.18,
D- . 3.19.

43

Q'

Z
CD
Q

CD
00 01 1 1 10

00 01

11 10

0 0

1 1

3.18 .
Q' = CD + C D
Z = CD + C D = Q'
( , , :

Q' = CD + C D + DQ .
.)

3.19 D-.
UX 2/1 ( Enable ) D ,
(Clock) (), ,
(), .

V_3_3.CIR .
, . ( . 3.20.)
. ?

44

3.20 D-

3:

B (. 3.21)
Z. =0 B=1 ,
.

t
t

3.21 3.

:
a)
b) S R .
45

MicroCap
.
:
1) ( ,
B).
2) S R , ,
(NAND2). ?
.

46

4
.
,
. .
.
. :
, .
( ) .
4.1 ()


Microcap. ()
, .

1.

(
).
.
. ( , ,
). ,
-
1. :
) (Toggle
Flip-Flop).

2.

CLR,
.

3.

,
V_4_01.CIR, . 4.1.

4.1. ,
0000 (CLR=0) (ENABLE=0)

47

4.

CLEAR ENABLE.

5.

. (. 4.2),
.

4.2.
6.

( 15 0,
) , ,
. 4.3. ? ( .)

4.3. ,

48

7.

(

Ripple Counter).

8.

V_4_01.CIR :
.
, . 4.4. .

4.4.

9.
10.

, .
CLR. ENABLE.
9 s.
, .
. 4.5.

49

4.5.

1.

5 ( ).

2.

V_4_02.CIR .

3.

4.

. ,
( )
,
CLEAR (. 4.6).
( ) 6.
.

4.6. 5, .

50

10
10 ( ) :
BCD
10.
7490 (
, 27.14
). ,
, , 5,
. 4.6.

. 4.7
5 ( QB, QC QD), CLK2 2 (
Q), CLK1.

4.7 ( 7490)

V_4_03.CIR. . 4.7
2 5 ( Q,
CLK2, ).
10 ( BCD , QD, ,
Q), 2 5. , ,
, (10102=1010)
00002. 3 s.
1.

2.

V_4_04.CIR, . 4.8.

4.8
(" ")

51

5 ( )
2. Q
0 1.
( / 1, .
0.5; ,
, ).
.
. , .
1.
2.

.
.
CLK1 QC ( QD). (
Q)? , QB. Q
?

4.2 ()

() ,
(
() ).

.
( ) 5
1.

V_4_05.CIR. . 4.9.

.

4.9 X
2.

X=1 .
.
. 4.9.

52

3.

Reset ()
. ,
X 0.

4.10 .4.9.
4.

3.
.

5.

V_4_05.CIR. (
2 -5). ), .

6.

D
( , ).


1.

2. .

2.

D (
), .
.
.

3.

1 kHz.

4.

.
53

5.

X ,
.

6.

7.

. ?

8.

EX-OR . ?

4.3
()
1.

.
Load=1 , Load=0

, .

2.

V_4_06.CIR. . 4.11.

4.11
( B)
3.

, . 4.12.

4.

.
( Load
), . 4.13.
.define LOAD
+0us 1
*975us 0
*995us 1
+1005us 0
+1025us 1

5.

LoadN 975 s, ,
. ( Load *
+, + *.) .
1210, .
54

4.12 o
1005 s 1210.

4.13 1005s.
710 810, ,
LoadN 1210.

55

4.4

.
, . . 4.14
,
. .
CLR 00002 ( :
), .
P
. EN = P = 1.
( :
) C / L .
.
11112 RC = 1,
RC= 0.

4.14 B
74163

,
. (BW BW) 1
00002. CLR . ,
.4.11.
. 4.15 74163 ( ,
, , : 74163).
74LS163
(2. , . 14.1). . 4.15,
(U8, U12, U16 U20)
,
.
.

56

4.15 74163.

1.

(
).

2.

V_4_07.CIR, . 4.16.

4.16 74163

57

3.

.
.

4.

N
16. N.

5.

316 716,
C16 016.

6.

V_4_07.CIR.
. .
( ,

74163).


1.

(
: , ).

2.

V_4_08.CIR, . 4.17.

3.

4.

, . 4.18.

4.17 N
( )

58

4.18
5.

V_4_08.CIR, . 4.19.

6.


, , . 4.20.

7.

.
.

4.19
( )

59

4.20 ()


1.

V_4_08B.CIR, . 4.21.

2.

3.

4.21

60

4.

100,
.

5.

100, 0 49,
150 199, 0.


1.

,, ,
. 4.22.

2.

V_4_09.CIR. () .
.

3.

4.

V_4_09.CIR.
V_4_09.CIR.

5.

. .

4.22

61

5

. ,
/ .
() .
() .
:
1. -
2. -
3. -
4. -
- n D ,
. , n .
(Shift) , (
) . (
), .
, (TRI-State)
. .
, .
- n
. --,
. .
, . . -
.
- --. .
, , ,
. .
- n D .
. n
( ).
, FIFO
(First-In-First-Out).
, . LIFO
(Last-In_First-Out). (
), ( ),
(
).
(
).
. .
, FIFO .
62

5.1


N .
A
MicroCap. ()
, .

D-
1.
2.
3.

(
).
,
V_5_01.CIR, . 5.1.
( REGINPUT)
.

5.1. ,
0000 (CLR=0)
4.
5.
6.

. (. 5.2),
.
.

18 s.

63

5.2.
D-
1.

V_5_02.CIR, . 5.3.

2.

.
.
?
(dec(Dout,...))?.

3.


5 9. .

5.3. ,

64

D
1.

V_5_03.CIR
, . 5.4.

2.

3.

,
. 5.5

4.

3 12,
.

5.

18s.

5.4. ,

65

5.5.
D-

1.

D
, D SR .

2.

D ,

.

3.

V_5_04.CIR, . 5.6.

4.

( )
(. 5.7).

5.

() 100s, .
() .

6.

() 500 s ,
. ()
, .

7.

-
DCBA=1000 .

66

5.6. D (. -),

5.7. D -

67


1.

D (.
2/1, 3.

2.

( )

3.

V_5_05.CIR, . 5.8

5.8.
4.

( )
LE.

5.

(D_00 D0_GATE)
. ( 12.36 12.37
).

6.

() L 100s, .
() .

7.

() LE 500 s ,
. ()
, L ,
() .

68

5.2
-
1.


(FIFO ).

2.

V_5_06.CIR, . 5.9.
.

3.

,
. 5.10.

5.9. FIFO ,

69

5.10. FIFO .5.9


4.
5.

6.


.
,
.
10s.

V_5_04.CIR, . 5.6, . ,
,, .


( )

1.
2.
3.
4.
5.


( ).
V_5_07.CIR, . 5.11.
.
. .

.
15.2 s
100 ns.
70

5.11. - ,

1.


(
. D
2/1,
L/S.

2.

V_5_08.CIR, . 5.12.
.

3.

. .

4.

.
?
8-4-2-1.

5.

6.

7.

71

5.12.

5.13.

72

1.


. D
2/1, L/S.

2.

MicroCap.

3.

2 4.

5.3
1.

2.

FIFO
1.

V_5_09.CIR, . 5.14.

2.

D ,
FIFO .

5.14 D FIFO
3.

(
) ?

4.

V_5_09.CIR
.

73

5.


?
? ?


1.

V_5_10.CIR, . 5.15.

2.

.
?

3.

5.15

1.

V_5_11.CIR, . 5.16.

2.

.
?
. 5.16 .
.

3.

5.16

74

4.

.
. ?


1.

V_5_12.CIR, . 5.17.

2.

.

.

3.

5.17


1.

V_5_13.CIR.

2.


. ?

3.


(. 5.17).
?

75


[1] . . , . . , , , B, 1990.
[2] . B. , . . , , , B,
1995.
[3] Szittya O., Digitlis s Analg Technika Informatikusoknak, LSI Oktatkzpont,
Budapest, 1999.
[4] Fehr Gy., Kr L., Kombincis hlzatok, GDF, Budapest, 1999.

76

:

.
.

a) 1
. ?
b) ,
MicroCap ( PRIMER1.CIR).
c) (
PRIMER1A.CIR).

77

a)

SR , 2, .
(: U1 U2 ).

b) .
c)

.CIR MicroCap-.

2
3

a)

(
) .
3.

b) .CIR MicroCap-.
1

A
t
B
t
Z
t

3
4

a)

(
) .
3.

b) .CIR MicroCap-.
78

a)

D
. .

b) .CIR MicroCap-.
c)

(. 4)
MicroCap.

4. ,
0000 (CLR=0) (ENABLE=0)
7

a)

D .

(QDQCQBQ=0001).

b) .CIR MicroCap-.
c)

FFC ,,1''
,,0'',
.

a)

6 D .
0000.

b) .CIR MicroCap-.

79

9
( , 1. 2007.)

a)

5
.
?

b) ,
MicroCap.
Z1_A.CIR.
c)

.
Z1_B.CIR.
A

5
10
( , 1. 2007.)

a)

(
) .
6.
b) MicroCap.
Z2.CIR.
1

150ns

200ns

A
B
Z

150ns

100ns

6
80

You might also like