Professional Documents
Culture Documents
Tarea MUX 8 A 1 Y 16 A 1
Tarea MUX 8 A 1 Y 16 A 1
--entrada de seleccion
Sel : in STD_LOGIC_VECTOR (2 downto 0));
end entity mux8a1w8;
architecture arq_mux8a1w8 of mux8a1w8 is
begin
--asignacion condicional
Yout <=
entity mux16a1w8 is
Port (
Ain : in STD_LOGIC_VECTOR (7 downto 0);
Bin : in STD_LOGIC_VECTOR (7 downto 0);
Cin : in STD_LOGIC_VECTOR (7 downto 0);
Din : in STD_LOGIC_VECTOR (7 downto 0);
Ein : in STD_LOGIC_VECTOR (7 downto 0);
Fin : in STD_LOGIC_VECTOR (7 downto 0);
Gin : in STD_LOGIC_VECTOR (7 downto 0);
Hin : in STD_LOGIC_VECTOR (7 downto 0);
Iin : in STD_LOGIC_VECTOR (7 downto 0);
Jin : in STD_LOGIC_VECTOR (7 downto 0);
Kin : in STD_LOGIC_VECTOR (7 downto 0);
Lin : in STD_LOGIC_VECTOR (7 downto 0);
Min : in STD_LOGIC_VECTOR (7 downto 0);
Nin : in STD_LOGIC_VECTOR (7 downto 0);
Oin : in STD_LOGIC_VECTOR (7 downto 0);
Pin : in STD_LOGIC_VECTOR (7 downto 0);
Sel : in STD_LOGIC_VECTOR (3 downto 0);
Yout : out STD_LOGIC_VECTOR (7 downto 0)
);
end entity mux16a1w8;
architecture arq_mux16a1w8 of mux16a1w8 is
begin
--asignacion condicional
Yout <=
------------------------------------------------------------------------------------------------------------------------------------------------------------------library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
---------------------------------------------------------------------------------entity mux16a1w8_S is
Port (
--entrada de datos
Ain : in STD_LOGIC_VECTOR (7 downto 0);
Bin : in STD_LOGIC_VECTOR (7 downto 0);
Cin : in STD_LOGIC_VECTOR (7 downto 0);
Din : in STD_LOGIC_VECTOR (7 downto 0);
Ein : in STD_LOGIC_VECTOR (7 downto 0);
Fin : in STD_LOGIC_VECTOR (7 downto 0);
Gin : in STD_LOGIC_VECTOR (7 downto 0);
Hin : in STD_LOGIC_VECTOR (7 downto 0);
Iin : in STD_LOGIC_VECTOR (7 downto 0);
Jin : in STD_LOGIC_VECTOR (7 downto 0);
Kin : in STD_LOGIC_VECTOR (7 downto 0);
Lin : in STD_LOGIC_VECTOR (7 downto 0);
Min : in STD_LOGIC_VECTOR (7 downto 0);
Nin : in STD_LOGIC_VECTOR (7 downto 0);
Oin : in STD_LOGIC_VECTOR (7 downto 0);
Pin : in STD_LOGIC_VECTOR (7 downto 0);
--entrada de seleccion
Sel : in STD_LOGIC_VECTOR (3 downto 0);
--salida de datos
Yout : out STD_LOGIC_VECTOR (7 downto 0)
);
end entity mux16a1w8_S;
architecture arq_mux16a1w8_S of mux16a1w8_S is
begin
--asignacion seleccionada
with Sel select
Yout <=