Đề Tài Nghiên Cứu, Ứng Dụng Vi Điều Khiển PIC 18F97J60 - Luận Văn, Đồ Án, Đề Tài Tốt Nghiệp

You might also like

Download as pdf
Download as pdf
You are on page 1of 87
LOL MO DAU igiy nay, céc thiét bi dign tr va ty dong héa c6 mat 6 khép noi, ching o6 mat trong tit ed cae linh vue, tir nhiig ting dung trong cong nghigp cho dén nhimg sin phim din dung, va nhing ing dung chuyén dung trong quan sy, eng nghg thong tn, ign tr vign thong, Ma 10i ctia ede thiét bi d6 dai da s6 la cac ho vi xir It, vi digu khien Mot trong nhimg vi diéu khién durge tng dung rOng rai va c6 nhigu tdi nguyén Ia ho vi digu khién PIC. Trude day, vige giém sét tir xa thang qua mét hé théng gim céc phin tir ndi véi hau bang day a cée chun trw nhign, vige mo rng pham vi dieu khign (sr gia Uing vé sé long cée phin tt) thi md hinh ny gp nhieu khé khin, va die bigt la khodng cach diéu khién rat han ché. Stera dvi ctia cong nghé truyén théng véi giao thire TCP/IP da lam thay d6i hodn toan pham vi ting dung cua thiét bj gidm sit va diéu khién tir xa. MO hinh mang pho bién 46 1a mang noi b LAN (Local Area Network) vii mang dign rong WAN (Wide Area Network), goi chung la mang Ethernet da cho phép me rng pham vi gidm sét va quy m6 cia hé théng. Thém vo dé, khi mudn truyén xa hon, c6 thé truy cap vao hé thong tir bat ky dau chi ean c6 thém durdmg truyén Internet, Vi diéu khién PIC ¢6 rit nhiéu tai nguyén, 6 day dui tinh nang cua mot vi khién khdc nhu cde cong 1/0, bién 61 ADC, cdc b6 timer... Va PICI8F97J60_ la mot trong nhitng chip vi digu khién c6 day d ae bigt hon khi vi digu khién nay hé tro chuan Ethemet gitip céc thiét bj c6 thé d& dang két ndi Intemet. Xwat phat tir thyc tign 4 qua dé tai: * Nghién eitu, ting dung vi digu ki PIC 18F97J60 ” nhém ching em da quyét dinh chon mot tmg dung quan trong néi trdi hon so véi cde ho vi diéu khién khéc dé chinh la diéw khién thiét bj tir xa qua giao thnke TCPAP diing PICI8F97J60 ‘Trong qua trinh thye hign dé tai khong tranh khoi nhiéu thigu sot, ching em mong nhan durge nhiéu ¥ kién déng gdp tir quy thay ¢6 va cdc ban dé dé tai hodn thign hon. Ching em xin chan thanh cam on! KS. Neue Ksinle Hug Nguydn Van Phong Le Anh Quin LOICAM ON ‘Trude tién ching em xin gir 1di cim on dén céc thay, céc ¢6 Trung Dai hoc ign le, khoa Cong nghé tr dong da nhiet tinh giang day va truyén dat nhimg kien thé, kinh nghigm qui gi trong sudt qué trinh ching em hoe tai truvmg, Ching em xin gtri 11 eam on su sic dén ThS. Bdi Thi Duyén vi KS. Nguyén Khanh Hung, 4a tan tinh husing dn, cung cp t8i ligu trong sudt qua trinh thy hign 4 tai nay. Bén canh dé nhém 46 dn cing xin guri {oi cm on dén tap thé 16p D3_CNTD, nding ngudi dng hanh trong khéa hye va e6 nhiéu y kién dng g6p. ‘Mot Lin nita xin giri oi cam on chén thanh va sau sie! Ha ndi, Nady 10 thang 01 nam 2013, ‘Nhdm sinh vign thye hign: Va Van Canh ‘Nauyén Van Phong Lé Anh Quin KS. Neue Ksinle Hug Nguydn Van Phong Le Anh Quin TRUONG DAL HOC DIEN LUC CONG HOA XA HOI CHU NGHTA VIET NAM KHOA CONG NGHE TY DONG Déc lip - Ty do - Hanh phic PHIEU GIAO DO AN TOT NGHI HE DAT HOC P Hg va ten: Lop: Naginh he : ssssseeseseeesee Ten dé tai: Khoa : Cong nghé ty dong Mye dich Noi thyte hign, Kt qua thyc hign : ( Ban v@, thuyét trinh, chuong trinh... ) Neay giao dé tai Neily hoa thanh 0.0 See rere Gio vién hudng din (Ghir chite danh, hoe ham, hoc vi, ha vé ten) Het Noi, mgiy.o.sesse things evs oes MOM cece ido vien huéng din Khoa cong ngh¢ ty dong KS. Neue Ksinle Hug Nguydn Van Phong Le Anh Quin NHAN XET (Cia giing vien hudng din) KS. Neue Ksinle Hug Nguydn Van Phong Le Anh Quin KS. Neue Ksinle Hug Nguydn Van Phong Le Anh Quin Myc Iye Trang CHUONG 1 - TIM HIEU VK PIC 18F97360 1 1.1.Téng quan vé VDK PIC. 1 1LLL1. Lich Sit Phat Trién. 1 1.1.2. PIC Ia gi? 1 1.1.3. Phan Logi 1 1.1.4. Kign trie PIC. 3 1.2. Vi diéu khién PIC 18F97360.. 4 1.2.1. Téng quan vé ho PIC 18F. 4 1.2.2. So dé chin PIC 18F9760. 8 1.2.3. So dd khdi PICI8F97I60 (100-PIN) .ssn sneer soon 1S 1.2.4, Phin mém tich hep mdi truéng phi trién- MPLAB. 25 1.2.5, Mach nap cho PIC 18F97J60 ..rsnennnesnnnsnn pees 25 1.3. So sinh PIC 18F97160 vai céc ho khée. 26 1.3.1. M@t s6 hg vi diéu khién pho bigs : 26 1.3.2. Lara chon PIC 18F97460... CHUONG 2 - TONG QUAN VE BO VA DI 2.1. Céc hé théng diéu khién gidm sat tir xa 2.1.1. Hg DCS 2.1.2. Hé SCADA. : 2.2, Cam bin do nhigt a6 va cam bin quang.. 2.2.1. Mot 2.2.2. Cim bién quang... 2.3. Co sé thiét ké mang. 2.3.1. Mang va giao thit.. : 2.3.2. Phan logi mang theo dia ly 40 2.3.3. Intemet va cfc thuat ngir lign quan dén Intemet 41 2.3.4. Giao thire TCPAP 2.3.5. Hé thong tén - dia chi 2.3.6. Dia chi IP. 23.7. Ch sé giao thie vi tid kd db Tu 2.3.8. Lap trinh giao thite Ethemet. CHUONG 3 - THIET KE MACH BEU KHIEN - GIAM SAT THIET BI TU XA...53 am bién do mhigt a6 KS. Neue Ksinle Hug Nguydn Van Phong Le Anh Quin 3.1.4. Khdi tgo ngudn 3.3V. 3.1.5. Khéi thai gian thy... 3.1.6. Tu loc. : 3.1.7. Khdi két ndi mach n nap. 3.1.8. Khéi cam bién nhiet do. 3.1.11. Khéi m6 phong cae cam bién. 3.1.12. Mach Reset 3.1.13. Khéi tao dao dong... 3.1.14. Khéi Transistor kich dong va role. 3.1.15. Chudng canh bao. 3.1.16. Led bio itu... 32. Sob nguyen Iy tng thé mach did khién. 3.3. Ot s6 hinh nh cia phin cting, CHUONG 4 - PHAN MEM. 4.1. Lara dd thudt ton cia hé thang, 4.2. Giao dign trén Web digu khién.... 4.3, Phin mém (code)... z KET LUAN VA PHUONG HUONG PHAT TRIEN...c.cscssssce sessssoee TT TAL LIBU THAM KHAO. ...c0sssninnionninnnnninnnnnnnnnnnnnannnnnse TS KS. Neue Ksinle Hug Nguydn Van Phong Le Anh Quin Danh myc hinh vé Hinh 1.1. Kién trie Havard va kién trie Von-Neuman, 3 Hinh 1.2. Vi digu khién PIC 18F97I60..... : seo Hinh 1.3, So d6 chan PICI8F97160 Hinh 1.4, So dé khdi PICI8F97560... Hinh 1.5. Céu trie b6 dao dong Hinh 1.6, Mo ta b6 nhé chuong trinh Hinh 1.7 m6 ta 18 chtte bé nhé dit ligu eta thidt bi, Hinh 1.8. Mé hinh don gin eiia mot céng 1/0 Hinh 1.9. Mite dign ap dau vio. i Hlh 1.10, Sod khbi dom gin cha cde md-dun ETHERNET vocsevcssnroene23 Hinh 1.11, Chéd6 SPI va 12C. Hinh 2.1, Cau tric hé thong DCS... Hinh 2.2. Cau tric hé thong SCADA Hinh 2.3. Pi100 va thong s6 dai 40... Hinh 2.4, Nhigt dign te va edch dau day. : one a (LMB5). : 32 Hinh 2.5 Cam bién nhiét 49 ban Hinh 2.6, So dd chan ds18B20. 33 Hinh, 2.7. So dé khdi DS18B20. 33 Hinh 2.8, Quy déi nhiét d6 sang ma Hex..... : 34 Hinh 2.9. Quang tr, 35 Hinh 2.10. Sy phu thude cia dign trer vio 46 roi sng 36 Hinh 2.11, Hoat déng cia quang tr6 Hin 2.12. Kim ta kétndi git thié i véi mdy tinh thong qua cng Ethernet. Hinh 2.13, M6 hinh téng quat cua mang Internet... Hinh 2.14. Cac thiét bj phan cimg dé ndi mang may tinh Hinh 2.15, Céu trie mang hinh sao Hinh 2.16, Céu trite mang hinh tuyén. Hinh 2.17, Céu trite mang dang vang.....n.nn Hinh 2.18. Lién mang may tinh toan cu ~ Internet... Hinh 2.19. Céu tric khung tin Ethernet. Hinh 2.20, Kién trie TCP/IP... Hinh 2.21. M6 ta khai quat vé bd giao thite TCP/IP. Hinh 2.22. Céu trie dot ligu trong TCP/IP Hinh 2.23. Phan lop dia chi IP Hinh 2.24. Mé hinh chéng giao thire TCP/IP. Hinh 2.25, Luu d6 dir ligu vao/ra cia giao thire TCP/I KS. Nuon Khan mg Naguvén Van Phang, Le An Quin Hinh 2.26, Céu tric | frame Ethemet..... : 251 Hinh 3.1. So 4d khdi cia thiét bj digu khién trung tam... 53 Hinh 3.1, Khdi CPU PICI8F97J60 ... suonennnenniananinenienennnenninenesne SH Hinh 3.2. Khéi truygn thong giao tiép Bthemet ....usunennnnnnnnnnnnnnnn SS Hinh 3.3. Khéi giao tiép man hinh hién thi LCD...ucsneennenn 58 Hinh 3.4, Khéi tao ngudn nudi +3.3V 56 Hinh 3.5. IC thoi gian the Hinh 3.6. Tu Ige. Hinh 3.7, Két néi mach nap Hinh 3.8. Cam bién nhigt.... Be eee eat Hinh 3.9. Khdi cdm bién dh sing 57 Hinh 3.10, Két ndi eam big on a : i 58 Hinh 3.11, M6 phéng ede eam Hinh 3.12, Mach reset. Hinh 3.13. B6 t90 dao d6ng «nn inh 3.14 Transistor kich dong va role Hinh 3.15. Chudng béo higu... Hinh 3.16, Led bio hi inh 3.17. So 48 nguyén Iy ting thé mach digu kin Hinh 3.18. Mach digu khién. Hinh 3.19, Panel mo phong. Hinh 4.1, Luu 48 thudt ton he théng. Hinh 4.2. Giao dign Web dieu khién KS. Nuon Khan mg Naguvén Van Phang, Le An Quin CHUONG 1 TIM HIEU VDK PIC 18F97J60 1.Téng quan vé VDK PIC. 1.1. Lich Sit Phat Trién Nam 1965 hing Genneral Instrument thanh lap ban vi dign tir nhiim tap trung nghién citu céng nghé ché tgo bé nhé kiéu EPROM vi EEPROM, dé la cc linh ki thu hit nhiéu dau tur cua cée phong thi nghigm bin din. Diu nhimg nam 70 Genneral Instrument eding ché tao vi xt ly 16 bit PC1600. BQ xir IY nay khé t6t nhung c6 nhuge diém la kha nang vio ra khéng manh dé thich ing b6 xit ly PC1600 trong eéc ting «dung can e6 tinh nang cao, Nam 1975 Genneral Instrument thiét ké vi mach digu khién ‘giao tiép ngogi vi (Peripheral interface controler) viet tit la PIC, dé la inh kign ho tro ccic tinh nang vao ra cho vi xit ly PIC khéng edn nhiéu chic nang vi chi xtt ly cde dng vvige vao ra do 46 b6 m. Ignh cia né khé nho gon. Nhiing vi dieu khién PIC dau tién c6 diém yéu la ché tao theo céng nghé n-MOS nén tigu thy nhiéu nang Itong, bo nhé cchurong tr.nh 1a loai ROM mat na chi nap durge mét lan, do dé chuong trinh digu khign duge nap ngay khi ché tao vi mach nén chi thich hgp véi ede khdch hang dat mua véi sé hong lém, dé lip rp trong san xuat nhiig sin pham cy thé Nhiing nam dau thgp ky 80 Genneral Insirument gp khé khan trong thuong mai va t0 chitc Iai, Hang tap trung vio ché tao linh kign ban dan ong suat kin li thé manh cho 16i hign nay cia hing, General Instrument da chuyén abugng Ban vi dign tir va nha may tgi Candle, bang Anizona cho céc nha dau tu. Ho lap ra mot cong ty mdi, d§t tén Ld Arizona Microchip technology hign nay la Microchip technology Inc. __Chién woe eta ede nha dau tw 1a tap trung vao vi diéu khién va cae bO nho ban din, Céc vi mach PIC n-MOS duge cai tién, ché tgo dy trén nén ting céng nghé méi CMOS. Cée san phim dau tién etia Microchip durgc biét ti va ban ra véi so lung Ion 12 cde vi diéu khién PIC thuge ho PICI6CSx. Ho nay c6 hai bign thé véi bO nhés chyong trinh la OTP va UV EPROM. Loai OTP cé thé nap trinh mt lin ding cho sin xuat logi In. Loai UV EPROM cé thé x6a duge bing tia eye tim (tia UV) ding khi phat trign, thir nghiém phan mém, Nam 1983 Microchip la hang dau tién tich hgp duge bd nhé chuong trinh flash EEPROM vao nhing vi didu khién méi, trong dé duge biét dén nhiéu nhdt 1a PIC. BO nhé chuomg trinh flash 43 logi bo vai tr cia vi dieu khign ¢6 b9 nhé xod bing tia ewe im, ¢6 v6 bing gém dit tién va céc dn chigu tia eve tim, 1.1.2. PIC B gi? PIC 1a viét tit cia “Programable Intelligent Computer”, c6 thé tam dich la “may th thong minh kha trinh” do hang Genenral Instrument dit tén cho vi dieu khién du mn cia ho: PIC1650 duge thiét ké dé ding lam ede thiét bi ngoai vi cho vi diéu khién CP1600. Vi diéu khién nay sau d6 duge nghién city phat trién thém va tir 46 hinh thanh nén dong vi digu khign PIC ngay nay. 1.1.3, Phin Logi Tiéu chudn 48 phan nhm da tén sr Khic nhau v8 kién trie b6 xt i én trong vi did kin GHD: Tas Baa Thy Dusen ‘SVT Vaan Conk KS. Newodn Klkin Mm Nowsen Van Phe Le anh Quin = $6 cae thanh ghi c6 thé truy cp duge. = Cé hay khong c6 ngit, sé long ng. ~ 86 lurgng cde phan eimg e6 chire nang dae bit = Dé dai tir enh, Dya vio nhitg dic diém d6 vi diéu khién PIC duoc chia kim 4 ho 1.1.3.1. Ho edp thép (low-end) Gam céc logi duge ky higu 12C5xx, 16C5x, 16C505, 1611540 1G dai tir Ienh 12 bit Bé chi cde thanh ghi: e6 32 thanh ghi trén mot bank, t6i da e6 4 bank: chung: At thich hop trong cfc ing dung giao dign dom giin vi ngoai vi ~ BQ nhé chuong trinh kiéu OTP hoge EPROM xoa duge bing tia cue tim. = Tbe d6 eao, thye hign duge 5 trigu chi thi/s véi tin sé xung nhip 20MHLz. = Chi e6 mot bo dém timer. ~ KhOng ¢6 cée ngit eiing, ~ KhOng ¢6 cée I6i ra ting cuimg, a = Nap trinh song song, tntr PICI2CSxx va PICI6CSOS durge nap trinh ni tiép theo giao thue ICSP. 1.13.2. Ho edp trang (Mid-range) Bao gm 12C6x, 14C000, 16C55x, 16C6x, 16C62x, 16F62x, 16C67x, 16CRX, 16F87x vi 16C9Kx. 16 dai tir inh 14 bit La ho vi diéu khién PIC thong dung nhat hign nay. Bé chi cée thanh ghi: 128 byte trén mot bank, ti da 4 bank, La vi digu khién van ning tinh ning monk. Cé rit nhiéu bién thé khic nhau, véi cic kiéu déng vo da dang: DIP, PLCC, Dic diém: - Tée d6 cao, thye hign diroe 5 trigu chi thi /s 6 xung nhip 20MHz. = Cb cde timer : ~ C6 rit nhigu kiéu khde nhau vé chan vao/ra ting cudmg bao gdm cdc vaolra tuong ty,giao dign truyén thong néi tiép: ddng bd, khong dong bo, 12C, SPI, CAN, USB...., b6, digu khién LCD, ~ Bé nh chuong trinh flash & hau hét cde vi mach, - Kha ning nap trinh ndi tigp ICSP. - C6 kha ning tyr ghi vao b6 nhé chuomg trinh (self- programming). - C6 phiin cimg g6 réi chuong trinh ICD 6 mot s6 loai 1.13.3. Ho cép cao (High-end) 17Cxxx Gam cde loai 17Cxxx 16 dai tir enh 16 bit B6 tri cdc thanh ghi: 224 byte trén mot bank, «6 nang dac biét (SFR). da 8 bank, 48 thanh ghi chire GHD: Tas Baa Thy Dusen ‘SVT Vaan Conk KS. Newodn Klkin Mm Nowsen Van Phe Le anh Quin Dae diém chung, - Kién tric khac so vGi ho PIC cp chung, cap thip. ~ C6 ic Iénh ting eudmg va nhigu kha ning dinh dia chi. . ~ Vi digu khién giao tigp bus, tray nhdp cac thiét bj song song tre tig. = CO mot s6 1di vao/ra tang cxdng. = BG nhé chuong trinh OTP. = Nap trinh kigu song song, 1.1.3.4. Ho cap cao (High- performance) n mhiing logi e6 ki higu 18Cxxx va IBFXx2 Dé dai tr nb 16 bit Bé tri cée thanh ghi 256 byte tren mot bank, t6i da e6 16 bank. Dac diém chung: = Kin trie ning eao, dia trén nén tang cia ho cép trung, theo xu hung thira ke nhing tinh nang cua cée loai cép trung dng thoi bé xung cde tinh ning méi.Do 46 dan dan c6 kha ning thay thé ton bd PIC cap trung. = Cé ede Ignb ting cudng va nhigu kha nang dinh dia chi - C6 kha nang truy nhép t5i 2Mbyte b nhé churong trinh, 4Kbyte b6 nhé RAM = Véeto ngiit don, e6 thé lap tr.nh duge mite do wu tién ee nguon ngit = Kha ning vao/ra tuong ty ho cap trung. - Tin sé hoat déng t6i da 40MHz, €6 b6 nhén tin s6 PLL. = C69 nhé churong trinh flash = Nap trinh noi tiép, e6 kha nang tw ghi vao b6 nhé chuong trinh nay moi nhat la DSPIC véi nhiéu tinh nang vurgt trdi 1.4. Kién trite PIC ‘du trie phin eiimg cua mot vi digu khién durge thiét ké theo hai dang kién trie: kién trtic Von Neuman va kién trie Havard, von-Neumann Programmemory 8 a Program and data memory Hinh 1.1. Kign ie Havard wis ign tre Von-Newman, Té chic phin ting cita PIC duge thiét ké theo kién trie Havard. Diém khie bigt gitta kién tric Havard va kién trie Von-Neuman la edu tric b6 nhé dir ligu va bo nnhé chuong trinh Déi voi kién tric Von-Neuman, 66 nhé dit ligu va b nhé chuong trinh nim ‘chung trong mt b@ nhé, do dé ta ¢6 the t6 a cchuong trinb va bo nbd dir ligu. Tuy nhién digu nay chi e6 ¥ nghia khi te d6 xi b CPU phai rat cao, vi voi céu tric do, trong cing mot thoi diém CPU chi ¢6 thé tuong te VGi bo nhé di ligu hoe b9 nhé chong tein. Nhw vay e6 Neuman khing thich hop véi edu trie ea mot vi digu khien, GVHD: Tas. Baa Thy Davo ‘SVT a Van Conk KS. Newin Kins Hung Nagusén Vain Phong Le Anh Quin Oi véi kién trite Havard, b6 nhé dit ligu va b6 nhé chwong trinh tach ra thanh, thai b6 nhé rigng bigt, Do dé trong cing mot thai diém CPU c6 thé tuemg téc v6i ci hai 'b6 nh, nhur vay t6e d6 xir Ica vi digu khién duge cai thign ding ké Mét diém can cha ¥ nia fa tp Iénh trong kién tric Havard 06 thé dug téi a ty theo yéu cau kién tric cua vi diéu khién ma khéng phy thude vio cdu tric dor lig. Vi dy, doi véi vi dieu Khién dong 16F, dO dai Kénh ludn la 14 bit (trong khi dit ligu duge 16 chite thanh timg byte), con déi vi kién tnic Von-Neuman, 46 dai lénh ludn la b6i s6 ciia I byte (do dit figu duge 16 chite thanh timg byte). Dae diém nay duge minh hhga trong hinb 1.1 1,2. Vi diéu khién PIC 18F97J60 Hin 1.2, Vididu khién PIC I8F97J60 2.1. Téng quan vé ho PIC 18F Ho PICI8F gém cé cde thiét bi sau day: + PICISF66160 + PICISF87J60 + PICISF66165 + PICISF96160 + PICISF67160 + PICISF96J65 + PICISF86160 + PICISF97560 + PICISF86J65 Ho nay gigi thigu mot dong méi cia cée thiét bi dign ap thip véi cde loi thé ‘ruyén théng quan trong cia tit e@ cée vi digu khién PICIS- eu thé 1a, higu suat tinh tof cao, nhigu tinh ning, véi gid ca eye ki canh tran, Nhiing tinh nang nay lim cho ho vi digu khién PICI8F97J60 la mot sw Iya chon hop li cho nhang img dung higu suat ‘cao ma chi phi can duge quan tim chinh. Cae dac diém co ban cia vi diéu khién PICI8F97J60 ~ Téec do lam vige t6i da 1én dén 10.5 MIPS (trigu Iénh trong 1 gidy). ~ Véi 3 bd Timer 16 bit va I bo Watchdog Timer gitip cho ta xir ly cc bai toén digu khign véi tinh nang thoi gian thie. ~ PICI8F97560 cin 1a mot ding IC chuyén dung trong giao tiép mang Internet Gi module giao thife mang duge tich hep sin trong chip. V6i bd nhé chuong trinh Flash khd In 128KB va bo nhé RAM 3.8KB s@ cho phép ta thye hign duc cac bai tosn phite tap, GVHD: Tas. Baa Thy Davo ‘SVT a Van Conk KS. Ngan Kin ng Nagusén Vain Phong Le Anh Quin = Trén chip c6 16 kénh ADC 10 bit nén vige thyre hign cée bai todn do lung tr don gian. : hip c6 thé hoat dong bing ngudn dao déng ndi,6 tn s6 151 da 41,6667Mhz, V6i tinh nang nay gitip cho né c6 thé hoat dng t6t trong mai truémg cd a9 Am cao. = PICISF97J60 duge thiét ké dudi dang chip din 100 chan, trong dé ¢6 thé sit dung t6i da duge 70 chan VO, 1.2.1.1, Tinh nang chink Cong nghg nanoWatt Tat ca cdc thiét bj trong ho PIC18F97J60 két hop mét logt cac tinh nang ma c6 thé lim gidm dang ké nang Ivgng tiéu thy trong qua trinh hoat dong. Cac tinh nang cchinh bao gm: = Ché d6 chay ludn phién: vai xung clocking digu khién tir nguon Timer! hoac bo dao dong RC bén trong, dign nang tiéu thy trong thoi gian thye hign ma cé 1 duge giam dén 90% = Ché d9 nghi chay: b@ digu khién efing c6 thé chay v6i Ii CPU khong lm v mnhung cée thiét bj ngoai vi van cdn hoat déng. O trang thai nay, tiéu thu di ning c6 thé duge gidm hon nti, it nhdt 14 4% mite dign ning yeu cau khi hoat dong binh thuang. = Chuyén di ché d9 nhanh: ché 49 quain I nding lugng durge goi bai ma Iénh cia nguii sir dung trong suot qué trinh vn hanh, cho phép ngudsi sir dung két hop y turong quan |i nang Iwgng vao trong céc thiét ké phan mém img dung. Lya chon b9 dao dong Oscillator va ede tinh nang chink Tat ca cfc thiét bi trong ho PICI8F97160 cung cap 5 Iya chon b@ dao dng khac nhau, cho phép ngudi sir dung mot loat eéc lua chon trong phat trién phin cig cua cic tg dung. Nhitng tty chon bao gdm: ~ Hai ché do thach anh, sir dung thgch anh hod cée bd eOng hung ding gém. - Hai ché 46 xung clock bén ngoai, cung cap céc thy chon phan chia béi 4 dau ra clock BO nhé mo rong Ho PICI8F9760 cung cép dur thira ché cho cde ma img dung, khéng gian tir 64 Kbytes dén 128 Kbytes. Céc bd nhé Flash cho 66 nhé churong trinh durge dinh if kéo dai dén 100 chu ki x6a / ghi. Dit ligu lum trit ma Khong Lim méi durge bio toin woe tinh Ki nhiéu hon 20 nam. Ho PICI8F97J60 cing cung cp rit nhiéu khéng gian cho i ligu ctia céc img dung déng vai 3808 byte RAM Bus nhé mé rng Trong truimg hop khong chic ring 128 Kbytes 6 nhé dit cho mot img dung, cdc thinh vién 100 chan ciia ho PICI8F97160 cing sir dung bus bé nhé bén ngoai ‘Digu ndy cho phép bd digu Khién chuong trinh bén trong truy e§p dé sit dung mot khéng gian bo nh lén dén 2 Mbytes, cho phép mat mite 46 truy cp dtr Higu ma it thiet bj 8-bit nao ¢6 thé dap ting duoc. Bigu nay cho phép thém Ita chon b6 nhé, bao gdm: + Sur dung sur két hop ca b6 nhé trén chip va bén ngoai chip lén dén gigi han 2-Mbyte GHD: Tas Baa Thy Dusen ‘SVT Vaan Conk KS. Neunda Kin mg Nowe Vin Phong Le anh Quin + Sir dung bd nhé Flash bén ngoai cho phép lp trinh Iai cée ma img dung hoe bing dir ligu lon + Sir dung cdc thiét bj cé bO nhé RAM bén ngodi dé Inu trt sé hong bién di ligu lin, Chuyén d6i dé ding Bat ké kich thude bé nhd, tat ca cde thiét bi chia sé ciing sO lugng cdc thiét bi ngoai vi phong phi, sit dung PIC18 cho phép dé dang tang thém hode eai tién cfc ang dung. 1.2.1.2, Tinh ning dic bigt Khéc Truyén thdng: ho PICI8F97J60 kat hop mot loat cde kiéu giao tiép véi thiét bi ngoai vi, bao gom sit dung déc lip hoae ket hop 2 m6 dun: USARTS va SSP, ¢6 phuong thie hogt dng cua ca SPI va I2C ™ (Master va Slave). Ngodi ra, véi nhomg mye dich chung, mot trong nhiing cng 1/0 e6 thé durge cdu hinh lai nh lat mot Slave song song 8-bit dé cho b6 vi xit ly try tigp wit ly thong tin lign lac. Khdi CCP: Tat ca cde thiét bj trong ho nay két hop 2 khdi CCP va 3 khoi ECCP dé tdi da héa sy linh hoat trong tng dyng diéu khién. Lén dén bén can cit thei gian hic nhau 06 thé duo sir dung dé thuc hign mot s6 hoat déng khéc nhau cing mot lic. M@i mot trong ba khéi ECCP cung cp len dén bon du ra PWM, cho phép tng cong mudi hai PWM. Cae khéi ECP ting cung eap cic tinh nang mang lai nhigu loi ich, bao gdm ca la chon phan eye, I§p trinh thoi gian tring din, ty dng eit mAy va khoi ong lai, ché d6 dau ra Half- Bridge hodc Full-Bridge. BG chuyén 461 A/D 10-Bit: mé-dun nay két hop véi lip trinh Tim gidm thoi agian, cho phép kénh duge Iya chon va chuyén doi duge bat du ma khong can phai chor ios} ree | ee woogie | fee i SS ‘a FSG? ee Note: See Tabi 2.2'or OSCTUNE eit cotiguons and et coasponing equ Hin 1.5. Cu inc 66 dao dng Cae tinh nang khée ciia bG dao dong duge sit dung trong vi diéu khién nang cao PICI8FXXIXX, ching han nhu bé tao dao déng RC va chuyén déi xung clock, vin gitr nguyen. Ching durge thao Iugn sau trong chuong nay. Hoat dong cua Ethernet va xung clock cia vi diéu khién Mic dit ede thiét bi cia ho PICI8F97J60 6 thé chép nln mt loat cée thach anh va cde dau vio dao dong bén ngoai, chung ludn ludn phai 66 m6t nguon clock 25 MHz khi sir dung cfc tmg dung Ethernet 1.2.3.2. Té chite b6 nhé C6 hai Logi bO nhé trong ede thiét bj vi diéu khién PICI8: + B6 nbd chuong trinh + BO nbd di ligu ‘hur ede thiét bj cdu tric Harvard, cde b9 nhé dit ligu va chung trinh sit dung ‘cae bus riéng biét, diéu nay cho phép truy cap dong thoi cua hai khéng gian b6 nh. a, Té chire bd nhé chong trinh wu khién PICI8 thre hign mot bo dém churong trinh 21-bit e6 kha nang dinh dia chi cho 1 khéng gian bé nhé chuong trinh 2-Mbyte. Toan b6 ho PICSF97J60 GVHD: THs. Bua Thy Davo ‘SVT Va Van Conk KS. Ngan Kin ng Nuon Vn Phong Le Anh Quin "7 ccung cp ba kich et ca bo nhé chong trinh Flash trén chip ti 64 Kbytes (Ién t6i 32.764single-word) dén 128 Kbytes (65.532 single-word). Prosar x6160 Piotr xa, Pieter seo ‘on-chip ‘oe-cin ‘Once tomo Sroey tenon Co ae Song Ws ig. Wares Unimtmene nme Reade Reade ink 1.6. Mt 6 ni lnvomg tri b. Té chite b@ nhé dir ligu trong thiét bj PICI8 duye thye hign nu RAM tinh, M&i thanh hi trong b9 nhé dir ligu c6 12-bit dia chi, cho phép lén dén 4096 byte cua dia chi bo nhé. Khong gian 66 nh duge chia thanh 16 bank, moi bank e6 chira 256 byte. Tat ca cic thiétho PICISF97160 déu ed sin cic bank vi cung cép 3808 byte bg nh dit igu ed BQ nhé dot Ii sin cho ngudi ding, GVHD: THs. Bua Thy Davo KS. Ngan Kin ng OFF ar Ty FFF ‘SVT Va Van Conk Nuon Vn Phong Le Anh Quin 18 FIGURE 5-7: DATA MEMORY MAP FOR PICt#Fs7J60 FAMILY DEVICES, eee The at 98 byes we geet (pepe oma esreae 38 z a i : eanz Bas mene aa Ben? 338 #1000, sane Ee 220, ane 21010, panto aie es 21100, peete STE a $3 83 83 $3 $3 $3 83 89 83 83 89 83 8: a 8: ‘Hinks 1.7 md 1016 cheb nhc iu ctr. BO nhé dit ligu chtta cic thanh ghi chite nang dac bigt (SFRs) va thanh ghi myc dich chung (GPRS). Cée SFR 6 thé durge sir dung dé kiém sodt tinh trang ctia bO diéu khién va cdc thiét bi ngoai vi, trong khi GPRS duge sir dung cho hu trir dir ligu va hoat dong trung gian trong céc ting dung cia ngudi ding, Cée tap lénh va kién trie cho phgp hoat dong trén tit ca cée bank, Toan b6 b6 hd di ligu c6 thé dugc truy cap true tigp, gidn tiép hoae ché 46 giai quyét cic van dé 3 chi dinh. GVHD: THs. Bua Thy Davo ‘SVT Va Van Conk KS. Nguyen Klin ng Nagusén Van Phong Le Anh Quin 19 1.2.3.3. BG nhé chwong trinh flash B6 nhé chyong trinh Flash ¢6 thé doe duge, e6 thé ghi vi x6a durge trong qua trinh hogt dng binh thug trén toan b8 pham viVpp Mot hanh dong doc tir b6 nhé chong trinh duge the hign trén. mot byte tai mot thi diém. M@t ghi vio bd nhé chirong trinh duge thye thi trén khdi 64 byte tai mot thai diém. BO nhé chwong trinh la xod hoa toan trong khoi 1024 byte tai mot thai dig. Vige sita chita, tay xoa bo nhé chuong trinh sé ngimg nap lénh cho dén khi host 9ng hoan tat, BG nhé chuong trinh khéng thé duge truy cAp trong khi viéthoac x6a, do d6, ma khOng thé thue hign, Mét gid tri duge ghi vao b6 nhé chuong trinh khéng cdn m@t lénh hgp Ie. 1.2.3.4. BUS bp nhé: ma rong Bus b6 nhé mé rong cho phép thigt bi truy cap b6 nhé cita cdc thiét bi mé rong (ching han nhu Flash, EPROM,SRAM, vv) nhur 6 nh dtr tigu hoc churong trinh, N6 8 tro loai dit ligu rong 8, 16 bit va 3 dia chi chiéu rng lén t6i 20 bit. Bus durge thye 28 chan, ghép qua ban céng I/O. Ba céng (PORTD, PORTE va Porth) durge ghép v6i bus dia chi / dit ligu cho tong eng 20 dong e6 sin, trong khi PORTS duge ‘ghép véi cic tin higu diéu khién bus. 1.2.3.5. Ngdt Cée thanh vin eta ho PICISF97360 cénhigu ngudn nat va mét tinh nang nest imu tién, cho phép hau hét cde ngudn ngat duge gin mite 4} wu tién cao hose wu tién mite thép. Uu tién cao vector ngat la 0008h vi wu tién thép vector ngat li 0018h. C6 13 thanh ghi duge sit dung dé kiém soat hoat déng ngat. Nhiing thanh ghi nay li + RCON + INTCON + INTCON2 + INTCON3 + PIRI, PIR2, PIR3 + PIEI, PIE2, PIE3 + IPR1, IPR2, IPR3 Nhin chung, nguén ngat c6 ba bit dé kiém sodt hoat dng. Dé la + Flag bit — bit c&- dé chi ra ring mot su kign ngiit xy ra + Enable bit- bit kich hoat, cho phép thye hign cée churong trinh con dé dinh dia chi veetor ngit khi it ctr duzge thigt lip + Priority bit — bit wu tién: dé chon wu tién cao hay wu tién thép Cée tinh ning tn tién neat duge kich hoat bing eéch thiét lip bit PEN (RCON <7>). Khi wu tién ngat kich host, ¢6 hai bit cho phép ng tréntoan he théng, Thiet lép cic bit GIEH (INTCON <7>) cho phép tat ca ngit c6 cée thiét Igp bit wu tign (uu tig cao). Thiét lap cée bit GIEL (INTCON <6>) cho phép tat ca ngait ¢6 chiit wu tién xéa (au tién thap). Khi ed ngit, bit kich hoat va bit cho phép ngit chung duge thiét lap, vector ngit ngay lap tite duge dinh dia chi 0008h hoge OOI8h, ty thude vao cde thiet lap bit wu GVHD: Tas Bia Thy Dusen SVT Va Van Con KS. Newodn Klkin Mme Nigusén Vin Phong Le anh Quin 20 ‘ign, Khi bit IPEN duge x6a (trang thai mac dinh) hoa va ngat li twong thich véi PICmicro ® thiét bj tam trung. 1.2.3.6. Cie céng 1/0 Tay thude vio thiét bj ngit bj v6 higu chon vi cee tinh nang kich hoat, c6 sin lén chin cong. Mgt sé chin cia cée céngT/ — | pour = 0 duge ghép voi mot ehite nang xen ke en tir ede tinh nang iia thiét bj ngoai vi oo or ‘rén thiét bi. N6i chung, khi mot thiét bi | —“——F (| ngoai vi duye kich hogt chin dd eine | MBL WO khéng duge sit dung nhw ki mét chan [/ oL O mue dich chung, aah ceéng ¢6 ba thanh ghi dé van to hank né, ning thanh ghi d6 li + Thanh ghi TRIS METRE | boi + Thanh ghi PORT Tah eat * Thanh ghi LAT (chét dau ra) t-~< Thanh ghi LAT duge sir dung Alan cho hoat ding doc-chinh sita-ghi tren if tri mi cée chin T/ O duge van ° hank. Mét mé hinh don gidn cia mot a cdng 1/0, ma khong 6 giao dign voi 0 FORT > ccc thiét bj ngoai vi kha, durge thé hign trong hinh 1.8. Note YO pi tave diode potacton Yan Hinh 1.8. Mo hinh dom gin eta mot cng 1/0 Cae chan chire ning cua cing 1/0 Ki phat trién mot ting dung, kha nang ciia ed chin céng phai durge xem xét Dign Ap ra tren mot s6 chan e6 e6 thé cao hon nhimg chan khde. Tuong ty, mot s6 cchan €6 thé chu dug dign dp cao hon so véi cée cap d9 dau va0 VDD. Diéu khién cic chan dau ra Mie d6 nang hong manh yéu khée nhau cho cée nhém cia eae chan dau ra nhiim dap ting nhu cau cho mot loat cdc img dung. PORTB va PORTC durge thiét ké dé chay eéc tai cao hon, chang han nhwr dén LED. Cac cong giao dign b6 nhé bén ngoa (PORTD, PORTE vi PORTS) duge thiét ké dé str dung cho tii trung binh. Tit c4 cic ccdng Khde durge thigt ké dé chay tai nho. GVHD: THs. Bua Thy Davo ‘SVT Va Van Conk KS. Ngan Kin ng Nuon Vn Phong Le Anh Quin a dp can can nhéc Kha nang chiu dung dign ap cua chan duge sit dung nh 1é du vao thiét bi phy thude vao chire ning dau vao cia chan, Cie chan duge sir dung hw dau vao s6 chi 6 thé xir dung dign dp DC 5.5V, mot mite 46 din hinh cho eae mgch logic ky thuat sb, Nguge lai, chan cfing ¢6 chite ning twong, ‘ty dau vao cia bat cir logi nao chi co thé chiu duge dign ap lén.dén VDD. dign ap ngoai VDD trén eae [FPO chan nay edn phai trinh, ; Hink 1.9, Mic dign dp di vio 1.2.3.7. Khbi TIMER hop cde tinh nang sau day: = Phin mém tya chon hoat dng nhu 1a mot b6 inh thai hoge BO dém trong ca hai dang & bit hoae 16-bit ~ Cée thanh ghi c6 thé doc vi ghi ~ anh riéng 8-bit cho prescaler- mot b6 chia, 48 e6 thé Iya chon duge 46 phan gidi cia timer/counter. = C6 thé lira chon nguén xung clock (ndi bé hod bén ngodi). = Ngjit khi xay ra trin Khéi Timer Cae khdi dém/ dinh thoi 6 Timer két hgp nhdng tinh nang: - Phin mém Iya chon hoat dong nhu mt bé dinh thai hodc b6 dém 16-bit. = Cée than ghi 8 bit ¢6 thé doe va ghi (TMR1H va TMRIL). = C6 thé Iya chon ngudn clock (ndi bo hoa bén ngoai).. = Nat khi tran trén, - Kich hoat Special Event Trigger trén ECCP. = Corbéo tinh trang xung clock hign tai cia thiét bi (TIRUN) Khoi Timer2 (Cac dinh thai trong Timer? két hgp ede tinh nang: ~ BQ dinh thoi 8-bit va thanh ghi thai gian (TMR2 va PR2 tuomg img), = C6 .thé doc duge va e6 thé ghi (ci 2 thanh ghi). = Phan mém lip trinh prescaler (1:1, 1:4 va 1:16). = Phan mém lap trinh postscaler (1:1 163 1:16). = Ngit trong TMR2 t6i PR2 = Céc tly chen sir dung nhur la céc thay déi xung clock cho khéi MSSP. mer3 Cac khéi dinh thoi b6 dém Timer3 két hyp nhing tinh nding: - Phin mém Iya chon hoat déng nhu mét bd dém hode b6 dinh thoi 16-bit ~ Cée thanh ghi c6 thé doc vi ghi 8-bit (TMR3H vi TMR3L). - C6 thé Iya chon ngudn clock (ndi bé hoae bén ngoai).. GVHD: THs. Bua Thy Davo ‘SVT Va Van Conk KS. Ngan Kin ng Nuon Vn Phong Le Anh Quin 2 nt ki tin én i Reset trong CCP Special Event Trigger Cae khdi Timer ¢6 ede tinh ning sau day: = Thanh ghi dinh thoi 8-bit (TMR4) = Thanh ghi thoi gian 8 bit (PR4). = C6 thé doc duge va e6 thé ghi (ci 2 thanh ghi) ~ Phan mém lap trinh presealer (1:1, 1:4, 01:16). = Phan mém lap trinh postscaler (1:01-1:16). + Ngit trong TMR4 tran du ciia PR4 1.2.3.8. Khoi nim bat /so sinh / PWM Tit ci cée thinh vign ctia ho PICI8F97I60 c6 tang cdng nim khdi CCP (Capture / So sinh / PWM): ECCPI, ECCP2, ECCP3, CCP4 va CCPS Méi md-dun CCP / ECP chita mét thanh ghi 16-bit e6 thé hoat déng nh mot thanh ghi nam bit- Capture 16-bit, so sinh 16-bit, thanh ghi chu ki phye vu chi t6 16 bit. Neu ké dén str higu qua trong hoat déng, ta chi ¥ nhieu dén module CCP4, nhurng module CCPS eding rat dang quan tam, 1.2.3.9.Khéiniim bit/so sinh / PWM nang cao Ba khéi CCP durge thye hign nhu cde khéi CCP chudn va chite ning PWM duge nang cao. Ching cung cip cho 2 hoge 4 kénh du ra, ngudi ding lira chon phan ccuc, tu dong tit may va khoi dong lai. Céc ehtte nang ndm bat, so sinh vi mot dau vao PWM cia mé-dun ECCP li gidng nhur mé ta cho khoi CCP chun. Thanh ghi diéu khién modun CCP néng cao duge thé hign trong thanh ghi 17-1, 6i thanh ghi CCP4CON /CCPSCON trong dé bit quan trong nhat durge thye thdng gua thanh ghi mé rong CCPxCON, eée mO-dun ECCP déu e6 hai tinh nang b6 sung ket hep véi PWM nang cao va tinh nang tw dong tat may. Bd li + ECCPXDEL + ECCPXAS 1.2.3.10, Khbi ETHERNET TAt ca cdc thinh vién cia ho PICI8F97J60 déu tich hop modun diéu khién Ethemet. Dieu niy lé mot giai phap két ndi hodn chinh, bao gbm ca vige trién khai day dducdc khoi MAC va PHY. Hai xung méy bién fp va mot vai thanh phan thy dong la tat cei ning thanh phan duge yéu ciu dé két ndi cde vi digu khién trye tiép vdi mot mang Ethemet. Cae module Ethernet dap ting tat ca cée digu kign cilia chun IEEE 802.3 cho két n6i_10-BaseT biing cdp 40% day xoan, NO két hop mot sO g6i chuone trinh Toe dé han ché cdc géi dén. NO ciing cung ep mét mé-dun DMA n@i bo cho phép dit ligu ‘théng qua nhanh chéng , tinh todn va kiém tra phan eting hé tre IP. Bau ra cé 2 LED 6 chi thi lign két va host dng mang, GVHD: Tas Bia Thy Dusen SVT Va Van Con KS. Newodn Klkin Mme Noein Pan Phone, Le anh Quin 23 inh 1.10 Ser ksi dom gm eee macs ETHERNET. ‘Cac module Ethernet bao gam nim khdi chite ning chinh: 1, Mé-dun thu phat PHY 2. Cae module MAC 3. Mot bo dém 8-Kbyte RAM dé luu trir g6i tin 43 duge nbn va ede goi tin duge truyén di 4. Khdi truyén va nhain dar tigu DMA 5. Ce thanh ghi 1.23.11. Khoi MSSP ‘Tong quan khdi SSP cha (MSSP) Khdi MSSP [a mot giao dign ndi tiép, cin thiét cho giao tiép véi cdc thidt bi ngogi vi hoge vi digu khién, Céc thiét bj ngoai vi e6 thé duge néi tisp EEPROMs, ‘thanh ghi thay d6i, hién thi trinh diéu khign, chuyén di A / D, vw Cac khdi MSSP c6 thé hoat dng 6 mot trong hai ché dé: + Serial Peripheral Interface (SPI)- giao dign thiét bi ngoai vi néi + Inter-Integrated Circuit (I2C ™)- mach lign két cate mach tich hop - Full Master mode- ché d6 day dui cht = Ché d@ Slave (vei eude goi dia chi n6i chung) Giao dign 12C hd try cite phuong thite sau day trong phiin cimg: + Master mode- ché d6 chit 16 45 Multi-Master- nhigu chat GVHD: THs. Bua Thy Davo ‘SVT Va Van Conk KS. Ngan Kin ng Nuon Vn Phong Le Anh Quin 24 Thiét bj 64 chan vi 80 chan ciia ho PICI8F97J60 ¢6 mgt module MSSP, dinh 1a MSSP1. Cac thiét bi 100 chan c6 hai mé-dun MSSP, mae dinh 1a, MSSPI va MSSP2. Méi mé-dun hoat dong dc lap nhau. Cae thanh ghi diéu khién M&i module MSSP 6 lign quan dén 3b thanh ghi . Ching bao gom mot thanh ghi trang thai (SSPXSTAT) va hai thanh ghi digu khién(SSPxCONIvaSSPxCON2). Vige sit dung cede thanh ghi nao ty thuge vige ligu cic module MSSP duoc hoat dong trong ché d@ SPI hod 12C. a. Ché d SPL Ché d9 SPI cho phép 8 bit dit ligu duge ‘ruyén dong bo va nhan cling mot lic. Tat ea bon ché d9 cua SPI déu duge hd wy. BE thye hign ‘thong tin lién Ige, thuimg a ba chin duge sit dung: iu ra dit ligu noi tiép (SDOx) - RCS/SDOL (hoe RD4/SDO2 cho eae thiét bj 100 chan) + Dau vio dt ligu tip (SDIx) - RC4/SDIL/SDAI (hoje RDS/SDI2/SDA2 cho cde thit bj 100 chin) + Clock (SCKx) - RC3/SCKI/SCLI_ (hoae RDGISCK2/SCL2 cho cic thiét bi 100chan) Negoai ra, mOt chan thir tu 06 thé durge sir dung, nhurmét Slave + Chon Slave (SSX) - RF7/SS1_ (howe RD7/SS2 ‘Thiét bj 100 chan) b.Ché a9 2C Cée module MSSP trong ché d9 12C thye ién diy du tat cachite nding master-slave va cung cip cho ngat trén bit Start va Stop trong phin eting dé xée dinh mot bus mign phi (multi- master) Hai chin duge sir dung dé truyén dtr ligu: Hinh L11, Ché di SPI va RC + Chan clock ndi tiép (SCLx) - RC3/SCKI/SCL1 (hogeRD6/SCK2/SCL2 cho ede thiét bi 100chan) + Chan dir ligu ndi tigp(sdaX) - RC4/SDIL/SDAL (hoicRDS/SDI2/SDA2 cho cée thiét bj 100chan) Nguoi sit dung phai cau hinh céc chan nhu dau vio bing cach thiét lapbit ‘TRISC <04:03> hoge TRISD <5:04>, KS. Ngan Kin ng Nuon Vn Phong Le anh Quin 25 1.2.3.12, Khoi chuyén déi tong tu/sb (A/D) 10 bit Khdi nay ¢6 11 dau vio cho ede thiét bj 64 chan, 15 dau vao cho thiét bj 80 chan v dau vao cho cae thiét bj 100 chan. Diéu nay cho phép chuyén d6i cua mot tin vao turomg tyr sang tin higu s6 tuong ting 10-bit, Module nay e6 nim thanh ghi: + Thanh ghi két qua cao A / D (ADRESH) * Thanh ghi két qua thip A / D (ADRESL) + Thanh ghi diéu khién 0 A / D (ADCONO) + Thanh ghi diéu khién 1 A/D (ADCON1) + Thanh ghi diéu khién 2 A / D (ADCON2) Thanh ghi ADCONO kiém soit hogt déng cia céc module A / D. Thanh ghi ADCON, cdu hinh cée chite ning cia cde chan cdng, Thanh ghi ADCON2, céu hinh agudn clock A/D. 1.2.3.13. Khai so siinh Khdi so sénh twong ty chita hai b@ so sinh c6 thé duge thiét lap bing mét lost cicedch. Cée dw vio e6 thé durge Iva chon tir diu vio analog ghép vdi cic chan RFI én RF6, Dau ra sé (binh thudmg hoe chuyén di) c6 sin tai cae chan Va cling c6 thé duge doc thong qua thanh ghi diéu khién 1.2.4. Phin mém tich hgp moi trung phat trién- MPLAB Ngdn ngi lap tinh cho PIC rit da dang. Ng6n ngit lp srinh cdp a MPLAB (durge cung cp mign phi bai nha sin xudt Microchip), ede ngon ngtt lap tr cp cao hon bao gom C, Basic, Pascal... Ngodi ra cdn c6 mt sO ngon ngit lap duge phat trién dnh riéng cho PIC nhw PICBasic, MikroBasic, Trinh bién dich MPLAB C18:Cac hé thing phat trién ma nguén MPLAB C18 hoan thanh trinh bién dich ANSI C cho cée thiét bj ho vi digu khién Microchip PICI8, va ho dsPIC30, dsPIC33 va PIC24 cia cfc bd digu khién tin higu s6. 1.2.5. Mach ngp cho PIC 18F97560 Bay ciing | mét dong san pham rit da dang danh cho vi diéu khién PIC. Cé thé sit dung cée mach nap durge cung cp boi nha san xuat | hang Microchip nhu: PICSTART plus, MPLAB ICD 2, MPLAB PM 3, PRO MATE I. Cé thé ding cfc san phim nay dé nap cho vi digu Khién khéc thong qua chuong trinh MPLAB, Dang sin phim chinh thong nay c6 wu thé 1a nap duge cho tat ed cde vi digu khién PIC, tuy nhién gid thanh cao va thug gip rat nhiéu khé khin trong qua trinh mua san pharm. Ngoai ra do tinh nang cho phép nhieu ché 46 nap khac nhau, con 6 rat nhieu mach nap dirge thiét ké danh cho vi diéu khién PIC. C6 thé so luge mot s6 mach nap ‘cho PIC nhur sau JDM programmer: mach nap nay diing chuong trinh nap Ieprog cho phép nap cae vi didu khign PIC c6 hé trg tink nang nap chuong trinh dign ap thdp ICSP (In Circuit Serial Programming). Hau hét ee mach nap déu hé tro tinh nang nap chuong trinh nay. WARP-13A va PLUS do nha sin xu 16 MICP-USB: hai mach nap nay ging véi mech nap PICSTART Microchip cung ep, tuomg thich véi trinh bién dich MPLAB, GVHD: Tas Bia Thy Dusen SVT Va Van Con KS. Newodn Klkin Mme Noein Pan Phone, Le anh Quin 26 niga 1 ta o6 thé trve tip ding churomg trinh MPLAB dé nap cho vi du khign PIC ma khéng edn sit dung m@t chuong tri nap khéc, ching han nhur ICprog. P16PRO40: mach nap nay do Nigel thiét ké va cling kha ni cling ¢6 thé sit dung chuong trinh nap leprog. Mach nap Universal ciia Williem: dy khong phai I mach nap chuyén dung anh cho PIC nhu P16PRO4O, Céc mach nap ké trén ¢6 wu diém rit Idm 1d don gidn, ré tin, hoan todn e6 thé ty lip rép m6t edch 4€ ding, va moi thdng tin vé so d& mach nap, eich thigt ke, thi ccéng, kiém tra va charong trinh nap déu dé ding tim duuge va download mién phi thong «qua mang Intemet, Tuy nhién ede mach nap trén ¢6 nhuge diém la han ché vé sO vi digu khién duge ho tra, ben canh dé moi mach nap can duge sir dung vei mot chong trinh nap thich hop. 1.3. So sinh PIC 18F97560 v 1.3.1, Mét s6 ho vi diéu khi 1.3.1.1, INTEL 8051 ~ C640 chan, trong dé e6 32 chan vio ra. = 128 byte RAM. ~ Cé kha ning dinh dia chi duge 64Kbyte bO nhé ngod = C62 t6i 3 khdi timer. = Tan sd xung nhip 12-24MHz, = C6 khéi UART. ~ Nap trinh song song 6 cée phan ho €6 b6 nhé chong trinh 1.3.1.2.ATMEL AVR ATIS8xxx = Déng v6 8-20-40 chan, twwong ting li 3-15-32 chan vio ra - Chit hong b6 nhé chong tranh tir 512 byte dén 8 Kbyte. = C6128 byte dén 512 byte RAM. = C6 khéi UART trong mét sé loai cio cao. = C& 1 dén? timer 8 bit mgt sd loai e6 timer 16 bit ~ BO ADC I0bit vi 6-8 kénh vio. nhé EEFROM dung ling 64-512 byte. sung nhip 10 MHz, + Tap Iénh RISC. - Nap tr.nh ndi tiép theo chudn ICSP. 1.3.1.3. MOTOROLA 68HCxx ~ Déng vw PLCCS2, 68 hoi 82 chan. = 38 chan vio ra. = C6 1 byte b§ nhé RAM bén trong, = Khdng ¢6 hoge t6i da 20Kbyte bé nhé Iénh, = C6 khoi UARTs. = BO ADC 8bit, 6-8 kénh vio, = 5d timer 16 bit = C6 b9 nhé khéng ty bay hoi EEFROM 512 byt. 1g dinh dia chi 16 Kbyte 66 nhé ngoai. = Tan sé xung nhip 8 MHz. ig. Tuy nhién ta cic hg khae. n phé bién: GHD: Tas Bas Thy Dusen SVT Va Van Con KS. Newodn Klkin Mme Noein Pan Phone, Le anh Quin 2r ~ Nap tinh song song. 1.3.2. Lara chon PIC 18F97560 Hign nay trén thj truéng 6 rit nhiéu ho vi diéu khién nhu 8051, Motorola 68HC, AVR, ARM... Ngoai ho 8051 duge hudng din mot cach ein ban & moi trong ai hge, ban than ngudi viét €& chon ho vi diéu khién PIC dé ma rong vén kién thire va phat trién cdc img dung trén cong cy nay vi céc nguyén nan sau: Cé day du cdc tinh nang cua mét vi diéu khién khi hoat dong doc lap nhu: Diéu khién cde e6ng 10, bd bién doi ADC, cdc b6 timer, cae kénh bam xung PWM, giao tigp 12C Ho vi digu khién nay o6 thé Gi thanh khong qué dat LA mot str b6 sung rit t6t vé kid thite cing nhur vé ting dung cho ho vi digu khién mang tinh truyén thong: ho vi digu khién 8051 6 Iwong ngudi sit dyng ho vi digu khién PIC. Hign nay tai Vigt Nam cing nhw trén thé gidi, ho vi dieu khién nay duge sit dung khé rong rai. Dicu nay tgo nhiéu thuan. Joi trong qua trinh tim higu va phat trién céc img dung nur: s6 lrgng tai ligu, s6 kong cic img dung mér da ducge phat trién thanh cng, d& ding trao d0i, hoe tap, d& dng tim dge sit chi dn khi gap kh6 kh, Su hé trg ca nha sin xuét vé trinh bién dich, ede eOng eu lap trinh, nap chong trinh tirdon gian dén phite tap, Cée tinh ndng da dang cia vi diéu khién PIC, va cde tinh nang nay khong ngimg duge phat tién, Dic bigt VDK PIC 18F97560 6 kha nang giao tiép trye tiép y cic ho VDK khéc khéng lim duge. Néu cic ho VDK khéc mudn giao tiép v6i Ethemet phai qua mot khoi trung gian. 6 la nhimng ly do dé Iya chon ding PIC 18F97J60 cho ede trudmg hop diéu khién tirxa qua Internet m mua d8 dang tai thi rung Vigt Nam. GVHD: Tas Bia Thy Dusen SVT Va Van Con KS. Newodn Klkin Mme Noein Pan Phone, Le anh Quin 28 CHUONG 2 TONG QUAN VE DO VA DIEU KHIEN GIAM SAT TU XA 2.1, Cite hé thing diéu khién 2.1.1. HG DCS iam sit tir xa {hap dit ligu trén eg 9 1 dung truyén thong tin t6c db cao, cde module duge phin tin nhat dinh vi mot chi nang va nhigm vy riéng, Cée thigt bi ‘giao tiép trén during truyén téc a9 cao niy cho phép ghép ndi dé ding v6i cic bo PLC, Controller , ede may tinh diéu khién gidm sit kh Cée chite ning diéu khién duge phan bé khip hé théng thay vi xir ly tp trung n mt méy tinh don 1é. Mgt tram digu khién hogt dong de lip va digu khién timg bo phan chuyén dung eta nha mdy... __ Kha nang xir ly tin higu twong ty va chay cac trinh ty phite tap la thé manh cua he thing DCS. 2Ld2. orn gegen | | engoeina a Le Hinh 2.1. Ciiu trite hé thing DCS ‘Cau hinh co ban cua mot hé théng diéu khién phan tan dyoc minh hog trén hinh ve ‘bao yom ede thanh phiin sau: * Cée tram diéu khién cue bO(LCS-Local Control Station), doi khi edn dirge goi 1a ede khdi digu Khién cue b (LCU-Local Control Unit) hoge ede tram qua trinh (PS-Process Station). © Céc tram van hanh (OS - Operator Station) © Cée tram ky thuat (ES — Engineering Station) va cae cdng ey phat trig. © Hé thang truyén thong. GVHD: THs. Bua Thy Davo ‘SVT Va Van Conk KS. Newin Kin Hung Nagusén Van Phong Le Anh Quin 29 2.1.1.3. Ung dung ciia hg thong DCS ‘The manh ctia DCS 1a kha nang xir Id cdc tin higu tong ty va thue hign cic ‘chu6i qui trinh phite tap, kha ning tich hgp dé dang, Cae hg théng DCS thuang ph ny nay thug bao gom ede b9 digu khien (controller), he thong mang tr an mém digu hanh hg thdng tich hop. Cac hé DCS ¢6 thé quan Iy du iém dén hang chyc nghin digm vio 7 a thé thuc hign déng thoi nhigu vong dieu khién, digu khié ing, hay theo cée thugt todn diéu khién hign dai: nhgn dang hé théng, digu khién thich nghi, i uu bén ving, diéu khién theo mé hinh du bao (MPC), FuzzyNeuraaal, digu khién chil long (QCS). é phuc vu cho vige trao déi thong tin, ede hg DCS thong pham ngiy nay ho ‘rg nhigu giao thiretrayén thong tr cap tnrimg dén cp quan ly. Hign nay cée giao thite nay da duge thuan héa: Profibus, Foundation FieldBus, Ethernet. 2.1.2. HG SCADA 2.1.2.1. Khai nigm SCADA Supervisory Control And Data Acq 1g digu khién gidm sat va thu thép dit ligu, néi m6t eich kha 1a mot hg thong hé tro con nguti tong thé digu khign va gidm sat ti xa thi hg SCADA phai ¢6 hg thong truy edp, trayén t8i dtr ligu ciing nhur hé giao dign ngutsi— may (HMI — Human Machine Interface) Trong hé théng diéu khién giém sit thi HMI 1a mot thanh phin quan trong khong chi ¢ cp digu khién gidm sat ma 6 cdc cp thap hon nguiti ta eding cin giao dign nguoi ~ may dé phye vu cho vige quan sit va thao tic van hanh 6 cap diéu khién cue bd. Vi ly do gié think, 3c diém ky thudt nén cée man hinh vin hanh (OP — Operator Panel), man hinh sé (TP - Touch Panel), Multi Panel ... chuyén dung duoc sit dung nhiéu va chiém vai tro quan trong hon. Néu mhin nhgn SCADA theo quan diém truyén théng thi nd 1 mOt hé thing mang va thiét bi cO nhigm vu thuan tuy la thu thap dir ligu tir cic tram @ xa va truyén (ai ve khu trung tim dé xir ly. Trong cite hé théng nhur vay thi hg truyén théng va phan img durge dat lén hang dau va can sit quan tm nhieu hon. Trong nhiing nam gin day sy tién 69 vugt bac cia cong nghé truyén thong cong nghigp va cong nghé phn mém trong cong nghigp da dem lai nhigu kha ning va giai phép mai nén trong tam cla eng vige thiét ké xdy dung hé théng SCADA Ia Iwa chon céng cu phan més igo dign va cac giai phap tich hop hé thang, 2.1.2.2. Ci trite hg thing SCADA Cho di due xay dung think mot hé théng rigng bigt hay duge tich hop nhur mot chite nang trong mét hé digu khign tich hgp thi SCADA bao gid cling bao ‘bon thinh phan: 1)Thiét bi dau cudi hién truong (RTU), 2) Mang truyén thong, 3) ‘Tram chil trung tim (MTU) va 4) Neudi vin hin, trong dé ba think phan daw hop thanh hé SCADA. Do vay khi phat trién ing dung SCADA cdc ky su cing edn hu y {Gi tat ca céc thanh phn nay GVHD: Tas Bia Thy Dusen SVT Va Van Con KS. Neunda Kin mg Nowe Vin Phong Le anh Quin 30 Generalized SCADA system Hiinh 2.2, Cw trite hé théng SCADA 2.1.2.3. Cae hé thing diéu khién thong thirdng Trong nén céng nghiép nude ta cdc hé thong SCADA (supervisory control and data acquisition), DCS (distributed control system) dang durge thinh han va sir dung rong rai trong cng nghigp. Céc hé théng Ién hon nhwr IIS (integrated information systems) hign nay trén thé duge ting dung kh phd bién, Tuy nhién ede he ‘thang trén 6 made ta méi chi la truyén thong ndi b6 do dic thit eding nhu dam bao tinh an ton bio mat cho hé thong. Cae tram xing diu, cic mit giao théng, cde gara tw déng hay cde kho vi khi,..cée nha tram, thiét bi tw dong hay day chuyén ty dong noi chung rit cin hai duge quan ly. Bay la mot nhu cau thiet yéu dé giam chi phi nhan t con ngudi trdng coi va tn dung duge ngudn nhan tye dé dé phye vu ede nhu cau khéc. Ty dong hoa toan b6 host déng cia céc thiét bj phu try dé ting tuéi tho cdc thiét bj chinh, ning cao chat omg dich vu va gidm t6i da chi phi quan IY, tang evdng vige kiém soat an ninh doi véi cde nha tram, Déi vai eae hg théng nha tram, ching duge dat nhimng noi gip kh6 khan trong vige di lai, nguy hiém va khi xay ra sir €6 ean c6 chuyén gia t6i xit ly, thi qué trinh nay thumg kéo dai, gay thigt hai kinh té, Dé giém dén mute t6i thiéu nhiing sir 6 va lam ngung tré sin xudt, mot hé théng gidm sdt va diéu khién vira cd chite niing tai chd, vira 6 chic ning wim sat qua mang Internet dya trén nhiing thong tin dy bio hoe nhiing bio déng khin ep cung cap nhimng thong tin rat hu ich ny cho cede chuyén wia hay ngudi quén IY 6 bat ky mOt noi ndo trén thé gidi ma Khong nhdt ‘thigt phai co mét doi ngi ky thuat cao va nguéi quan ly phai ludn tae trve. Hign nay, mang ADSL duge phé ring trén khip ca nude do dé hé thing tw dong. gidm sit va digu khién qua dudng truyén Intemet la giai phap gitip giam thigu ch phi tap dat ban du, nang cao qua trinh ty dng héa, tang cudmg kha nang gidm sit va digu khién ti xa v6i tbe d6 cao. hé théng lin nhur céc hé SCADA, DCS ... cing di ding mang Ethemet tuy nhién chi ding ndi b6, khong truy ep Intemet e6ng céng vi yéu edu tinh bao mat cao GVHD: THs. Bua Thy Davo ‘SVT Va Van Conk KS. Newin Kin Hung Nagusén Van Phong Le Anh Quin 31 cho hé théng, Cae hé thong nay mat mot khoan chi phi rit lon dé lap dat duong truyén riéng, He théng thiét bj d@ tai thiét ké thiét ké sng dung cho céc hé théng nhd voi «21d thanh ha dat tigu chun ky thuat, do 6 day sir dung phurong tign truyén dt Higu fa ii php truyén din qua mang Internet. Hé thing nay eho phép ngudi van hinh idm sat va diéu khién mot cach dé dang qua hé thong Internet cOng cng. Cé thé ép dung ccho cdc hé théng véi mite chi phi thip dng thai lam chii duge cong nghé 2.2. Cam bién do nhigt dO va cm bién quang 2.2.1. Mot s6 cam bién do nhigt do 2.2.1.1, Cam bién nhigt dign tro Chiing ta biét dign tri eta vat din thay di khi nhigt 46 thay déi theo R=Ro(1+KT). Trong dé: R 1a dign tre 6 nhiét d cin do. Ro la dign tri cita nit ign tres O°C , K 1 hg 96 nhigt dign trot hé s6 nay phy thude vao ban ct lam dign tra), Dya vio tinh chat nay ciia vét din ngudi ta ding dé ehé tgo RTD.Vi dign tr phan img véri nhigt 46 rat cham nén ngudi ta thug dung cae vat ligu e6 phan tng nhay véi sy thay doi cia nhigt d6 dé sin xudt RTD, chi yeu li platinum, Tay theo yéu eau cng nghé mi RTD durge ché tao theo 3 dang khée nhau: RTD dang mang phim mong, loai rudt quan , loai rudt ga. Trén thi tradmg hign nay e6 rit nhigu logi RTD nhwr Pt100, P1200, PT1000, Nit20, Cu... Thong dung nat la Pr100. it kim logi ding, Hin 2.3. PA100 va thing sé dt do, ‘Thong s6: dai do -200 an 800. 0°C tuong img vai 100 Ohm. 2.2.1.2. CAp nbigt dign Ching ta biét khi 2 thanh kim loai khée nhau vé ban chit durge néi voi nhau ti mgt diém s@ sinh ra sw chénh léch dién p nho mV. Khi nhigt d9 thay di sy khuéch ‘sin dign tir tai diém ndi cling thay dai theo din dén dign 4p thay d6i.Ngudi ta loi dung ‘ve nay cia kim logi dé do nhigt d6. Diém 2 KI ndi Iai v6i nhau goi 1a diém do (Mesurement juntion ). Thy theo yéu cdu céng nghé ma ngudi ta ding eée loai TC khiic nhau, nhu loai K, loai J .v.v.Dign 4p ngo ra phy thuge vao nhét d) dau do va nhigt do tham chiéu(thuémg 1a nhigt 4) moi trudng noi dat cdm bién), do dé mach xir ly phai e6 phn bi nhigt thong 13 IC cam bign nhit GVHD: THs. Bua Thy Davo ‘SVT Va Van Conk KS. Newin Kin Hung Nagusén Van Phong Le Anh Quin 32 2.2.1.3. Nhigt dign tré ( thermistor) La hdn hgp ctia cde oxit kim logi duge nén dinh dang. = C6 thé 66 kich thude rat nho, mét sé trudng hyp nho hon 1mm. ~ C6 dign tro gidm Khi nhigt dO ting (hg sO nhigt dm, negative temperature coefficient (NTC)). @)nt0 Trermistor J patane npeaty 110 @ 256 Hinh 24, Nhit ign rv eich di diy 2.2.1.4. Cam bién nhigt d§ ban din Céc linh kign ban dn nhay edm voi nhigt d6: diode hoe transitor ndi theo ki diode. Dign ap trén diode hoe gitta 2 mdi noi C-E ctiatransitor ka ham cia nhi 49.Tim do nho hon so véi thermocouples va RTD,nhung kha chinh xéc va c6 gid thanh thap, | 1 Hinh 2.8 Cam bibnnbigr dp bin din (LMS). Tay theo nhigt d@ do c6 thé ding eée phuung php khéc nhau, thudng phan cic phurong phap dia vio dai nhigt 46 edn do. Thong thudng nbigt d6 do duage ehia thinh ‘ba dai nhigt d6 thip, nhigt €6 trung binh va cao. + G nhigt d6 trung binh va thip: phuong phap do la phuwong phap tiép xiie nghia la cite chuyén di duge dit tree tiép 6 ngay mai trudmg edn do. + Déi voi nhigt dé cao: do bang phuong phap khéng tiép xiic, dung cy dat ¢ ngoai tnrimg do. Sit dung eim bién do nhigt a9 do nhigt 49 moi trudng. ‘Vide do nhigt d6 moi trudng duge thye hign bing cam bién sé DS18B20 GVHD: THs. Bua Thy Davo ‘SVT Va Van Conk KS. Newin Kin Hung Nagusén Van Phong Le Anh Quin 33 - Hine 26, Sordi chan ds 18820. M6 ti tinh nang eta DS18B20: DS18B20 la nhigt ké s6 ¢6 46 phan giai 9-12bit giao tiép voi vi diéw khién ‘rung tam thong qua I day duy nhat (I wire communication). DS18B20 host dng véi dign dp ti 3V-5.5V o6 thé duge cp ngudn qua cha DQ-chan trao dOi dir ligu, Né c6 thé do mhigt 46 trong tam -55-125 do C vsi d6 chinh xc +-0.5 d6 C. __ Mi DS18B20 e6 mot Serial code 64bits duy nha, dié nhieu IC trén cling dung bus. Tée 46 chuyén déi nhigt d6 4 200ms, Chuan 1 wire o6 nhimng dae diém sau: - Chi c6 mot master trong hé thing, - Gid thanh thép. = Tée d6 dat duge t5i da Lékbps. ~ Khong each truyén xa nh 18 300m, ~ Luong théng tin trao di no. So do khoi cia IC DS18B20 niiy cho phép ét néi [serarcHan| WH TEVPERATORE = GENERATOR ‘CONFIGURATION Hin 2.7. Sor dé bd DSISB20 GVHD: THs. Bua Thy Davo ‘SVT Va Van Conk KS. Ngan Kin ng Nuon Vn Phong Le Anh Quin 34 Cach doe wi tr} mhigt d6 Bén trong DS18B20 sé e6 bo chuyén d6i gid tri nhigt d0 sang gid tri sb va duge Juu trong eée thanh ghi ¢'b9 nhé scratchpad, BO phan giai nhigt dO do e6 thé duge cA hinh & ché d bits, 10bits, 1 1bits, 12bits. G ché 4 mic dinh thi DS18B20 hogt dng 4 d6 phan giai 12bits. ____ Dé bit diu qua trinh doe nhigt d6, va chuyén déi tir gid tri trong ty sang gid tri sé thi vi digu khign gir nh Convert T [44h], sau khi chuyén di xong thi gi tr nhigt 6 sé duge lim trong 2 thanh ghi nhigt d6 6 b6 nhé seratchpad va IC tré ve trang théi nigh. Nhigt d6 duge uu bén trong DS18B20 duge tinh 6 nhigt d} Celcius néu tinh & nhigt do Fahrenheir edn phai xy dyng thém bang chuyén ddi nhigt do. Gia tri nbigt d6 Ju trong, b6 nhé gdm 2bytes-186bits: s6 dm s& durge liu dudi dang bi 2 Bit cao nhat la bit dau (S) néu S=O thi gid tri nhigt do duong va S=1 thi gi nhigt do am, ‘Néu céu hinh d phan wiai 1a. 12bits thi tit e@ ede bit du durge sir dung, Néu do phan gidi I1bits thi bit 0 khdng duge sir dung. Twong ty néu céu hinh 1a lObits thi bit 1,0 khéng duge sir dung, néu cau hinh 1a 9bits thi bit 2,1,0 khong duge sir dung. tt LsB MSb s|s|s MSB DIGITAL. OUTPUT Hex) [0000 0111 1101 0000 | 07DOK {00000101 0101 0000 | _0SS0h* {00000001 1001-0001 | 0191h [000000001010 0010 | 00A2h ‘0000,0000 0000 1000 | 0008h [0000,0000 0000 0000 [00h THT TTT 1111 1000 | FFFSh =10.125°C THT 101 0] FFSER 25.0625°C | WITT O10 1 | __FF6Fh °C TIT 1100 1001 0000 | _FC90h Hink 2.8. Quy di nhigt dé sang ma Hex Nhigt d6 saw khi duge lu va trong 2 thanh ghi bé nhé sé duge so sénh véi 2 thanh ghi ngudng nhigt d TH va TL. Céc gi tri ngudng nhigt 46 do ngudi ding quy inh, va n6 s@ khong thay di khi mat dign Nhu vay chi c6 phn nguyén, cae bit 11-4 ciia gid tri nhigt do duge so sanh véi thanh ghi ngudng. néu gid tr] nhigt d@ doc vé nho hon mite TL hoae kin hon mize Th GVHD: THs. Bua Thy Davo ‘SVT Va Van Conk KS. Ngan Kin ng Nuon Vn Phong Le Anh Quin 35 thi c& béo qua nhiét sé duge bat Ién, va n6 s@ thay ddi 6 mdi qua trinh doc nhigt dd. Vi digu khién c6 thé kiém tra trang thai qua nhigt bang Iénh Alarm Search [ECh]. 2.2.2. Cam bién quang Dé do curing dd sing nguodi ta c6 thé ding rit nhiéu loai cim bién quang nh: dign tro quang (photo register), diode cim quang (photo diode), transistor quang (photo transistor) nhung néu chi diing dé phan bigt mite dh sang: trang thdi sing — 101 hod xung nh sng thi ta c6 thé diing dign tne quang (photo resister). 2.2.2.1. Khdi nigm dign tré quang Quang tré 18 mot linh kign bin din hai cue, e6 dign tre thay ddi theo ning Iugng anh sang chiéu vio, host dng dya trén hign tung quang din do két qua ct higu img quang dign noi (hign twong gidi phOng hat tai dign trong vat ligu dud tée dung cia anh sing Fim ting d§ din dign cia vit lieu), Hink 29. Quang ro 2.2.2.2, Cu tao quang tré Cam bién quang thuimg duge nit hoe don tinh thé, pha tap chit ‘Da tinh thé: CdS, CdSe, CdTe, PbS, PbSe, PbTe Don tinh thé: Ge, Si tinh khiét hodc pha tap Au, Cu, Sb, In, Sbin, Asin, Pin, CdHgTe Tay theo chat edu tgo ma quang tré €6 ving phd lim vige khée nhau, 2.2.2.3. Dign tr Mot quang tri o6 gia tri dign tré twong duong véi 2 dign tro ghép song song. gdm dign tro t6i R,, va dig tr R., duge xae dinh boi higu ing quang dign do nh sang tae dng. Gié te] dign tro t6i phy thude vao vat ligu cdu tgo, dang hinh hoc, kich thie va mhigt do. Cée chat Pbs, CdS, CdSe c6 gid tri dign tro t8i kha lén: tir 10" dén 10° & nhigt 9 25°C Cae chit SbI, SbAs, CdHgTe 66 gia tri dign tro t5i kha nho: tir 100. dén 10°26 nhigt dj 25°C Dign tr 2, duge xa dinh theo biéu thie: R= ag Trong dé a phy thude vio vat ligu, nhigt d6 va phé bire xa anh sng tir0.5 dén 1 ign t6 eta quang tro 1b R. du tgo bing ede chat ban din da tinh thé ding in din riéng hoge ban din 7 06 gi GVHD: THs. Bua Thy Davo ‘SVT Va Van Conk KS. Newin Kin Hung Nagusén Van Phong Le Anh Quin 36 RR Rua” Rit Ry Ry tag” ‘Thong thuimg &,< WAN 2.3.3. Internet va cic thugt ngit lién quan dén Internet 2.3.3.1. Khai nigm vé Internet Intemet duge yoi la lign mang may tinh toan edu, V8 phan eimg bao yim ede mang LAN, WAN cua ed thé gidi ket noi véi nhau, vé phin mem ean phai c6 dé cic ‘méy tinh e6 thé nhgn ra nhau bing ech sik dung mdt giao thite chung va giao thie d6 1a giao thire TCP/P. Giao thite TCP/IP duge xem nhur la ngon ngt chung cho tat ea ‘cde may tinh ndi két v6i Internet. iy THE iTS. pact Tat Hinh 2.18. Lién mang may tinh ton cd ~ Internet Cong ngh@ Ethernet 2.3.3, Hon 20 nam trade, Ethemet ra ddi mang lai mt mang truyén dtr ligu néi tip téc 49 cao; t6i nay, né 43 tr thinh mét chudn duge chip nhan khap thé gidi, va Li ao thie thong tri ede mang LAN. Hon 85% két ndi mang duge ei dit én thé gid Ia Ethemet. Toc d@ truyén dir ligu pho bién nhat cia Ethernet la 10 trigu bits (10 Mbps), mile di vay, hau het e”e mang hign nay dang duoc nhanh chéng nang cdp lén Fast Ethemet v6i tdc d6 100 Mbps. Ethemet thuimg gin véi hé digu khién céng nghigp qua khién doc lap két noi vei PC hay mang bang cap Ethemet. Ngiy 22 thing 5 nam 1973, Robert Metcalfe thude Trung tam Nghién edu Alto cia hang Xerox ~ PARC, bang California, da dua ra ¥ tung he thong két ni ‘mang may tinh cho phép cée may tinh 6 thé truyén dit lig vi nhau va voi may in lazer. Lite nay, ede hé théng tinh todn én déu duge thiét ké dua trén cée may tinh ‘rung tam dit tién (mainframe). Biém khac bigt 16n ma Ethemet mang lai la cae may GVHD: THs. Bua Thy Davo ‘SVT Va Van Conk KS. Nguyen Klin ng Nagusén Van Phong Le Anh Quin 42 tinh ¢6 thé trao dé Mo théng tin trye tiép véi nhau ma khOng edn qua may tinh trang tam, nh méi nay lam thay déi thé gidi cong nghé truyén thong, __ Chuan Ethemet 10Mbps dau tién duge xuat ban nam 1980 boi sy phdi hyp phat trién ciia 3 hang : DEC, Intel va Xerox. Chuan nay e6 t8n DIX Ethernet (lay tén theo 3 chit edi dau cua tén cde hang). Uy ban 802.3 cua IBEE da lay DIX Ethernet kim nén ting dé phat trién. Nam 1985, chuan 802.3 dau tién da ra di v6i tén TEBE 802.3 Carrier Sense Multiple Access with Collition Detection (CSMA/CD) Access Method vesus Physical Layer Specification. Mac dit khong sir dung tén Ethemet nhung héu hét moi ngvoi déu higu dé 1a chuan cia cong nghé Ethemet. Ngiy nay cchudn IEEE 802.3 la chun chinh thie cia Ethernet. IEEE da phat trién chun Ethernet trén nhigu cng nghé truyén din khéc nhau vi thé o6 nhigu loai mang Ethernet. __ Cée chudn Ethernet déu hoat déng & ting Data Link trong mé hinh 7 lép OSI vi thé don vi dir ligu ma céec tram trao doi v6i nhau 1a cdc Khung (frame). Cau trie khung Ethernet nhur sau: Cac trig quan trong trong phan mao dau sé duge mo ti dui day: Pantie [SFO A Sa [leah] Lc] Dalya Fos Thies —|ityb] bys ‘styes—|2yon| yb | 4s-aarbyt | ys inh 2.19. Céu ic hung tin Ethernet - Preamble: truong nay dénh du sw xudt hign ctia khung bit, n6 luén mang gid tr 10101010. Tir nhém bit nay, phia nhén cé6 thé tao ra xung ding hd 10 Mhz. = SED (start frame delimiter): nrimg nay méi thye sy xée dinh sy bit ddu cia mot khung. N6 luén mang gia tri 10101011 ~ Cée trugng Destination va Source: mang dia chi vat ly cia cée tram nbén va gir khung, xée dinh khung duge giti tir dau va s® duge gir ti dau. ~ LEN: gid trj cua trudng n6i lén do 16n ca phan dit ligu ma khung mang theo, = FCS mang CRC (cyclic redundancy checksum): phia gui sé tinh todn trang nay ‘tntéc khi truyén khung. Phia nhn tinh todn lai CRC nay theo e”ch tong ty. Néu ha két qua tring nhau, khung duge xem 1a nhn ding, nguge Iai Khung coi nhur bi 16i va bi loai bo. Ethernet c6 4 the d6 dit ligu = 1OBASE-T : tbe 06 ti 10 Mbps trén dying ep xodin 09. - Fast Ethernet : toc 06 gap 10 lin 10BASE-T Ethernet (100 Mbps) trong khi 06 vin duy tri nhigu qui cach Kt thuat cua Ethemet. : : - Gigabit Ethernet : mé rng giao thire Ethernet hon nda, tang tée gap 10 lin Fast Ethernet (1000Mbps hay 1 Gbps). - 10 Gigabit Ethernet : mot phién ban téc 09 cao hon nita cia Ethemet. Tc 06 it ligu 10 Gbps tgo ra bang thong lén trong cée mang dign rng va cfc mang trong thinh pho, Ethemet phat trién theo cng ngh¢ mang LAN vi ede giao thite cia né ¢6 nhiing dae GVHD: THs. Bua Thy Davo ‘SVT Va Van Conk KS. Newin Kin Hung Nagusén Van Phong Le Anh Quin 43 tinh sau ~ Don gin, dé thye hign, quan li va bao dudng. - én & mang vOi gid re = Cung edp ahi tric lién két linh hogt phye vy edi dat mang. = Bao dim sy két ndi thanh e6ng vi su hoat dong cia cde co edu chap hinh, Céc thanh phan hé thong mang: Ethernet bao gdm cac nit mang va cic phuong tign truyén thong. Cac nit mang dia chi mang fa 128.1 May nhan o6 dia chi 132.5.8.12 > dia chi mang li 132.5 Mang Intemt ¢6 tréch nhigm dya vao 2 dia chi mang trén dé dura dit ligu toi mang 132.5. Khi ti mang 132.5 thi dua vao dja chi 8.12 s€ tim ra dia chi vat ly thyc d& ‘ruyén dit ligu t6i dich. Niur vay co mot thic mac la: da c6 dia chi vat ly roi, tai sao lai cin thém dja chi mang? ‘Vige tin tai 2 loai dia chi 1a do cée nguyén nhan: + 2 hé thong dia chi duge phat trién mot cach doc lap béi cde t6 chite khéc nau. + Dia chi mang chi ¢6 32 bit sé tiét kigm duong truyén hon so véi dia chi vat ly ¥. dich ciia goi dit ligu 6 tang vat Iy. bi thi g6i dor ligu s@ duzge chuyén 48 bit. + Khi mach may héng thi dia chi vat ly cding mat, + Trén quan diém ngudi thiét ké mang thi sé rat higu qua khi tang IP khéng lién quan gi véi cae ting dui. Nhur trén da n6i, tir dia chi mang e6 thé t&m durge dia chi vat ly. Céng vige tim kiém nay durge thyc hign boi giao thie ARP (Address Resolution Protocol), Neuyén tc lim vige cia ARP la duy tri mt bang ghi tuong ting dia chi IP - dia chi vat ly. Khi nhan duge dia chi IP, ARP sé diing bang nay dé tim ra dia chi vat ly. Néu khdng thay, GVHD: Tas Bia Thy Dusen SVT Va Van Con KS. Newodn Klkin Mme Noein Pan Phone, Le anh Quin ar 16 98 giri mot géi dit ligu, goi ld ARP request, chuim dja chi IP vio meng LAN. Néw may no nhiin ARP request va nbgin ra dja chi IP cia minh thi sé giti Igi mot goi dit ligu chita dia chi vat ly cia né. Dé hoat dong higy qui nhu trén, mdi may chi DNS(Domain Name Sever) liu tri mat co sé dir ligu gm eée ban ghi chira thong tin +Tén cia DNS cp cao hon + Dia chi IP + Bia chi dang chit twong tng Chi sé ciia bain ghi duge lay tir da chi IP twang ting, nd 46 tir dia chi IP 6 thé «8 dang tim ra dia chi chit 2.3.54, Protocol ID Chi ra giao thite cia ting giao van. Trén Intemet truting nay la TCP hoge UDP. 2.3.5.5. Port La mot s6 dac trmg cho mot chuong trinh chay trén Internet, Vi dy, chuong trinh ldy thu dign tir qua giao thitc IMAP e6 port=143, truyén file e6 port =21, v.v. 2.3.5.6. Username La tén ngudi dang ki sir dung chyong trinh. IP sir dung cdc thng tin diéu khi trong header cita géi dit ligu IP dé quyét dinh duémg di tigp theo ciia g6i nay. C6 rit nhiéu thong tin diéu khién nhumg 6 day chi xin phan tich ede théng tin quan trong. iu tién la dia chi dich. Néu dja chi dich tring véi dia chi cua router 46 thi g6i 4 ligu duge truyén trye tigp cho host B. Néu khong tring thi dir ligu s durge tru én router tigp theo trén dung di. Van dé a router nao duge chon. C6 2 kha ning dé Iya chon router, tie 1d 2 kha ning dé din dudmg: + Thi nhat la tuan theo mot céch nghiém ngat source routing, Dit ligu sé duoc truyén cho router tiép theo trong source routing. Nhung dit ligu chi duge truyén di khi router durge chon ¢6 trong bang cfc router c6 thé dén duoc ca router hign tai, bing khong s& sinh ra Ii + Thir hai 14 "quén di" source routing va tim dudmg méi ti dich. Router tiép theo durge chon dy trén sy tim dung nay. Thong thuong sy tim duvong dy trén thust xin Dijstra tim kiém theo chigu rong. Trén thyc té, cach nay dang duge sit dung rong rai va ¢6 thé tre thanh chun trong twemg lai Céc goi dit ligu IP throng c6 kich thude phu thude vio mang con, Céc mang ‘con khée nhau thi kich thuée goi IP cia chiing cing khic nhau. Vay gia sit mang A 1024 byte, mang B truyén duge géi dir ligu c6 kich thude lim nhat la 256 byte thi géi dir ligu tir mang A cé kich thugie 1024 byte qua mang B nhur thé ndo? Dé giai quyét vin dé nay, IP cung cp Kha ning, phan vi gom manh g6i dit ligu. Bay chinh la hie IP sit dung trang flags va offset ‘rong g6i dir lieu IP. Truémg flags thure chat l& ede e6 thong bio g6i dit ligu nay c6 bi phan manh hay khéng, tnidng offet chita gid tr} twong d0i cia géi con trong e6i to ban dau. Khi phn manh céc cb durge bat Ién, déng thir truong offset duige thiét lap tr), Dya vio cée di ligu trén, IP 6 thé dé ding gom manh g6i dtr ligu, hdi phye khéi «dy Tigu tr ban dau. 2.3.6. Dia chi IP Khi tham gia vao Internet cfc may tinh duge goi la Host va e6 mot dia ch iP GHD: Ths Bis Thy Dusen SVT Vaan Conk KS. Newodn Klkin Mme Noein Pan Phone, Le anh Quin 48, ding 48 nhdn dang duge goi 1 dia chi host (host address) hay dia chi may. + Dja chi IP:1a mét chudi bao gdm 4 sb (Octet), mdi Octet e6 8 bit trong durong 1 byte dduge tach bigt véi nhau bai dau cham (.). Nhu vay dia chi IP ¢6 a dai 32 bit (4 byte) ‘va mi byte ngui ta thung vist dudi dang thap phan véi gid tri trong tig tir 0 dén 255, Mot dia chi IP gom 2 phan: + Dia chi Host (Host address): HostlD + Dia chi mang (Network address): NetID cea mQt dia chi IP ma ¢ Octet viét - Phan lép dja chi IP: ngudi ta phan dia chi IP thinh 5 lép: A, B, C, D, E. Dya vao Class bit, nguidi ta 66 thé xa dinh duge lép cata mot dia chi TP nhur sau: np ae + Lép D: 1110 + Lop E: 1111 sm Losoersetirriiritiriiiiitiiiiiiid ae = = = re ee it ioe ret |S 28 » [iw maar oe e [am Reserved or futuro uso cee omnnes 266 Hinh 2.23. Phan tip dha chi IP ‘Thing tin chi tiét vé cde lap durge mé ta nh sau: GVHD: THs. Bua Thy Davo ‘SVT Va Van Conk KS. Ngan Kin ng Nuon Vn Phong Le Anh Quin 49 Byte dau | NetID | HostID | Sémang | S6 Host trén métmang 19126 | 1Byte 3Byte | 126 16,777214 2-2) 1283191 | 2Byte 2Byte | 16.384 65.534 2"-2) ale]>|F 192223 |3 Byte 1Byte | 2.097.152 |254(2*-2) + Lop A: C6 s6 mang it nhit nfumng lai e6 s6 Host nhigu nht thich hyp cho nhitng t6 chite lin e6 nhieu may tink. + Lép B: 6 sé mang va sé Host vita phai duge sir dung cho céc t6 chite &6 kich thuie trang binh + Lap C: c8 nhigu mang nhurng chi ¢6 254 host thich hgp cho t8 chire ¢6 kich thure nha, it may tinh, Vidu: ‘ia chi IP: 192.168.0.131 c6 byte dau tign la 192 nam trong khong tir 192 > 223 nén Network Information Center) va tai Vigt Nam la VNNIC (Vigt Nam Network Information Center). 2.3.7. Co sé gino thite va thidt ké lu dd dit liga. inh 2.24 Mé hin ching giao thie TCPAP. GVHD: THs. Bua Thy Davo ‘SVT Va Van Conk KS. Nguyen Klin ng Navoén Van Phong, Le Anh Quin 60 = Cy thé hoa va luu d6 dir ligu vio/ra eita giao thie ‘APPLICATIONS & SERVICES LAYER. ae ia Sana inh 2.28. Land Lig vrai gia thre TCPAP Nhu vay, phin lip trinh sé chia ra cée module sau: = Module digu khién Pie18£97)60: nim trong file “picl8197j60.c va file header “picl8(97j60.h", thém file “picl8f97}60conf.h” dé liu cae contig. = Module giao thie Ethernet: gém ee file: “ethemet.c” va “ethemet.h", thém file "packet.h" khai bao cae eau trie g6i tin sit dung trong b6 giao thire TCPAP. = Module giao thire phan gidi dia chi Address Resolution Protocol, gm file “arp.c” va “arp.h” = Module giao thite IP gom “ip.c” va“ = Module giao thite cp phit dia chi IP dong DHCP (Dynamic Host Configuration Protocol) gim ede file “dhep.c” va “dhep.h” = Module giao thie UDP gém cc file “udp.c” va “udp-h” - Module giao thiie TCP gdm cée file “tep.c” va “tep.h” = Module giao thie HTTP gm cée file “hitp.e" va “http.h" mot s6 cdc ham hé try khée (uart, timer...) Toan bd code viét trén phin mém MPLAB C18, 2.38. Lip trinh giao thire Ethernet. 2.3.8.1. Hogt ding cia TCP/AP ~ Dir ligu truyén tir img dung, dén mot trong 2 giao thire van chuyén (TCP hay UDP). M6t g6i tin hay don vi dit ligu (PDU) ctia TCP/UDP thuing duge goi 1a segment (doan dit ligu), = Doan dit ligu xuéng Idp Internet, o d6 giao thire IP cung cap théng tin danh dia chi Jud IY (dia chi IP) vi dong goi ddr ligu vao 1 datagram, thudmg duge goi la goi tin IP VIE Va Vn Conk GVHD: THS. Bua Thy Dusen KS. Nguyen Klin ng Nagusén Van Phong Le Anh Quin 61 (UP packet). Datagram 1P dén 1p try cdp mang (@ day Ia giao thie ethemet), va duge ong go iet8760) dé gud Khung dt i trén moi truimg mang. - G phia thu, qué trinh xay ra nguge lai, tang vat ly sé nhan 1ud frame di lign, giao thite ethernet phia nhan sé xir ly frame dit ligu nay, tach ra goi tin IP (IP packet) va day lén giao thire IP néu day la g6i IP. Con trong truong hop bén trong frame ethemet khOng phai li 1 g6i IP ma la 1 g6i tin cia giao thire ARP thi n6 s® day g6i nay sang cho giao thite ARP xt ly (xem lai hinh minh hga leu 46 dir ligu gitra ‘cde giao thie), - Tai ting giao thitc IP, a6i IP s& duge xit ly, xée dinh xem dir ligu chira bén trong Li cia giao thire néo (TCP, UDP, hay ICPM) va chuyén dén giao thire trong img dé xi ly Liép theo, Cuéi ciing, giao thire ké (TCP, UDP, hay ICMP) sé xit ly tiép segment dit ligu nhan duge, xe dinh xem dir Tigu nay la ctia img dung nao (vi dy nhu HTTP hay DHCP...) va chuyén dit ligu dén img dung twong ting Triée hét, ta can nim 13 edu tric cia tit ca cde gdi tin ca mdi giao thite dé ¢6. thé xi ly duroe thong tin chita trong n6. 2.3.8.2, Ciu trite mét Frame Ethernet HERNI cku pRUC FRAME E' 802.4 Frame Hinh 2.26, Cu rie I frame Ethernet Nhu vay | frame cthemet bit diu bing céc byte Preamble dé dang b@ va | byte Start of Frame dé xéc dinh dau frame (phan nay sé duge Pic18197)60 tur dong Iroc bo, Khong cin quan tim). Tigp theo 1a dia chi MAC ciia host nhén (destination address), dia chi MAC cia host guti (source address), mdi dia chi MAC nay gém 6 byte. Ké dén 18 2 byte length (cho biét chiéu dai) hode type (cho biét dit ligu chira trong frame la loai dit ligu cua giao thite lép trén ndo). Ké dén 1a dit ligu, Cudi cing 1a phan kiém tra i (FCS), phan nay eiing duge Pic 18/97j60 xit Trong ching giao thire TCP/IP, giao thie ethernet ding vai tr lip truy nhap va truyén din. Vige giri vi nhan dit ligu 6 Iép cthernet due thye hign dura vao dia chi vat ly hay con goi la dia chi MAC. Trong mdi frame ethemet déu chira 2 dia chi MAC: mét dia chi ciia host giti va GVHD: THS. Bis Thy Dison ‘SVT Va Van Can KS. Ngan Kin ng Nuon Vn Phong Le Anh Quin 52 1 dia chi ctia host nha, Khi lop Ethernet nhdn duge 1 frame dit ligu, trade hét né sé kiém tra dia chi host nhan xem ¢6 phai 1a dia chi ciia né khOng (tite 1a giti cho n6), néu ding né s& nhan frame nay va chuyén dén l6p IP. Ngoai ra con c6 | trang hgp nita lop ethernet sé nhan frame: dé 1a néu dia chi host nhan la dia chi broadcast (tie la giti cho tit ca moi may trong mang LAN), trong truomg hop nay frame sé durge nhén va xi. Ngoai vige kiém tra dia chi, trong frame ethemet cdn c6 | truémg chita ma kiém ‘ra 18i gitip phat hign nhimng Idi xay ra trong qua trinh truyén, ede frame bj xée dinh la 6 I6i sé bi b6 qua Trong thiét bi cita chiing ta, vige kiém tra Idi va kiém tra dia duge thye hign tw ong bai IC Pic 8f97}60, do dé ta khdng can lap trinh cho cae chire nang nay, Mdi Khi nhan duge 1 frame trén dung. truyén, Pict8/97j60 se kiém tra 16i xem c6 sai sét khéng, tigp dé nd sé ddi chiéu dia chi host nhén véi dia chi di duge cau hinh cho né (trong cée thanh ghi dia chi MAC). GVHD: Tas Bia Thy Dusen SVT Va Van Con KS. Newodn Klkin Mme Noein Pan Phone, Le anh Quin 83 CHUONG 3 - THIET KE MACH DIEU KHIEN - GIAM SAT THIET BI TU XA 3.1. So dd khdi cia hg théng. Khéi Role ‘va thietbi tai C8i cinh bio Hin 31, Sa dé kb cia tid bi dé Kd trung rd GVHD: THs. Bua Thy Davo ‘SVT Va Van Conk KS. Nguyen Klin ng Navoén Van Phong, Le anh Quin 54 3.2, So dé nguyén ly cia cde khdi trong mach digu khién 3.1.1. Khoi CPU PIC 18F9760 Hinks 3.1. Bhi CPU PICISE97I60 = CPU lim vige & mite dign ap 3.3V duge cép tir chin Vee. ~ Khi cung cap ngudn cho chip, lic nay chip sé kim viée. : = Dau tién sé khii tao TCPIP Stack ciia khOi Ethernet, Va cher dir ligu ti dé xir Wy. ~ Doc cae dir ligu tir ede khoi khac két noi voi CPU nhu: DS18B20. DS1307, va cite trang thai cia cim bién, = Xuat tin higu lén LCD, lén web service, ~ _Xirly ede tin higu trong qua trinh lim vige. GVHD: THs. Bua Thy Davo ‘SVT Va Van Conk KS. Nguyen Klin ng Navoén Van Phong, Le Anh Quin 3.1.2. Khoi Ethernet Hink 3.2. Khoi truyén thing giao tiép Ethernet Trong VK PIC 18F9760 c6 1 khéi Ethemet o6 kha nang két ndi true tiép vai Internet qua giao thite TCP/IP thong qua céng RI4S. ‘Naudn cung efp cho khéi Ethemet 1a 3.3V. 3.13. Khoi LCD 2 LODI6x2 Hinh 3.3. Kh giao siép man hinhhién hj LCD. = Nguén nudi cho LCD la SV. = Ket noi true tiép vai vei CPU. ~ Hign thi di igu cua CPU khi lim vige véi DS1307 va DS18B20. GVHD: THs. Bua Thy Davo ‘SVT Va Van Conk KS. Nguyen Klin ng Nagusén Van Phong Le Anh Quin 3.1.4, Khéi tao nguén 3.3V bel EMIITMPS.3 Hinl 3.4 Kin tao mgd mudi 63.37 ~ ign dp cung cp cho mach li SV. On dip LMII7MP-3.3 6 nhigm vu chuyén dign ap SV nay ve 3.3V dé cung cép cho CPU va cdc khéi khac sir dung ngudn 3.3V, 3.1.5. Khdi thdi gian thye Hin 35 1 thi gia dae = DS1307 1a IC chita thai gian thy. = Nauén nudi véi dign ap 5V va 06 1 b6 tao giao dong néi. 3.1.6. Ty Ige Oo Hinh 36. Teloe - Cé tac dung lgc phing dign ap. = C62 mite dign 4p cin lye 14 3.3V va SV. GHD: TIS Ban TH De ite Va Van Conk KS Nad Klin Hag Narn Van Phong Le anh Quin 87 3.1.7. Khoi két ndi mach nap ISP_TARGE1 Hinh 3.7. Kér nd mach nap = Nguén cung cdp cho khdi mach nap li 3.3V ~ Khdi mgch nap e6 nhigm vy két n6i CPU voi mach nap PIC trong qua trinh nap phan mém diéu khién. 3.1.8. Khoi cdim bién nhigt d 1 psisn20 Fink 3.8. Cm bién nie = DS18B20 14 IC cdm bién nhiét dd. = Giao tiép voi CPU qua giao thite 1 day. + Nguén cung cp 1a 3.3V 3.1.9. Khéi cam bién anh sing SSnuome Hinh 3.9. Khéi cam bién nh sing ‘Cuong dé anh sang thay doi dan ti dign ap 6 3 thay ddi. M3933 c6 téc dung so sinh dign ap tai hai diém 2 va 3, GVHD: THs. Bua Thy Davo KS. Nguyen Klin ng ‘SVT Va Van Conk Nagusén Van Phong Le Anh Quin ~ Khi mie dign dp 6 3>2 hie d6 6 out s@ c6 dign p ra. = Khi mite dign 4p 3<2 ite d6 6 out s& e6 mite dign dp 0. 3.1.10. Kh6i mo rng Ps i AINI_our A DIN A DIN a1. Header 4 Hinh 3.10. Kéenéi cd bién = C6 cc chin mo rong dé thém ede ting dung hode chinh stta khi can thiét = Trong mach c6 1 chan két néi vi du ra cia khdi cam bién anh sing dé digu khién den, 3.1.11. Khéi mé phéng céc cam bién sw —— swe, ows swa, {ns TOR inh 3.11. Mo phong edie cim bién iit bam mé phéng cho cic céim bién. ~ Ngudn cung, 3.1.12. Mach Reset Hinh 3.12 Mach reser ‘SVT Va Van Conk Nagusén Van Phong Le Anh Quin GVHD: THs. Bua Thy Davo KS. Newin Kin Hung - Tao tin higu reset cho PIC. = Khi cp nguén PIC ty dng reset va e6 dén LED bio higu. 3.1.13. Khéi tao dao dong 25M x1 2 12 ray C16 C17 Tr. 33pF GND Hin 3.13 B t00 dao ding Tao ra tin s6 dao dng 25Mhz cung ep cho PIC. 3.1.14. Khdi Transistor kich dong va rote Lr at ‘ um Sty Hinh 3.14 Transistor kich dmg va vote GVHD: THs. Bua Thy Davo KS. Nguyen Klin ng ‘SVT Va Van Conk Nagusén Van Phong Le Anh Quin 60 C6 3 role dé diéu khién cdc tai sit dung mite dign dp cao hon. Transistor ding dé khuéch dai dign 4p 3.3V tir eée chan I/O [én mite SV cung cip cho cugin hit cia role, diéu khién qua trinh déng eit rote, 3.1.15. Chuéng cainh bio L# - Buzz, Hinh 3.18 Ching bio hit Lam vige v6i nguén Ia 5V. Khi e6 cae tin higu canh béo xuat ra cée VO. 6 mite dign Ap 3.5V qua Transistor duge khuéch dai lén SV. 3.1.16. Led bao higu ED mm. ED ED Roy pas Fas kar 0 0 8 0 ry os 6 o w ues Paces Pc Ls oo oo ao oo Hink 3.16, Led bio higu Céie dén LED bao higu o khdi reset va khdi role ‘SVT Va Van Conk Nagusén Van Phong GVHD: THs. Bua Thy Davo KS. Newin Kin Hung Le anh Quin pny np yo 34 Bupa Op 2g LTE WEE Ug NaI Yoeut ayy Bug) S| usNBU op og “Ze 62 3.3, MOt s6 hinh anh cia phan cing, DATHQC DIEN LUC anos cone nce rv nove Es DO AN TOT NGHIEP Dieu Khién Thiét Bi Tir Xa Gyn: Tis. nor ri DUYEN KS. NGUYEN KHANH HUNG| Hinh 3.19. Panel mo phong GVHD: TiS. Bui Th Dusén SVTH: Va Vin Con KS. Newdn Khan Hume Nand Vin Phong Le Anh Quin 68 CHUONG 4 - PHAN MEM 4.1, Luru dd thugt toan cia hé thong Gui du lieu den ‘may tinh (Client) ier ta trang tha ul trang at kot noi cac cam bien may Dua ra tin hiew ‘canh bao: loa/ coilden. Go lenh dieu khien ta ‘may tinh (Client) ? Dieu khien cac dau ra (Output_brd), dongicat ‘co cau chap hanh (den, ‘quat...) tuong ung Hin 4.1. Liu di thud tod he ting GVHD: TiS. Bui Th Dusén SVTH: Va Vin Con KS. Newdn Khan Hume Nand Vin Phong Le Anh Quin 64 4.2, Gino dign trén Web diéu khi Do an tot nghiép Diéu khién thiét bi Nhiét d6:19°¢ Out} bin | Tense | Trang thai cam bién e e e venti: @ visa: © wav ga: © ense: “Hinh 4.2. Gia didn Web did Khién 4.3. Phin mém (code) Seine THIS 1S STACK. APPLICATION rca lt header for ay enabled TCPIP Sack ations ‘incl "TCPIPStck/TCPIP." rca fasions specific ths stack app ication etade "Maine inlade"ds4820.47 irclade "89 ds 307 pagina cont WDT-OFF, FOSC2-ON, FOSC-HSPLL, ETHLED-ON, XINST-OFF cexternunsignedehar cmp ‘extern BYTE LCDTen 16°21] 1 Declare AppConfgstractare and some cher supporting stack variables APP. CONFIG AppConfis BYTE ANOStringt} se UART? stead of UART or stdout pre fanction). Explorer 16 / serial port banda son PIC UART? module GVHD: TiS. Bui Th Dusén SVTH: Va Vin Con KS. Newdn Khan Hume Nand Vin Phong Le Anh Quin 65 if deind(EXPLORER_16) ft C30. UART= 25 seni Prete lpr fonctions. 1 These mayor may not be present nal applications ‘ates IniAppConig(0ds statevid itazeBoard vol) statevld Proceso {1 PICIS Inara Serie Rois \YNOTE: Several PICs inlding the PICISF4620 resiion 43 have a RETEIE FAST MOVEF bug / The interrgtow keywords ed to work around he bg who asin C18 ‘iF defned_18CXX) ‘8 dtiea_TECH_) voidinterrapt lw pionty LowISK(vokd) ‘ele propa itrrptow LowISR veld LowISRivold) sent ‘ Tika , ‘if defined TECH C) ‘voidintre opt HighiSRivekd) seh pragra iterrptow HighISR void HighiSR(vald enki ‘i defined STACK_USE_UARTSTCP BRIDGE) UUARTOTCPEgeISRO, eit ‘i em 70_C 2aBinISR0; ent 20.8 TRIS srs) ‘ternal TECH_O) papa code le Vestor=tn18 ‘eid Low\ectorva) asm goto LowlSR ends) ‘pragma code igh eto-OMS ‘void High¥ectorvid){ asm goto HighISR_ eas) aga coe Return defi ce ston seat GVHD: TAS. Bid TH Dusen SVTH: Va Vn Con KS. Nunn Kin ng Nevada Van Phang, Le Anh Quin 66 YC ond C32 Exception Handlers 1 fvou code wet re, su ithe edt read or write ‘a NULL poe, or your applicetonavesfowed the sack “7 having too many focal vorabes or parameters declared. elif dotieel_C50_) void ISR _snhate_((_o0_asto pow_))_Adestte(id) Now: Noro: void ISR _aertate_((_ no ast pov_))_Stoktmonveld) t Noro: Now: , eit deinee(_C32_) void _sonecal_ctccton banle(unsgned ease, unsigned sts) Neos Now , seni 17 Mai pplication eney por ifdeied( 182%) ‘void mairvold) che in riod) seni ( static DWORD to: state DWORD dwLaalP = 0, osignedehar unsigned ade value= 0 asignedehar cout =U; ‘nsignedint =o Witz application specie hardware WitatzeBoae: Walz stacker hardware compet that maybe V rue by the UART configuration rowines Till ‘if dtinedlSTACK_USE_ MPF} | detned(STACK_USE MPFS2) MPFSInit) eat GVHD: TAS. Bid TH Dusen SVTH: Va Vn Con KS. Nunn Kin ng Nevada Van Phang, Le Anh Quin or Walz Stas and ppcatin rele NV varies no AppCon InicappCont Wniatze cone stk kayers (MAC. ARP, TCP. UDP) and Y aplicaion mocks (HTTP, SKMP, te) Stack) Wnatze any ppicaton spciicmodles 0 fanctons! (1 For this deme aplication, ths nly inl the WUART2 TCP Bridge if define dSTACK_USE_UARTITCP_BRIDGE) UARTETCRBridgctit); send LepTexto~ Lepr] Lcprext2] =": Leprew{s}=D" Lepten(a]= LepText(s}=" LeDrext6) = 7 LeD Text?) ~ 8: Leprexis)="s Leprex(9]=7: Leprew(i0)~%s; LepText{t}= Leprexfi2] -¥ Leprex{i3) =" Wow that all tos are italic, bein the cooperative sluastng loop. Tis nn lop il coninaoasy Y excuse stack rele tasks, well as our ove application’ fonctions. Custom functions shouldbe cod iat the end of hs oop ote tha is "aroprative malas” mechani, where every task efor tas eter al in one St Wer par ofan rears 9 that ter tack cam their Mf tesk mous vor Tong ime o do tj, mst bo broken Y dow ino smaller pices ha thr tascam have CPU time ‘whet ‘Mq(PORTHs RH) | /PORTHDGRHS) fi LATObIS LATO! {Bl LEDO (ight mst ne) eer seco MeTiekGea) -1>= TICK SECOND 2) fi GVHD: TAS. Bid TH Dusen SVTH: Va Vn Con KS. Nunn Kin ng Nevada Van Phang, Le Anh Quin 6 c= Teka ‘emp ahve = ReafTemperstir s=DSI807_geqone2), sed eos Levens] = 1040350; LeDTexf7}=546100530, Leptexfis) =" S=DSIS07 geOx01), sted cars Leprex{i9] =s16+0330 LeDres20]=5%610-0630, LepTexf21] =" = DSIBIT_gettn08 rd Leprenf22] =w 16-030 Leptes23] 46100830, 5" DSI307 geno stad ewe, LepTenf25) =" 16+0x30 LeDTex24] = #6100850, Leptexf27] =" Letext2s) 7 Lepext29] LeDTese30] = tempVaha'0 +0230; LeD Tess] = tempat 10 030 Lepupdseos tmpValue> $0) ‘ , ote LATGb LATED =0; PORTAHS RAD) BTN2 10 Tha eak perfor moral ack ak icing checking {for msoning packet. yp of packet and alin, /epororite ick emit to proces it GVHD: TAS. Bid TH Dusen SVTH: Va Vn Con KS. Nunn Kin ng Nevada Van Phang, Le Anh Quin 6 Suck Task 1 Ths tasks Invoke ach ofthe core tack applcton tasks Sack pliant) 1 Process pplication specifi task hor (For this dem app, thi include the Gonere TCP 1 ele omd servers andthe SYMP, Ping, SNMP Trp Y dems. Fallot il proces any 10 fees the ngs om te board elf {Any casiom modes processing yon need od shoud a hoe ‘i etined{STACK_USE_GENERIC_TCP_CLIENT_ EXAMPLE) Generic TCPCiiow ent ‘dei’ STACK_USE_GENERIC_TCP_SERVER_FXAMPLE) (Gener TCPServe tent ‘8 dened STACK USE SMITP_CLIENT) SMTPDene0 set ‘i SeGne{STACK_USE_ICMP_CLIENT) PingDomot: eit ‘i defined(STACK_USE_SNMP_SERVER) 8 'efied(SNMP_TRAP DISABLED) SNMPTrapDem) MySentTapFag) SSNMPSendTrsp) vente ‘8 dened STACK_USE_BERKELEY APD) Berkeley TCPCtieDemo0 Berkeley TCPSenerDem) Berkeley DPCtierDema enti Procesl00) {if he lacal Padres as changed (x: de to DHCP eas change) ‘wpe the new IP adress othe LED display UART, and Announce ‘MjgoLalP t= AppCoatig MPA Val) t Av LslP = AppCoaig MylPAAde Va widened STACK_USE_UART) GVHD: TAS. Bid TH Dusen SVTH: Va Vn Con KS. Nunn Kin ng Nevada Van Phang, Le Anh Quin 70 pursUART((ROM char*y"WaNow IP Ades: end DispnyIPValucAppConig MIP); ‘Hf dfied(STACK_USE.UART) narsUART(ROM char)" seni if defined(STACK_USE_ANNOUNCE) AmrounceP0: rent , ) (Writes an 1 des tthe LCD dply and he UART a vaobi ‘oid DisplayPVaie(P ADDR IVa) t 1 pria a. aa", IPF af TPF af PY 2 Pa, BYTE Digi]: BYTE: ger US BYTE BYTE LCDPos“16; endif “1c for(i= 0:4 seofIP_ADDRY: i) t sitoo((NORDYPVal sf}, IPD gis ‘it Sofie STACK_USE_UART) asUARTUPDiai seit femueruse_Lep fon ~0j soot ADDR)1) break Leprexf{LDPst+] else i= siesotP_ADDR)1) Drea seit (dene STACK_USE_UART) GVHD: TAS. Bid TH Dusen SVTH: Va Vn Con KS. Nunn Kin ng Nevada Van Phang, Le Anh Quin n whe BasyUARTO}: WriteUARTE sentit ‘Hf8eFUSE_LeD HRLCDPos < 320) LeDTexfLEDPes|~0: LeDupaaet: seat 1 Processes A/D data from the potentiometer statevld Procss104vold) iF defined(_C30_) | defved_C32_) {1 Comer poertamer resi ASCH sting ‘utoa(WORD)ADCISUFO, ANOSWag) eke {WAND shold area be se pas an analog inn ADCONOhis,GO = 1; (1a ont 1D conversions oe ‘whle(ADCONDH:s GO: {VAD comertarerata work around (ee: PICISES7H10.42) Yi dfined(_16F87IS0) defied 18F87ISD) Se Heine ISFN7I11) i tefned_1SPSTI11) PRODL = ADCON?, |NDCON2 |= OT / Select Fre mae by sting ADCSWADCSTADCS? ADCON? = PRODI; sent Comer 10-4 sue ao ASCH ering sito(*(WORD*&ADRESL), ANOSKrng: seat } Faction ai oi Iii Bolo) eserption ‘Dis roine biz the hardware. It 1 gener itasation rons formas of the Microchip development hoards, sing nitions IntlanhareProfileh to deormine sociation Precondition: Nome GVHD: TAS. Bid TH Dusen SVTH: Va Vn Con KS. Nunn Kin ng Nevada Van Phang, Le Anh Quin 2 Paraces None = None Retwrns: Remarks Nowe stated Ita zeordvetd) i eined_18OXX) Enable 45M PLL om PICISESTEN0, PICISP9TUGO,PICISERTIS, ee OSCTUNE = Ot, BINLTRIS~0, BTN2_TRIS=0; BINL 10-0; BIN2 10-0, BINS10-9, ‘TRIS TRISH = 1; ‘TRISH TRISH 1 ‘TRISH TRISH ~ 1 TRISGbits TRISGE =; A nalze and ply the stack version onthe LCD LeDlaii, Delaya(100% Enable inert PORTE pulps INTCON2His RBPU= 0, WConfiure USART TXSTA= 0920; RESTA 0:90 1 See wecan ws the Mh al ae sting ‘81 (GetPerpheaiClok()+2°BAUD_RATE)BAUD_RATEI4- 1) <= 255 'SPBRG= (GePeiphealClock+2°BAUD_RATE)BAUD RATES: TXSTAbis BRGH ~ 1 ele // Us th fv aed ate sting 'SPBRG~ (GePeiphealClock+8°BAUD_RATEVBAUD_RATE/G- eal GVHD: TAS. Bid TH Dusen SVTH: Va Vn Con KS. Nunn Kin ng Nevada Van Phang, Le Anh Quin 3 Evatt ters ROONits PEN INTCONDtsGIEH = 1 INTCONDis GIEL =| send 1 Babe intern prints {iF defined SPIRAM CS. TRIS) SPIRAMINO) end ‘if defind(EEPROMLCS_TRIS) XE) sent i eind(SPIFLASH_C8_TRIS) PIF sent ADCON! = 0 ae nt: Y—DS1307 stim 23038); 1 DS1307 sede 05,60, 013) Function: void clppConfgoss + PreGondton: MPESI) I alread cated Inputs Nome *Oupnt: eRe ono conf varies Side fet None “Overview! Nome /MAC Adress Serialization wing a MPLAB PMG Programe ane 1 Srkalied Quick Tare Programming (SQTP). {The ava of win SOTP for programming the MAC Address iit ‘ellos you to cadrincrement he MAC es without recompiling 1 the cod for eae anit. To wse SOTP the MAC adres mast he fied ‘et asf locaton program memory. Uncomment tes v0 pragma {tha lcate the MAC adress af MIFFFD, Sta below for MPLAB C Contr fr PICIS MCUs, Sta wl vary for eber solr Pipa romdata MICROM Uc FERO Matic ROM BYTE Seilizcd MACAes{6]= (MY_DEFAULT MAC BYTEI, MY DEFAULT MAC_BYTE2, MY-DEFAULT MAC_BY TES, MY_DEFAULT- MAC. AYTES, MY-DEFAULT_MAC.AYTES, MY DEFAULT MAC BYTE6}: Pipa romdata GVHD: TAS. Bid TH Dusen SVTH: Va Vn Con KS. Nunn Kin ng Nevada Van Phang, Le Anh Quin 14 statevldInippCong(old) _ApyConig Flags sDHCPEbled = TRUE, _AppConfig Flags nConfigMode = TRUE: -nemopypgmdran ld”) AppContig My MAC Ad, (ROM voll Sorialzcd MAC Ades, start Apeconfa MYMAC ALA). (prog aires? MAC eres W MACAddeasAddees nest = Ox 5TFR memcpy 2420 har") spp Cong MyMAC Ar, MAC Adres Address, secs AppConle My MACAAL): _ApyConig MyIPAdde Val -MY DEFAULT IP ADDR BYTEL |MY DEFAULT IP ADDR_BYTE2~-ul | Mv! DEFAULT IP_ADDR_BYTE}<<(6ul| MY_DEFAULT.IP-ADDR_BYTE4=<2h _AppConig DefilIPAr Val = AppConfig MyIPAd ak _AppConig MyMask Val = MY_DEFAULT MASK BYTEI | MY DEFAULT MASK. BYTE2~ siotieRendCommunies se ReoeC munities!) sComminity Bear we dot fr veo. Uy code go tk here, {Vitmcons your SNMP COMMUNITY MAX LEN definition in TCPIPConfigh 14 ether too sal one of ou commis ents {1 GXMP._READ_ COMMUNITIES are to large, Ps eer saclenppnistComenaniy) >= sac ApConigreaConmmunty 0) wie 1 Copy srg ink App Config strpypemdranehar®)AppConig eadCommunini, Communit GVHD: TAS. Bid TH Dusen SVTH: Va Vn Con KS. Nunn Kin ng Nevada Van Phang, Le Anh Quin 15 Get ape to the net comma tl Community = eWriteCommit Ri>= soot WriteCommaniesysbooftOWriteCommuites|0) seCommniy 1 Broo e dot bor ovo: Ifyou cade gos rk ere, ‘Vitncons your SNMP COMMUNITY MAX LEN definition in TCPIPConfigh {Vi ther too sal or one of our community sing ents {/SNMP_WRITE_CONMUNITIES are 0 large. Fi eter ‘Mtrlenpg(seCommuniy) >= seef(ApaConti wteCommuniy{O) wie 1 Copy srg ink App Config stecpypam2rangichar?)AppConigwrtsCommuniy(, Communi) , sent baad th defeat NetBIOS Hest Name nemspypamdran\AppConfg NeBIOSNane, (ROM void4MY_ DEFAULT HOST NAME, 16), FoxmatNetBIOSNars{AppConfig NetBIOSName) ‘at dtiesk20.CS.TRIS) ‘Load deft SSID Name |W (saeoMY_DEFAULT.SSID_NAME) “sea AppConfig MSSSID) fi _2Grrsllander(ROM char *)’AppConfig MSSSIDI] oo sal"): ) nemcpyrgm2ran¢AppConie MySSID, (ROM vold*)MY_DEFAULT_$SID_NAME, smeof(htY DEFAULT. SSID NAME} eal ‘Hf dfied(EEPROMCS_TRIS) BYTES {Whar arscr is sve fs bytes write as x6 to indcate (that oval record was saved. Note that ods stack versions ‘uscd 0:57. Ths chong has been made to so old EEPROM contens ‘ul gt overaiten. The AypConfad severe has been change rena in pararsterwsaligrnen fl sig old EEPROM XERendAray(0x000,&, 1: 0x60.) -XEERcoéArray(Ox000, (BYTE*)&AppContig, slecofApxCenfie): Me che SweAnpConie , ‘ei defies(SPIFLASH_CS_TRIS) GVHD: TAS. Bid TH Dusen SVTH: Va Vn Con KS. Nunn Kin ng Nevada Van Phang, Le Anh Quin 76 SPIFashReadAray( 030000, &¢, 1: 03600) ‘SPIFlasheadAay(0x0001,(BYTE*SAConT, sro Aponte): Me SwesppConie)s seat ‘si cfinsKEEPROM CS_TRIS) | etnetSPIFLASH_CS_TRIS) void SiveAppConfgtvok) Brea adele space has bon ered inno soa storage to /store teenie AppConfg tract. If owe stuck his while “rap, tame you havea desgn tine misconfgaraion bn TCPIPConfi Yow must nerease MPFS_RESERVE BLOCK w allocate more space ‘if dtinedlSTACK_USE_MPFS) | defned(STACK_ USE. MPFS2) set AppConfg) > MPFS RESERVE BLOCK) whit eali ‘Af defied EEPROM CS_TRIS) XEeginWrite(0x0000); XEEWrie(0) XBEWeiteAmay(BVTE sole SPIFashBsinWrite(00000) SPIFash Write SPIFlshWrteAray(BYTE*)® AppConfig, star AppCon) sent sy &AppContg, aso ApCong): seni GVHD: TAS. Bid TH Dusen KS. Nunn Kin ng SVTH: Va Vn Con Nevada Van Phang, Le Anh Quin 7 KET LUAN VA PHUONG HUONG PHAT TRIEN Két qua nghién ciru ciia dé tai e6 thé dp dung trong vige giém sit, ednh bio va didu khign cdc thiét bj tir xa tai: Céc xudng sin xudt, gara tu dong, cde tram xing dit, nha thong minh, cénh béo trém, enh bio chay, ede mit giao thing, dén durimg, nha tré online, gian hang ty déng gidm sit, nha may san xuat, kho chita vit khi, trang thigt bi trong quan 49}, ‘Nhu vay d3 tai da gidip ich rdt lim trong cuge sng hing ngiy gidp cée tda nha ‘rg nén thong minh, tiét kigm nang lugng, dé ding quan Ii ede thiet bj trong gia dinh Khi di xa. Trén co s6 ciia dé tai, ching ta c6 thé phat trién, nang cap thanh diéu khién ‘gidm sit nhieu thiét bj tir xa qua wifi hoje tai bét ki noi dau cé mang intemet ADSL, ‘mang 3G, thai tri digu khign qua dign thoai théng minh, GVHD: THS. Bi Thi Dusen SVTH: Va Vin Cin KS. Nunn Kin ng Nevada Van Phang, Le Anh Quin 78 TAL LIEU THAM KHAO nha xudt ban khoa hoc kP [1] Hoang Minh Son, “Mang truyén thing céng nghié; ‘thuat, 2005. [2] Ngo Dién Tap. Vi Xir Ly Trong Do Luong Va Dieu Khign. nha xuat ban khoa hoc ky thuat, 10/08/2004 (C&e tai ligu ly trén Internet. 1, Nghién ettu phat trién hé thong do va diéu khién nhuing trén co so mang Ethernet va ao thite TCP/IP. (Phan Minh Tan, Va S9 Thang, Pham Ngoc Minh, Pham Throng Cat). 2. Embedded C programming and The Microchip PIC (Richard Bamett, Larry O’cull, Sarah Cox). 3. The Microchip TCP/IP Stack (Nilesh Rajbharti - Microchip Technology Inc.) 4. PICI8F97160 Family Data Sheet Mot s6 trang web: |. http:/dientuvietnam.net 2. hitp://pievieam.com 3. http://www. tailieuvietnam.net 4. htip://www.mierachip.com GVHD: THS. Bi Thi Dusen SVTH: Va Vin Cin KS. Nunn Kin ng Nguén Van Phong Le Anh Quin

You might also like