Download as pdf
Download as pdf
You are on page 1of 94
[RN NR TTT] no bal balealealealealea|ea|ealealealealeales|ea~] Ludén van Ung dung AVR lép trinh diéu khién dong co dién mot chiéu {CC TTI i LOI NOI DAU. Trong moi théi dai, dae biét Ia thoi dai kinh té tri thite ngay nay, lao dong chin tay dang dan duge thay thé bing cdc thiét bi may méc tién tién, hién dai. Dé c6 duge céc thiét bi én thi di ngu tri thite chin i lye hrong ning edt, sing tao va tré thanh ngudn lye dac biét quan trong trong chién Iwoc phat trién, tao nén sire manh cia mdi quéc gia. Vi con ngudi, véi tat cd nhiing nang lye sang tao va pham chat tich cyc cla minh sé tro thanh d6ng lye phat trién cho céng cudc céng nghiép hoa hign dai hoa. Déi véi mGt quéc gia néi cung va nude ta néi ring thi nhimg nghanh déng vai tro then chét cia nén kinh té nude ta la: Dign, Than, Dau Khi,..va nganh cng nghiép tu déng héa khéng nim ngoai chién luge phat trién kinh t8. Céng nghigp ty dong hoa cdc nganh nghé, déng thdi gop phan thic day qua trinh céng nghiép héa, hién dai hoa dat nude, xy dung co sérha tang phyc vu dan sinh, Dé nang cao chat long san phim, sé long san phim cing nhu hé trg cho con ngudi nhimg cong vige phite tap, nganh céng nghigp ty dng héa da ra déi va mang lai nhitng higu qua rit cao dap tng hoan toan nhimg yéu ciu dé ciia con nguéi Ty dng héa 1a m6t tinh vee da duge hinh thinh va phat trién rng lon twén pham vi toan thé gigi, né dem lai mt phan khéng nhé cho vigc tao ra cdc san pham co chat lugng va 46 phitc tap cao phuc vu nhu cau thiét yéu cho cudc séng. O nw inh vue ty dong héa da duge Ding va nha nuéc quan tim va dau tu rt lén, cling véi cdc linh vyc céng nghigp chuyén dich nén kinh té theo dinh huéng céng nghiép hoa hin dai héa dat nude. ta N6i t6i ty déng héa ngay nay kh6ng thé khéng nhée t6i cae thiét bj cé didu khién lap trinh, trong dé PLC, AVR, PIC, 8051... 14 mét trong nhing thiét bi cé digu khién lap trinh va duge sit dung rng rai. Ung dung AVR lap trinh diéu khién dong co dign m6t chiéu. Trong dé tai nay em sit dung vi diéu khién ATmega32 véi nhimg tinh nang wu viét va durge sir dung rong rai trong céng nghiép va nghién ctru khoa hoc. Do 46 dya trén khung chuong trinh cla B6 gido duc dio tao, khi xay dung truong trinh dao tao Trwéng Dai hoc Sao Dé da chii trong théi gian cho HSSV hoc tap, sit dung thiét bj, 43 ding day hoc, nghién ciru thye nghiém tai céc phong thi nghiém, Nhé vay trong qué trinh hoc tap, HSSV duge van dung kién thirc, kf nang va kha nang céng nghé dam bao tinh logic khoa hoc. Mat khde, vie nghién eu, thre nghigm tai cdc phong thi nghiép giip cho HSSV cé tam tri phan khéi dé phat huy tinh sang tao, tim tdi trong qué trinh hoc tap g6p phan nang cao chat lugng dao tao. Em van luén tin tng rang véi lugng kién thite da hoe duge trong trudng, cling vi sur hudng dan nhiGt tinh tin tay v6 cing cing quy béu ma khéng thé thiéu durge cita thay giéo huéng din: Nguyén Van Trung cig cdc thay 6 gido kha va céc ban bé, em sé sém hoan thanh dé 4n mét cdc t6t nhat, ding voi tién 46 va thoi gian quy inh, Trong qué trinh lam dé an tét nghigp, ban dé an cia em khong tranh khoi duoc sai s6t, nén em rat mong duge sy déng gop y kién cia cac thay c6 gido va cdc ban dé ban dé an ciia em duge hoan thign hon, Em xin chan thanh cam on sy chi bao an can ciia cdc thay 6 gido va toan thé cdc ban gianh cho em, trong théi gian hoc tap tai truéng va dac biét 1a trong qua trinh lam 46 an tot nghiép. M@t lan nita em xin chan thanh cém on! Va em xin duge chic cae thay c6 gido cing cac ban luén luén cé di site khée, hoan thanh tét moi nhigm vy dug giao, gap nhiéu niém vui trong cudc séng. Chi Linh, ngay 02 thang 11 nam 2012 Newoi thye hién Pham Danh Binh CHUONG 1: TONG QUAN VE CAC THIET BI DIEU CHiNH. 1.1. Vi didu khién AVR. LLL. Gidi thigu vé AVR. AVR Ii ho Vi digu khién kha méi trén thj trrdng cing nhur d6i véi ngudi sit dyng. Day 1a ho vi diéu khién duge ché tao theo kién tric RISC (Reduced Instruction Set Computer) c6 cau tric kha phite tap. Ngoai cdc tinh ning nhu cdc ho vi diéu khién khac, né cén tich hop nhiéu tinh nang méi rat tién loi cho ngudi thiét ké va lap trinh. Sv ra ddi cha AVR bat nguén tir yéu cau thyc té 1a hau hét khi can lap trinh cho vi diéu khién, thuéng ding nhimg ngén ngit bac cao HLL (Hight Level Language) dé ip trinh ngay ca véi loai chip xir li 8 bit. Tuy nbién khi bién dich thi kich thude doan ma sé ting nhiéu so véi ding ngén ngit Assembly. Hang Atmel nhan thay ring cdn phai phat trién mét cdu tric de bigt dé gidm thiéu sy chénh Ich kich thude ma da ndi trén. Va két qua la ho vi digu khién AVR ra d6i véi viée Lim gitim kich thude doan mi ki bién dich va thém vio dé 1a thye hign Ignh ding chu ky may véi 32 thanh ghi tich dy va dat téc d6 nhanh hon cac ho vi diéu khién khac tir 4 dén 12 lan. Vi thé nghién cttu AVR 1a m6t dé tai khd ly tha va gitip cho sinh vién biét thém mét ho vi diéu khién vao loai manh nhat hign nay. Vi digu khién AVR do hang Atmel (Hoa Ki) san xuat dug géi thigu lan dau nam 1996. Ho vi diéu khién AVR 1a m6t ho vi diéu khién cé cau tric hign dai (so vi 805). Cé ba loai trong ho nay dé Ia: - Tinyavr. ~ AVR (loai AVR). - MegaAVR. & m Hinh 1.1. Céc dang AVR: tiny, AVR vat AT mega. Tat ca cac thiét bj trong ho AVR déu c6 chung m6t tap Iénh, va t6 chite b6 nh gidng nhau, Nhung khi chuyén nghién ctu tir mét vi diéu khién AVR nay sang loai khic thi that 1a don gin, Céu to AVR bao gm: SRAM, EEPROM va giao tiép SRAM mé réng, b6 chuyén déi trong tu sé (ADC), cu tric nhiéu tuyén, UART, USART... 1.1.2, M6t sé chip AVR thong dung. AT9081200 AT90S2313 AT9082323 and AT90S2343 AT9082333 and AT90S4433 AT9084414 and AT90S8515 AT90S4434 and AT90S8535 ATIOCSS34 ATtiny10, ATtiny!1 and ATtiny12 ATtiny]5 ATtiny22 ATtiny26 ATtiny28 ATmega8/8515/8535, ATmegal6 ATmegal61 ATmegal62 ATmegal63 ATmegal 69 ATmega32 ATmega323 ATmegal03 ATmega64/128/2560/2561 ATmega86RF401. 1.1.3. Chip Atmega32, Atmega32 la vi diéu khién thuéc ho AVR cia hang Atmel, cé 40 chan trong d6 c6 32 chan I/O, c6 4 kénh digu xung PWM, sir dung thach anh ngoai 8MHz. Nhan AVR két hop tap Iénh day di voi 32 thanh ghi da nang. Tat ca cdc thanh ghi lién két true tiép véi khéi xir ly sé hoc va logic (ALU) cho phép 2 thanh ghi déc lap duge truy cap trong mét Iénh don trong 1 chu ky déng hd. Két qua la téc d6 nhanh dp 10 lin cde b@ vi digu khién CISC thuémg, Chinh vi digu a6 em da chon Atmega32 Hinh 1.2. Hinh dang thite té ATMega32. 1.1.3.1. Céu hinh chan (pin configurations). PoIP (cKO) PBO | + 49 [5 Pao (apc) 1 pat | 2 30 [5 Pat (act) antaaino) P52 | 5 3a [5 paz (aoc) (ocorains) Pas c} 4 37 [5 pas (apes) (68) Pea | 5 36 [5 Pas (Apcd) (wosn Pes | 5 35 [2 Pas (apes) (miso) P66 (| 7 34 5 pas (Ace) CK) P87 c| a 33 [5 Pat caper) RESET | 9 32 | AREF vee H} 10 315 GND su | +1 30 [> avec xratz | 42 29 5 Pe? crosca) xratt | 13 2a [5 Pes crosci) (®xD) PDO | t4 27 [5 Fes aD) (rx) POT | 15 26 > Pos (reo) (To) PO2 +] 15 2s > Pos TMs) (nts) POs Cl 17 24 Po2 CTCK) (cots) Pot | 18 23 > Pot (S04) costa) POS | 19 22 [> Foo (scl) (ep) PDs | 20, 21 Pp? (003) Hinh 1.3. Cau trie chan cia Atmega32. 1.1.3.2. Die tinh cia ATmega32. = Duge ché tao theo kién tric RISC, - BO lénh gém 118 Iénh, hau hét déu thy thi chi trong mét chu ki xung nhip. ~ 32x8 thanh ghi lim vige da dung. - 32 KB Flash ROM lap trinh duge ngay trén hé thong. - Giao dign ni tiép SPI cho phép lap trinh ngay trén hé théng. = Cho phép 1000 lan ghi / x04, - BG EEPROM 1024 byte. ~ Cho phép 100,000 ghi / xod. - BG nhé SRAM 2 Kbyte, - BG bién déi ADC 8 kénh, 10 bit. ~ 32 ng W/O Lip trinh duge. - B6 truyén ndi tiép bat déng b6 van nang UART. = Veo = 2.7V dén 6V. ~ Téc d6 lam vige: 0 dén 16 Mhz. - Téc d6 xi li lénh 16 MIPS & 16 MHz (16 trigu Iénh trén gidy). - B6 dém théi gian thuc (RTC) voi bé dao dong va ché d6 dém tach biét. = 2.b6 Timer 8 bit va 2 b6 Timer 16 bit véi ché d6 so sinh va chia tin s6 tach bist va ché d6 bat mau. ~ Bén kénh diéu ché 46 rong xung PWM. ~ BO dinh thai Watchdog lip trinh duge, Tw dong reset khi treo may. 6 - B6 so sanh tuong ty. = Sau ché d6 nga: Ché dé ri (Idle), tiét kigm dign (Power save), ché d6 Power Down, ché dd ADC Noise Reduction, ché 46 Standby va ché 46 Extended Standby. 1.1.3.3. M6 ta ¥ ng) - At mega32 gdm cé 4 port: Port A, port B, port C va port D. - Port A gém 8 chan tir PAO dén PA7: La céng vao twong ty cho chuyén déi tuong ty sang sd. Né ciing 1a céng vao/ra hai hudng 8 bit trong truéng hop khéng sit sung lim céng chuyén déi trong ur, c6 dign tro ndi lén nguén duong bén trong. Port A cung cdp duéng dia chi dir ligu vao/ta theo kiéu hgp kénh khi ding b6 nhé bén ngoai. a cdc chan (Pin descipsions) - Port B gém 8 chan ti PBO dén PB7: La cong vao/ra hai huéng 8 bit, co dién tr néi 1én nguén dong bén trong. Port B cung cap cae chite nang ing véi cdc tinh nang dac biét cha Atmega32. - Port C gém cae chan tir PCO dén PC7: La céng vao/ra hai huéng 8 bit, c6 dign tré ndi lén ngudn duong bén trong, Port C cung cp cdc dia chi Idi ra khi sir dung b6 nh6 bén ngoai va déng théi cung cap img véi cdc tinh nang dac biét cia Atmega32. - Port D gém cae chan tir PDO dén PD7: La céng vao/ra hai huéng 8 bit, c6 dign tré ndi 1én nguén duong bén trong. Port D cung cdp cac chite nang img voi cac tinh nang dic biét cha Atmega32. = Chan nguén Vee (chin sé 10 va chan sé 30): Dién 4p nguén nudi cia Atmega32 tir 4.5 dén 5.5v. - Chan Reset (chan sé 9): Léi vao dat lai, - Chin GND (chan sé 11 va chan 31): Chan néi mat. - Chin XTAL1, XTAL2 la hai chan néi thach anh ngoai (chan sé 12 va chan sé 13). Atmega32 sir dung thach anh ngoai li 8MHz. - Chan ICP (chan s6 20): La chan vao cho chite ning bat tin higu cho b6 dinh thai/dém 1. - Chan OC1B (chan s6 18): La chan ra cho chite ning so sinh Idi ra bd dinh thai/dém 1. = Chan INT1(chan sé 17): Chan ngé vao ngat. 1.1.3.4. So do khdi. Hinh 1.4, So dé khéi Atmega32. 1.1.3.5. Cau trie nhén AVR. Phan e6t I6i cia AVR két hop tap 1énh phong ph v8 sé long v6i 32 thanh ghi lim vige da nang. Toan b§ 32 thanh ghi déu duge két néi tryc tigp voi ALU (Arithmetic Logie Unit), cho phép truy cap hai thanh ghi dc lép bing mt chu ky xung nhip. Kién tric dat duge cé téc 46 xir ly nhanh gap 10 Lan vi diéu khién kiéu dang CISC théng thuéng. 1.1.3.6. Cau tric tong quat. bua bie St Fasy Le Progam Gowrter = | | T re wet = ie ih ‘sae ad = | sat = “a WL Lee sabi i | ass i] 4 nom —| am 10 Motuen | 1o.Unee Hinh 1.5. So dé cdu trite CPU ctia Atmega32 AVR sit dung cdu trie Harvard, téch riéng b6 nhé va cac bus cho chuong trinh va dit ligu. Cac Iénh duge thye hign chi trong mét chu ky xung clock. BG nhé chong trinh durge hru trong b6 nhé Flash. 1.1.3.7. ALU, ALU lam vige tru tiép véi cdc thanh ghi chite nang chung. Céc phép todn durge thye hign trong mét chu ky xung clock. Hoat déng cia ALU duge chia Lim 3 loai: Dai 86, logic va theo bit, 1.1.3.8. Thanh ghi trang thdi. Diy 1i thanh ghi trang thai 6 8 bit hu trt trang thdi ctia ALU sau cdc phép tinh sé hge va logic. BIT 1 6 5 4 3 2 1 0 S3F(SSE) 1 H N Z c Read/Write “RW RW RW RW RW RW RW RW Intial Value 0 0 0 0 0 0 0 0 Hinh 1.6. Thanh ghi trang thai SREG. = C: Carry Flag; Co nhé (Néu phép toan cé cé nhé sé duge thiét lap). - Z: Zero Flag; Co zero (Néu két qua phép toan bing 0). ~ N: Negative (Néu két qua phép toan 1 am). 9 - V: Two'scomplement overflow (Cé nay duge thiét lép khi tran sé bi 2) V, For signed tests (S=N XOR V) S:N - H: Half Carry Flag (Duge sit dung trong mt sé todn hang s& duge ehi ra sau), + T: Transfer bit used by BLD and BST intruction (Duge sit dyng lam noi chung gian trong cdc Iénh BLD, BST), - I: Global Interrupt Enable/Disable Flag (Day lA bit cho phép toan cue ngit Néu bit nay 6 trang thai logic 0 thi khéng cé mét ngat ndo duge phuc vu). 1.1.3.9. Cac thanh ghi chite nang chung. 1 0 Adar RO soo RI sol RIS sop Rie SOE RIS SOF RIG s10 RIT su RIG SIA R27 siB R30 SIE RI SIF Tinh 1.7. Thanh ghi chite nding chung. 1.1.3.10. Con tro ngén xép (SP). ‘La mét thanh ghi 16 bit nhung ciing c6 thé duge xem nhv hai thanh ghi chire nang dic bigt 8 bit. C6 dia chi trong céc thanh ghi chttc nang dic bigt la $3E (Trong b6 nhé RAM 1a $S5E). Cé nhigm vy tré téi ving nhé trong RAM chita ngan xép. BIT 15 14 B 2 u 10 9 8 S3E(SSE) = z = : : : = 7 ssp(ssb)_ [7SP7_[_sPo_[_sPs_|_spa_[_sP3_[sP2_[_SP1_[_ SPO 7 6 3 4 3 2 T 0 ReadWrite RW RW RW RW RW RW RW RW Intial Value o 0 0 0 0 0 ° 0 o 0 0 0 0 0 o Hinh 1.8, Thanh ghi con ché ngan xép. Khi chong trinh phe vy ngat hode chyong trinh con thi con ché PC duge hu vao ngan xép trong khi con tré ngan xép gidm hai vj tri. Va con tro ngan xép sé gidm 1 khi thy hign Iénh push. Nguge lai khi thye hign 1g POP thi con ché ngain xép sé tang 1 va khi thyc hién lénh RET hodc RETI thi con ché ngan xép sé tang 2. Nhu vay con tré ngin xép cin duge chuong trinh dat truée gid tri khdi tao ngin xép truée khi mét chuong trinh con duge goi hodc céc ngit duge cho phép phuc vy. Va gid tri ngan xép it nhat eting phai lén hon hoac bang 60H (0x60) vi SFH tré Iai la cde thanh ghi, 10 1.1.4. Quan I ngét. Ngat 1a mét co ché cho phép thiét bj ngoai vi bdo cho CPU biét vé tinh trang ing cho déi dit ligu cia minh. Vi du: Khi b6 truayén nhén UART nhan durge mét byte né sé bao cho CPU biét théng ctia cé RXC, hoac khi né da truyén duoc mét byte thi c& TX duge thiét lap... Khi cé tin higu béo ngat CPU sé tam dimg cong viée dang thye hign Iai va liu ‘thye hign chuong trinh (con ché PC) vio ngan xép sau dé ché t6i vector phuc vy ngit va thye hign chuong trinh phyc vy ngit d6 cho t6i khi gap Ignh RETI (return from interrup) thi CPU lai lay PC tir ngan xép ra va tiép tue thy hign chuong trinh ma trude khi cé ngat né da thyc hién. Trong truéng hop ma cé nhiéu ngat yéu cau cing sin vi tri mét hic thi CPU sé hm cdc cé bao ngit dé lai va thyc hign lan hrot cc ngat theo bude uu tién. Trong khi dang thyc hign ngat ma xudt hign ngat méi thi sé xay ra hai trréng hgp. Trung hop ngat nay cé mie wu tién cao hon thi xé duge phye vy. Con néu cb mite wu tién thép hon thi BO nhé ngan xép [a ving bat ky, Trong SRAM tir dia chi 0x60 tré Ién, Dé truy nhap vao SRAM théng thuéng thi ding con ché X, Y, Z va dé truy nhép vio SRAM theo kiéu ngan xép thi dig con tré SP. Con ché nay la mét thanh ghi 16 bit va duoc truy nhap nhw hai thanh ghi 8 bit chung cé dia chi SPL: 0x3D/0xSD(IO/SRAM) va SPH:0x3E/0xSE, Khi chuong trinh phyc vy ngat hode chong trinh con thi con tr PC duge he i BS qua, vao ngin xép trong khi con trd ngin xép gidm di hai vi tri, Va con ché ngin xép st gidm 1 khi thye hign lénh push. Nguge lai khi thy hign Iénh POP thi con tré ngan xép s€ ting 1 vi thuc hign lénh RET hoe RET! thi con ché ngin xép sé tang 2. Nh viy con tré ngin xép can duge chuong trinh dat trude gid tri khéi tao ngin xép trade khi mt chuong trinh con duge goi hodc cdc ngét duge cho phép phyc vy. Va gid trj ngin xép it nhat ciing phai lon hon hoc bang 60H (0x60) vi 5FH tré Iai 1a cdc thanh ghi. Bang 1.1. Vector ngat cho Atmega32 Vector | Program Address ‘Source Taterrupt Definition T $000 RESET External Pin, Power-on Reset, Brown-out Reset, Watchdog Reset, and JTAG AVR Reset, 2 3002 INTO External Interrupt Request 0 3 S004 INT External Interrupt Request 1 4 $006 INT2 External Interrupt Request 2 5 S008 TIMER? COMP TimeriCountes2 Compare Match 6 SOK TIMER? OVF Timer Counter Overflow 7 5000 TIMERT CAPT Timer/Counterl Capture Event 8 SOOE TIMERT CAP Timer/Counterl Compare Match A 9 3010 TIMERI CAPE ‘Timer/Counterl Compare Match B 10 S012 TIMERT OVF Timer/Counterl Overflow 1 S014 TIMERO COMP Timer/Counter0 Compare Match 2 S016 TIMERO OVF Timer/Counterd Overflow B 3018 SPLSTC ‘Serial Transfer Complete 4 SOTA USART, RXC USART, Rx Complete u B 30Ie USART, UDRE USART Data Rigister Empty 16 SOLE USART, TOX USART, Tx Complete 7 $020 ‘ADC ADC Conversion Complete 1S 3022 EE_RDY EEPROM Ready D 3024 “ANA_COMP ‘Analog Comparator 20 $026 TWI Twwo-wire Serial Interface 2 S028 SPM_RDY Store Program Memory Ready 1LLS, Cau tric b§ nh, Ciing nhw moi vi digu khién khac AVR 6 cdu tric Harvard tite 14 cd b6 nhé va dung bus riéng cho bé nhé chuong trinh va b6 nhé dit ligu. 1.1.5.1. BG nhé chuong trinh. B6 nhé chuong trinh cia AVR li bO nhé Flash co dung hrong 32 Kbytes. BO nhé churong trinh c6 46 rng bus 1a 16 bit. O vi diéu khién ATmega32 b6 nhé chuong, trinh edn c6 thé duge chia kim 2 phan: Phan boot loader (Boot loader program section) va phan img dung (Applicationprogram section), - Phin boot loader: Chita churong trinh boot loader. - Phan tmg dung (Application program section): La ving nhé chia chuong, th img dung cia ngudi ding. Kich thuéc ciia phan boot loader vi phan img dung c6 thé ty chon Hinh 1.9 thé hign céu tric bé nhé chuong trinh cé sir dung va khéng sir dung boot loader, khi sir dung phan boot loader thay 4 word dau tién thay vi chi thi cho CPU chuyén (6i chuong trinh img dung cua ngwoi ding (14 chong trinh cé nhan start) thi chi thi CPU nhay téi phan chuong trinh boot loader 48 thye hign trrée, rdi méi quay tré Iai thyre hign chuong trinh img dung. 9 Hinh 1.9. B6 nha chuong trinh co va khéng 6 sit dung boot loader. 1.1.5.2. BG nhé dit liéw. 2 BO nhé dir ligu cia AVR chia lam 2 phan chinh 1a b§ nhé SRAM va bo nhé EEPROM. Tuy cing 1a b6 nhé dit ligu nhung hai b6 nhé nay Iai téch bigt nhau va dugc danh dia chi riéng, - BO nhé SRAM: Co dung lung 2 Kbytes. Bang 1.2. Dia chi cia tat ca cc port. Tén PORT Dia chi O71 Dja chi SRAM PORTA SB SB DDRA SIA SBA PINA 319 $39) PORTB 318 338 DDRB SIT S37 PINB 316 336 PORTC S15 S35 DDRC s1F 334 PING 313 333, PORTD 312 S32 DDRD SH S31 PIND S10 330 - B9 nhé EEPROM: BO nhé EEPROM cé kich thuée 1a 1024 bytes. EEPROM duge xem nhu Li m6t bg nhé vao ra durge din dja chi dje lip v6i SRAM, diéu nay 6 nghia la cén sit dung céc Iénh in, out ... khi muén truy xuat (6i EEPROM. Dé ghi yao EEPROM ean thyre hién cdc buée sau: - Chas cho bit EEWE vé 0. - Cam tat ca cde ngat. - Ghi dja chi vao thanh ghi EEAR - Ghi dir ligu ma can ghi vio EEPROM vao thanh ghi EEDR. - Set bit EEMWE thanh 1 - Set bit EEWE thank 1. - Cho phép cae ngat tré lai, Doc dit ligu tr EEPROM: Viéc doc dit ligu tir EEPROM don gian hon ghi dit ligu vao EEPROM, dé doc dit ligu tir EEPROM thy hign cdc buée sau: - Ché cho bit EEWE ve 0. ~ Ghi dia chi yao thanh ghi EEAR. - Set bit EERE lén 1 1.1.6. Cong vio ra, Céng vao ra la m6t trong sé cae phuong tién dé vi diéu khién giao tiép véi cic thiét bj ngoai vi. Atmega32 06 4 céng (port) vao ra 8 bit |: PortA, PortB, PortC, PortD tuong img véi 32 dung vao ra. Cae céng thé dinh huéng, tire c6 thé chon huéng cia céng 1a hudng vao (input) hay huéng ra (output). Tat cae cdc céng vao ra cia AVR diéu c6 tinh nang Doc - Chinh sita Ghi (Read — Modify — write) khi sir dung ching nhu la cdc céng vao ra sé théng thudng. B 10 ra clia AVR la cong vio ra hai chiéu 6 Diéu nay 6 nghia 1a khi thay ddi huéng cia mét chan nao dé thi né khéng lam anh huéng t6i huéng cita céc chin khac. Tat ca cc chan cia cdc céng (port) déu cé dign tré kéo Ién (pull-up) rigng, c6 thé cho phép hay khéng cho phép dign tro kéo lén nay hoat déng. = Cach hoat déng. Khi khdo sét cdc céng nhw 1a cdc céng vao ra sé théng thuéng thi tinh chat cua cac céng (PortA, PortB, PortC, PortD) la twong tr nhau, nén chi can khao sat mt cong nao dé trong 86 4 céng cita vi diéu khién 14 di, Méi mét cdng vao ra cia vi digu khién duge lign két voi 3 thanh ghi: PORTx, DDRx, PINx. (6 day x la dé thay thé cho A, B, C, D). Ba thanh ghi nay s& duge phéi hgp vei nhau dé diéu khién hoat dng cua céng, chang han thiét lap céng thanh [6i vo cé sir dung dign tré pull-up, ..v. Logie Soe Figure "General Digital YO" for Details — Hinh 1.10. Cau trite chan ctia AVR. Cau tric chin cia AVR cé thé phan biét rd chire nang (vao ra) trang thai (0 1) tir dé 6 4 kigu vao ra cho mét chan cha AVR. Khéc véi AT89CS1 la chi cé 2 trang thai duy nhat (0 1). ‘Dé digu khién cdc chan nay cé 2 thanh ghi. - PORTx: Gia tri tai timg chan (0 ~ 1) o6 thé truy cap t6i timg bit PORTx.n. - DDRx: Thanh ghi chi trang thai ca timg chan, vao hodc IA ra. Bang 1.3. Caw hinh cho céc chan céng. DDxn | PORTsn | PUDGnSFIOR) | VO | Pull ‘Comment 0 0 x input | No Tristate Hi-Z) 7 T 7 Taput_| Yes | Pan will source current if ext Pulled low 7 T T ‘Output | No Ti-state (eZ) i 0 x ‘Outpat | No Output Low (Sink) 7 T x Output | No Output High (Source) DDRxn [a bit thirn cia thanh ghi DDRx. PORTxn la bit thi n ciia thanh ghi PORTx. Dau “x” 6 eGt thir 3 dé chi gid trj logic 1a ty y. ua DATABUS. . wor wane oR kt. LMT CRrTRbE Ge: GRRE, Be Wea BE: BPARESiT:Bessren Hinh 1.11. So dé mét céng vao ra. G so dé trén ngoai 2 bit cia cde thanh ghi DDRx va PORTx tham gia diéu Khién dign tré treo (pull-up resistor), cdn cé mét tin higu nia diéu khién dign tré treo, 6 1a tin higu PUD, day 1a bit nim trong thanh ghi SFIOR, khi set bit nay think 1 thi dign trd kéo lén sé khong duge cho phép bit ké cdc thiét lap cita cdc thanh ghi DDRx va PORTx. Khi bit ndy li 0 thi dign tro kéo Ién duge cho phép néu {DDRxn, PORTxn} = (0, 1}. 1.1.7. BG dinh thoi. BO dinh thai (timer/counter0) 1a mét module dinh thai/dém 8 bit, c6 céc diém sau: - B6 dém mét kénh. - Xéa b@ dinh thai khi trong mode so sinh (ty dng nap). - PWM. - Tao tan sé. - B6 dém su kién ngoai. = Chia tin 10 bit. - Ngudn ngit tran b6 dém va so sah. So dé cdu trie cita bé dinh thei: 1s sory fcr f { cr om = 5 | ri 5 Fes Hinh 1.12. Sado cdu tric b6 dinh thai. 1.1.7.1. Céc thanh ghi. TCNTO va OCRO la cdc thanh ghi 8 bit. Cac tin higu yéu cdu ngat déu nim trong thanh ghi TIFR. Cac ngit c6 thé duge che béi thanh ghi TIMSK, BO dinh thi c6 thé sit dung xung clock ndi théng qua b6 chia hode xung clock ngoai trén chan TO. Khéi chon xung clock digu khién viéc b6 dinh thoi/b6 dém sé ding nguén xung nao dé ting gid tri ctia nd. Ng6 ra cua khéi chon xung clock duge xem 1a xung clock ciia b6 dinh thai (clkTO). Thanh ghi OCRO ludn duge so sanh véi gid tri cha bO dinh thoi/b6 dém.Két qua so sanh cé thé duge sir dung dé tao ra PWM hoac bién déi tin sé ngé ra tai chin OCO. 1.1.7.2. Don vi dém. Phan chinh ciia bg dinh thai 8 bit 1d mét don vj dém song huéng cé thé lip trink duoc. Céu tric cia né nhu hinh dui day: —+ tom DATA BUS faa on: om [oa s. orate ch vente far 282 cores iage | ease woroil fr — Hinh 1.13. Bon vi dém. - Count: Tang hay giam TCNTO 1. = Direction: La chon gitta dém lén va dém xuéng. ~ Clear: Xéa thanh ghi TCNTO. - CIKTO: Xung clock eta b6 dinh thei, - TOP: Bao higu b6 dinh thai dé tang dén gid trj lon nhat. 16 - BOTTOM: Bao higu b6 dinh thoi dé giam dén gid tri nho n 1.1.7.3. Dan vi so sdinh ngé ra. — aaa = = |} foe] Watier.® cottara Hinh 1.14, Don vi so sénh ngo ra BO so sémh 8 bit lién tue so sinh gia tri TCNTO véi gid tri trong thanh ghi so sinh ngd ra(OCRO).Khi gid tri TCNTO bing vi OCRO,bG so sinh sé tgo m6t béo higu.Béo higu nay sé dat gia tri c& so sanh ng6 ra(OCFO)Ién 1 vao chu ky xung lock tiép theo.Néu duoc kich hoat(OCIE0=1),cé OCFO sé tao ra mét ngat so sanh ng6 ra va sé ty déng duge x6a khi ngit duge thyc thi.Cé OCFO cing cé thé duge xéa bang phan mém. 1.1.8. M6 ta ede thanh ghi. 1.1.8.1. Thanh ghi diéu khién b6 dinh thei/b6 dém TCCRO. Bit 1 6 3 4 3 2 1 0 FOC | WGMO0 | COMOI | CoMOd | wamoT | CS02_[ CSor_ | CS00 Read Write w RW RW RW RW RW RW RW Intial Value 0 0 0 0 0 0 0 0 Hinh 1.15. Thanh ghi diéu khién b6 dinh thai. - Bit 7 - FOCO: So sanh ngé ra bat bude: Bit nay chi tich cyc khi bit WGM00 chi dinh ché 46 lam viéc khéng cé PWM. Khi dat bit nay [én 1, mét bao higu so sanh bat bude xuat hign tai don vj tao dang s6ng. - Bit 6, 3 - WGMO1 khién dém thir tr ctia bé dém, ngudn cho gid tri lén nhat cia b6 dém (TOP) va kiéu tao dang séng sé dugc sir dung. - Bit 5:4 - COM01:0: Ché d6 bao higu so sinh ngo ra: Cac bit nay diéu khién hoat d6ng cua chan OCO. Néu m6t hoa ca hai bit COM01:0 duge dat lén 1, ngd ra OCO s& hoat dong. - Bit 2:0: CS02:0: Chon xung déng hé: Ba bit nay ding dé bra chon nguén xung cho bé dinh thei/bg dém. Ché dé tao dang song: Cac bit nay dié wv Bang 1.4. Chon nguén xung cho bé dinh thai. €s02 | E801 | C800 Description 7 v v ‘No clock source (Timer/Counter stopped) 7 T i ‘Akcol(No presealiny 7 7 0 “kr ol8(From prescalin) 7 v T ok; From presealiny T T T elk 256(F rom presealiny T v i ‘Tkag/ TOA From presealin) 7 7 0 External clock source on T0 pin, Clock on falling edge T 7 7 External clock source on T0 pin, Clock on falling edge 1.1.8.2. Thanh ghi bé dinh thailb6 dém. Bit 1 6 5 4 3 2 1 0 TCNTOTO) Read/Write RW RW RIW RW RW RW RW _RIW Intial Value 0 0 0 0 o 0 o 0 Hinh 1.16. Thanh ghi b6 dinh thai. Thanh ghi bd dinh thai/bG dém cho phép truy cap trye tiép (cd doc va ghi) vio b6 dém 8 bit 1.1.8.3. Thanh ghi so sanh ngé ra - OCRO. Bit 1 6 5 4 3 2 L ° OCROT Read/Write RW RW RW =*RIW RW RW RW RW Intial Value 0 0 ° ° ° ° 0 0 Hinh 1.17. Thanh ghi so sdinh ngo ra. Thanh ghi nay chia mot gid tr 8 bit va lign tue duge so sinh véi gid tri etia b> dém. 1.1.8.4. Thanh ghi mat na ngdt. Bit 1 6 5 4 3 2 1 0 OCIFZ| TOIT | TICKET [OCIEIA | OCIETB | TOIET | OCIED | TOMO ReadWrite RW RW RW RW RW RW RW RW Intial Value 0 0 o ° ° 0 0 ° Hinh 1.18, Thanh ghi mat na ngdt TIMSK - Bit 1-OCIEO: Cho phép ngat bdo higu so sanh. - Bit 0-TOIEO: Cho phép ngit tran bé dém. 1.1.8.5. Thanh ghi co ngdt b6 dinh thai. Bit 7 6 5 4 3 2 1 o [ocr2] Tov2 7 crt] ocFIA Tocris 7 Tovi [ ocro | Tove | ReadWrite “RW RW RW RW RW RW RW RW Intl Value 0 0 o 0 0 0 ° 0 Hinh 1.19. Thanh ghi cd ngdt b6 dinh thai - Bit 1-OCF0: Cé so sanh ngé ra 0. - Bit 0-TOVO: Cé tran bg dé 18 Bit TOVO duge dat 1én 1 khi bo dém bj tran va duge xba boi phan cig khi vector ngat twong img dugc thyc hién. Bit nay ciing c6 thé duge xéa bang phan mém. 1.1.9. Giao tiép voi I2C Bus cita I2C tir DS1307 va 24Cxx duge néi voi jumper 06 thé két ndi véi bat ky 2 bit ng bat ky cua AVR trén KIT béi mét day ndi. tia hai vee ver 3276 Hinh 1.20. So do cau tric giao tiép 12C 1.1.9.1. Thanh ghi: TWI trén AVR dugc van hanh béi 5 thanh ghi bao gdm thanh ghi téc d6 gitt nhip TWBR, thanh ghi digu khién TWCR, thanh ghi trang thai TWSR, thanh ghi dia chi TWAR va thanh ghi dit liga TWDR. TWBR (TWI Bit Rate Register): la 1 thanh ghi 8 bit quy dink te d6 phat xung gitt nhip trén duéng SCL cia chip Master. 1 6 5 4 3 2 1 0 TWBRT [TWBR6 [TWBRS [TWBRS | TWBR3 | TWHR2 [TWBRI [TWBRO | TWBR RW RW RW RW RW RW RW RW Hinh 1.21. Thanh ghi quy dinh toc dé phat xung. , __, _ CPU clock frequency SCL frequency ~ 5075 + 2(TWBR), aT Trong 45 CPU Clock frequency 1a tin sé hoat dng chinh etia AVR, TWBR 1a gid tri than thi TWBR vi TWPS 1d gid tri ciia 2 bits TWPS1 va TWPSO nim trong thanh thi trang théi TWSR. Hai bits nay duge goi la bit prescaler, théng thudng hay set TWPS1: 0 =00 dé chon Prescaler la 1 (40=1). Bang 1 tom tit t6c 46 xung gitt nhip tao ra trén SCL déi véi cde gid tr ciia tham Bang 1.5, Téc a6 xung giit nhip tham khdo. CPU clock frequency MHZ} TWBR TWPS SL frequeneyikhz] 16 12 0 400 16 7 7 100 Ta To o 00 144 @ T 100 19 Tz 70 7 =a 12 32 0 100 5 10 7 “22 5 32 T 100 4 TZ o 100 36 10 o 100 2 10 T =35 T 10 T = ~ TWCR (TWI Control Register): [a thanh ghi 8 bit didu khign hoat dong cia TWI. 7 6 5 4 3 2 1 0 TWINT_[TWEA_[TWSTA_[TWSTO_[TWWC |TWEB_|- TWIE_] TWCR RW RW RW RW RW RW RW RW Hinh 1.22. Thanh ghi diéu chinh TW. vao timg giai doan trong qué trinh giao tiép TWI cdc bit 66 thé duge set riéng Ié. - TWSR (TWI Status Register): 1a 1 thanh ghi 8 bit trong 46 cé 5 bit chita code trang thai cla TWI va 2 bit chon prescaler. 1 6 5 4 3 2 1 0 TWST_[IWS6__[TWSS__[TWS4_[TWS3_[TWS2_[TWST__[TWS0_] TWSR RW RW RW RW RW RW RW RW Hinh 1.23. Thanh ghi trang thai TWL. Cé rt nhigu bude, nhiéu tinh hudng xay ra khi giao tip bang TWI cho ca Master va Slave. Ung véi méi trong hop TWI sé tao ra 1 code trong thanh ghi TWSR . Lap trinh cho TWI hop ly tmg véi timg code. - TWDR (TWI Data Register): la thanh ghi dé ligu chinh cia TWI. Trong qua trinh nhan, dir ligu nhan vé sé duge Iwu trong TWDR. Trong qué trinh géi, dit ligu chia trong TWDR sé duge chuyén ra duong SDA. - TWAR (TWI Address Register): 1a thanh ghi chita device address cia chip an xét code trong 5 bit cao ciia thanh ghi TWSR va dua ra cde img xit Slave. Cau tnic thanh ghi duge trinh bay trong hinh dudi. 7 6 5 3 2 1 ° TWAS [TWAS_[ TWAT TWA2 [TWAT [TWAO_[TWGECE_] TWAR RW RW RW RW RW RW RW RW Hinh 1.24. Thanh ghi chita device cia slave, Nhé lai dia chi Slave duge tao thanh tir 7 bits, trén thanh ghi TWAR 7 bits dia chi nay nim 6 7 vi tri cao. Trude khi sir dung TW nhw Slave, phai gin dia chi cho chip, vige viét dia chi thung duge thye hign bing lh TWAR = (Device_address<<1)+TWGCE. Trong 6 TWGCE (TWI General Call Enable) 1a bit cho phép cud goi chung. Dé cp bén trén, Slave co quyén cho phép Master thyc hién cudc goi chung véi né hay khéng. N&u TWGCE-I, Slave sé dip mg lai cuge goi chung néu 6, néu TWGCE=0 thi Slave sé bé qua cude goi chung. 1.1.9.1. Hoat dong cia TW: 20 TWI trén AVR duge goi la byte-oriented (tam dich 18 huéng byte) va interrupt-based (dya trén ngét). Bat ky mét sz kién nao trong qué trinh truyén/nhan TWI cing c6 thé gay ra 1 ngét TWI. TWI trén AVR vi thé hoat dong tuong déi di voi chip. Tuy nhién, can khai thac ngat trén AVR mot cach hop ly. Vi du, déi véi Master, khéng can sir dung ngat vi chip nay hoan toin chi dng trong viée truyén va nhan. Riéng véi Slave, sir dung ngat dé tranh bé 16 cdc cude goi la can thiét, Tat cd céc AVR trén mang TWI déu cé thé 1a Master hay Slave, ca Master va Slave déu cé thé truyén va nhan dit ligu. Vi thé, cé tat cd 4 mode trong hoat dong cia TWI trén AVR. Sé lin lugt khao sit cde mode nay nh sau: Master Transmitter (chip chi truyén), Master Receiver (Chip chi nhan), Slave Reicever (chip ts nhan) va Slave Transmitter. (Chip 6 _truyén).. Trude Khi khdo sat cdc ché 46 hoat dong cia TWI qui use mot sé ky higu thudng ding (day cling 1a cdc ky higu ding trong datasheet cua cdc chip AVR). S: START condition ~ diéu kign Rs: REPEAT START - bat dau lap lai R READ Bit, bit nay bing 1 duge gai kém véi g6i dja chi Ww: WRITE Bit, bit nay mang gid tri 0, goi kém géi dia chi ACK Ackowledge, bit xdc nhfn, chan SDA duge kéo xuéng 0 & xung thir 9 NACK: Not Acknowledge, khéng xae nhan, SDA 6 mite cao 6 bit thir 9 Data: 8 bits dit ligu P: STOP condition — diéu kign két thi. SLA: Slave address, dia chi ca Slave cin giao tiép. 1.1.10. Mach nap cho AVR. Day la mach nap théng dung nhat (STK200/300) sir dung cng LPT, cé thé nap truc tiép chuong trinh CodeVisionAVR. Mét trong nhimg wu diém én nhat cia céc chip AVR la tinh don gin khi sir dung trong d6 06 vige nap chwong trinh cho chip. AVR hé trg kha nang nap chuong trinh ngay trong hé théng - ISP (In — System Programming), ¢6 thé nap true tiép chuong trinh vio chip ma khéng edn théo chip ra khoi mach img dung. Mach nap cho AVR rat phong pha nhung hau hét déu rat don gin, Duéi day 1a loai mach nap STK200, cue ky don gidn chi véi mot céng DB25 male, va 4 dién tré vai tram ohm 1a dugc. a AVR Parallel Port Programmer wi 3) ‘Sop cpragesia00 fe 3 42 al ve opty comes 9 Ps OT acute -cponyst20ot —T > o] ce so 2 S ae O'| +3 ol os most yO] 37, 5 0 % lo ese pinaconespona |S tothe Soaeing ae of °' Smale 8.25 connector NA Hinh 1.25. Mach nap STK200 sie dung DB25 va 4 dign tre. 1.2. Man hinh LCD (Liquid Crystal Display’ C6 rt nhigu loai man hinh LCD cé thé hién thi cdc sé lugng ky ty khdéc nhau, nhung tat cd déu cé chung cach thiét lap va sir dung. Cé hai loai LCD théng dung: Loai hién thi ky ty goi la Text LCD va loai hién thj diém anh gi la Graphic LCD. Trong dé tai nay, em chon loai Text LCD 6 kha nang hién thj 2 dong, méi dong 16 ky tr theo bang ma ASCIL. 1.2.1. Tinh ning. ~ Dinh dang hign thi: 16 ky ty x 2 dong. - Ky ty tring trén nén xanh duong. - Hoat dng 6 nhigt d6 -10°C ~ +60°C. - Dign 4p van hanh 4,5V ~ 5.5V. - Dong cung edp 1.3mA. - Dong cung cép néu ding dén 1.2.2.6 té chung. Text LCD [a cdc loai man hinh tinh thé long nh ding dé hién thi cdc dong chit hoac sé trong bang ma ASCII. Khéng gidng cdc loai LCD Ién, Text LCD duge chia san thanh tig 6 va img v6i méi 6 chi cé thé hién thi m6t ky ty ASCII M&i 6 cita Text LCD bao gém cae “cham” tinh thé léng, viée két hgp “An” va “hign” cde cham nay sé tao thanh m6t ky ty can hién thi. Trong cdc Text LCD, cae mau ky ty duge dinh nghia thé viée digu khién Text LCD sé twong déi d8 ding hon cdc graphic LCD. Kich thuée cua Text LCD dugc dinh nghia bang s6 ky ty cé thé hién thi trén 1 dong va tong s6 dong ma LCD c6, Vi dy LCD 16x2 la loai c6 2 dong va mGi dang cé thé hién thi t6i da 16 ky tw. Mot sé kich thude Text LCD théng thurmg gdm 16x1, 16x2, 16x4, 20x2, 20x4., 18mA. 2 Hinh 1.26. Text LCD 16x2. Text LCD cé 2 cach giao tiép co ban La néi tiép (nhu 12C) va song song, duge didu khién boi chip HD44780U cia hing Hitachi, HD44780U thuéng duge coi la chuan chung cho cac loai Text LCD, vi thé khi giao tiép cdc LCD 6 kich thude hién thi khdc, chi can quan tim dén toa d6 theo déng va cét theo datasheet cung cp béi nha san xuat. HD44780U 1a bé didu khién cho céc Text LCD dang ma tran diém (dot-matrix), chip nay 6 thé duge diing cho cée LCD c6 1, 2 hoge 4 ding hién thi, HD44780U c6 2 ché d9 giao tiép 1a 4 bit va 8 bit, No chita sin 208 ky tr mu kich thuée font 5x8 va 32 ky ty mau font 5x10 (téng céng 1a 240 ky tw mau khac nhau). Tuy nhién, mét s6 ky tw theo bang chit cai tiéng Nhat 1.2.3. So dé chan. Cae Text LCD theo chuan HD44780U thudng cé 16 chan trong d6 14 chin két néi véi bé diéu khién va 2 chén ngudén cho LED nén. Thit tu cdc chan thudng duge sip abu sau: Bang 1.6. So dé chan. Chirening | Chin] Ten Logie Moa Ground T Ves - 7 Nawdn z Vad "Tong phan 3 Vee 0 vad Dida Kiger 7 RS v DO- D7: Leah DO- D7: Daliou 3 RW 0 Ghi: AVR vio LCD Doe: We LCD vio AVR € E 0 Vo higu hod LCD T TCD hoat dng Tir 1 xudng0 | Bat di ghiMdge LOD Dirliga/ Leah 7 Do wi BROLSB 5 DI v7 Bit 9 Dz v7 Biz 10 Ds a Bits TT Da v1 Bite 2 DS wT Bits 23 3 De OT Bite 4 DT OF BitT MSB Ted A 15 Ver 0 Ted 16 Vad a av Trong m6t so LCD 2 chan LED nen duge danh so 15 va 16 nhung trong m6t truéng hgp 2 chan nay duge ghi la A (Anode) va K (Cathode). Hinh 1.26 mé ta cach két néi LCD voi nguén va mach diéu khién, 1.2.4, So dé két ndi. Microcontroller (AVR) 410K Hinh 1.27. Két néi LCD voi AVR va nguén. Chan 1 va Chan 3 1a chan chinh 46 twong phan (contrast), chan nay cin duge néi véi 1 bién tré chia ap nhu trong hinh 1.27. Trong khi hoat d6ng, chinh dé thay doi gid tri bién tra dé dat duge d6 tuong phan can thiét, sau dé gitt mie bién tro nay, Cac chan diéu khién RS, RW, EN va cdc duimg dit ligu duge néi true tiép vai vi khién, Tay theo ché d6 hoat déng 4 bit hay 8 bit ma cdc chan tir DO dén D3 cé thé bé qua hodc néi voi vi digu khién. 1.2.5. Thanh ghi. HD44780U c6 2 thanh ghi 8 bits 1 INSTRUCTION REGISTER (IR) vi DATA REGISTER (DR). Thanh ghi IR chtta ma Iénh diéu khién LCD va la thanh ghi “chi ghi” (chi cé thé ghi vao thanh ghi nay ma khng doc duge nd). Thanh ghi DR chita céc cic loai dir ligu niu ky tyr cdn hién thi hode dit ligu doc ra tir b§ nhé LCD. Ca 2 thanh ghi déu duge néi véi cde dudng dit ligu DO:D7 ciia Text LCD va duge Iya chon tiy theo cde chan diéu khién RS, RW. Thye té dé diéu khién Text LCD khéng can quan tim dén céch thite hoat ding cia 2 thanh ghi nay. An 2 1 cdc chan nguén, duge néi vi GND va ngudi 24 1.2.6. Té chiee b6 nhé. HD44780U cé 3 loai b6 nho, dé 1a b6 nhé RAM dit ligu can hién thi DDRAM (Didplay Data RAM), b6 nhé chira ROM chita b6 font tao ra ky ty CGROM (Character Generator ROM) va bd nhé RAM chita b6 font tao ra cdc symbol tay chon CGRAM (Character Generator RAM). 1.2.6.1. DDRAM. DDRAM Ia bé nhé tam chita céc ky ty can hién thi lén LCD, b6 nhé nay gom 6 80 6 duge chia thinh 2 hang, méi 6 cé d6 réng 8 bit va duge danh s6 tir 0 dén 39 cho dong 1, tir 64 dén 103 cho ding 2. Méi 6 nhé twong img véi 1 6 trén man hinh LCD. Déi voi LCD loai 16x2 c6 thé hign thi t6i da 32 ky ty (c6 32 6 hién thi), vi thé c6 mt sé 6 nhé cla DDRAM khéng duge sit dung lam ede 6 hién thi. Bang 1.7. Thé hign vj tri DDRAM trén man hinh, LoD DDRAM Bang 1.7. Cho théy LCD 16x2 chi c6 16 6 nhé 66 dia chi tir 0 dén 15 va 16 6 nhé c6 dja chi tir 64 dén 79 1a duge hién thj trén LCD. Vi thé muén hién thj mét ky te nao dé trén LCD can phai viét ky ty 46 vio DDRAM @ 1 trong 32 dia chi trén. Cac ky ty nim ngoai 32 6 nhé trén sé khéng duge hién thi, tuy nhign van khéng bi mit di, ching c6 thé duge ding cho cac mye dich khae néu can thiét. 1.2.6.2. CGROM. CGROM 1a ving nhé c6 dinh chia dinh nghia font cho céc ky ty. Khong thé truc tiép truy xudt ving nhé nay ma chip HD44780U sé tu thyc hign khi cé yéu cau doc font dé hién thj, Mét diéu dang luu ¥ 1a dja chi font cia méi ky ty ving nho CGROM chinh 1a ma ASCII cia ky tr d6. Vi du ky tr ‘a’ ec ma ASCII 1a 97, tham khao 16 chite cia vimg nhé CGROM trong hinh 4, s& nhan thdy dia chi font cua ‘a’ 06 4 bit thap 1a 0001 va 4 bit cao 1 0110, dia chi téng hyp li 01100001 = 97. 25 FE =|3/5)o 4 a | P/F [4/514 r Tf] Be Sicls t "IT [Ee lo colo] [FA /D/Tidlt ~/T|hIP ple [ooo] SE Uelu ‘AF ee pwcrelo] IBIFIMF [aL | NTE I2) | pesorn | |? PIGS] [|S gin joxao|o| |S PETA] Ae a DR re peswcos [eo] SPST GPST | [sr ITb Yy] jowwoe| | [LE LT] az DA j wor | [#3 (KIL Ik [ a TEO* |R maaan] <|Li¥(1[1 re aor] o] [== a [ral a[4[* [a E+ otto | fw || altitl" |r pao [FO ole, ale oy Hinh 1.28. Ving nhé CGROM. 1.2.6.3. Hoat déng cra CGROM va DDRAM. CGROM va DDRAM duge ty dong phéi hgp trong qué trinh hién thj cia LCD. Gia sir muén hidn thi ky ty a” tai vi tri dau tién, ding thir 2 ctia LCD thi cde bude thye hign sé nhur sau: - Vj tri dau tign cia ding 2 c6 dia chi 14 64 trong b6 nhé DDRAM (bing 1.9), vi thé cdn ghi vao 6 nhé cé dia chi 64 mt gid tri La 97 (ma ASCII cia ky tu ‘a’).. - Chip HD44780U doc gid tri 97 nay va coi nhu 1a dia chi cia ving nhé CGROM, né sé tim dén ving nhé CGROM cé dia chi 97 va dge bang font da duge dinh nghia sin 6 day. + Xuat font nay ra cdc “cham” trén man hinh LCD tai vj tri dau tién cia dong 2 trén LCD. Day chinh 1a cach ma 2 bé nho DDRAM va CGROM phéi hop voi nhau dé hién thi cdc ky ty. Nhu vay, vige lip trinh digu khién LCD trong déi don gian, dé 1a 26 viét ma ASCII vao bé nhhé DDRAM tai dung vi tri duge yéu cau, bude tiép theo sé do HD44780U dam nhiém. 1.2.6.4. CGRAM. CGRAM Ia ving nhé chita cdc symbol do ngudi ding ty dinh nghia, méi symbol duge o6 kich thuée 5x8 va duge danh cho 8 6 nhé 8 bit, Cac symbol thudng duoc dinh nghia trade va duge goi hién thi khi can thiét. Ving nay cé tat ca 64 6 nho nén 6 t6i da 8 symbol cé thé duge dinh nghia, 1.2.7. Diéu khién hién thi Text LCD, 1.2.7.1. Cate chain diéu khién LCD. Cac chan diéu khién viée dgc va ghi LCD bao gom RS, R/W va EN. RS (chan sé 3): Chan Iya chon thanh ghi (Select Register), chan nay cho phép Iya chon | trong 2 thanh ghi IR hodc DR dé lam viée. Vi ca 2 thanh ghi nay déu duoc két néi véi cdc chin Data cia LCD nén can 1 bit dé Iya chon gitta ching. Néu RS=0, thanh ghi IR duge chon va néu RS=1 thanh ghi DR duge chon. Thanh ghi IR la thanh ghi chita ma lénh cho LCD, vi thé néu muén géi 1 ma lénh dén LCD thi chan RS phai duge reset vé 0, Nguge lai, khi mu6n ghi ma ASCII cia ky ty can hién thi lén LCD thi set RS=1 dé chon thanh ghi DR. Hoat d6ng cua chin RS duge mé ta trong hinh 1.28. oe Fr Do..7, # 1e-[oe RS Hinh 1.29. Hoat déng cia chan RS. R/W(chan s6 4): Chan Iya chon gitta vie doc va ghi.Néu R/W=0 thi dé ligu duoc ghi tir bé digu khién ngoai (vi digu khién AVR ching han) vio LCD. Néu R/W=1 thi dit ligu st duge doc tir LCD ra ngoai. Tuy nhién, chi c6 duy hat 1 truéng hop ma dit ligu 6 thé doc tir LCD ra, dé 1a doc trang thai LCD dé biet LCD cé dang ban hay khéng (cd Busy Flag - BF). Do LCD 1a mét thiét bi hoat déng tuong adi cham (so véi vi digu khién), vi thé mét c& BF duge ding dé bio LCD dang ban, néu BF=1 thi cho LCD xi li xong nhiém vy hién taidén khi nao BF=0 mét thao tac mdi sé duge gan cho LCD, Vi thé, khi lam viée véi Text LCD can phai cé m6t chuong trinh con dé ché cho dén khi LCD ranh, C6 2 each dé viét chuong trinh cho cho LCD ranh. Cach 1 1a doc bit BF vé kiém tra va cho BF=0, cach nay doi héi lénh doc tir LCD vé bé di khidn ngoai, do dé chan R/W can duge néi voi b6 digu khién ngoai. Cach 2 1a viét mot ham delay mét khoang théi gian cé dinh nao d6 (tét nhdt 1a trén Ims). Uu diém cla cach 2 la sy don giin vi khong can doc LCD, do dé chan R/W khéng can sit dung va luén duge néi voi GND. Tuy nhién, nhuge diém cia céch 2 1a khoang théi gian delay 27 6 dinh néu qua lon hign thi, EN (chan sé 5): Chan cho phép LCD hoat d6ng (Enable), chan nay can duge két ndi v6i b6 digu khién dé cho phép thao tac LCD. Dé doc va ghi data tir LCD can tao mét “xung canh xuéng” trén chan EN, néi theo cach khac, muén ghi dit ligu vao LCD tude hét can dim bao ring chin EN=0, tiép dén xuat dit ligu dén cde chan DO:7, sau dé set chan EN lén 1 va cudi cing ld x6a EN vé 0 dé tao 1 xung canh xuéng. 1.2.7.2. Tap lénh cia LCD. Bang 1.8. Céc tdp lénh etta LCD. {am chim qua trinh thao tée LCD, néu qu nhé sé gay ra [8i Tinh RS | RW] D7] D6|DS5| D4] D3] D2 | DI] DO] T.Gian ‘Xod man bik ov o;ofosol]o 7/0 | Letms Con tr ve dau dong 7 afopopoy oy ot {x | tem Dat cheap a ofofofoy,o |. {ms | 40s BAW Tat hin thy ofojofojofo;i|p|[c|sB| aw Con tra/Hiign thi/Dich 0 ofofofi [sc[Ri] x [x | 40s Dit che ning 7 T}o}T ery NY] Fx [x | aus ‘Dat dia chi CGRAM 0 ofa Ous ‘Dit dia chi DDRAM ofo ft Dia chi DRAM Ouse ‘Doo ob "BAN" BF 0 BF Dia chi DDRAM Ghi vio CGRAMIDDRAM | 1 | 0 [D7] D6] DS] D4] D3] D2] DI] DO] atu DoeteCGRAMDDRAM | 1 | 1 [D7] D6] Ds] DF] Ds | D2 | DI | DO] ous ‘Xod man hinh: Lénh nay xéa foin bG noi dung DDRAM va vi thé xda toan bO hign thj trén LCD, Vi day la 1 Iénh ghi Instruction nén chan RS phai duge reset vé 0 trade khi ghi Iénh nay lén LCD. Ma lénh x6a LCD 1a 0x01 (ghi vao D0:D7). Dua con tr vé vi tri dau, dong 1 ciia LCD: Lénh nay thye hign vie dua con tré vé vj tri dau tién cia bo nhé DDRAM, vi thé néu sau Iénh nay m6t bién duge ghi vao DDRAM thi bién nay sé nim & vi tri dau tién (1:1). RS cing pha g 0 trade khi ghi Ignh, Ma Iénh 18 0x02 hode 0x03 (chon 1 trong 2 ma Iénh, tity ¥) Dat dja chi DDRAM — dinh vj tri con tré cho DDRAM: Di chuyén con tr dén mét vi tri ty y trong DDRAM va vi thé cé thé durgc ding dé chon vi tri can hién thi trén LCD. Dé thyc hign lénh nay cin reset RS=0. Bit MSB cia ma Iénh (D7) phai bing 1, 7 bit con lai ciia ma Ignh chinh la dia chi DDRAM muén di chuyén dén, Vi du Muén di chuyén con tré dén vi tri thir 3 trén déng 2 cla LCD (dia chi 42), cin ghi ma Ignh OxAA vi 0xAA=10101010 (binary) trong dé bit MSB bang 1, bay bit cin lai la 010101042, dia chi cita 6 nhé muén dén. Ghi dit ligu vaio CGRAM hode DDRAM: Vi day khéng phai li lgnh ghi instruction ma [a 1 Iénh ghi dit ligu nén chan RS can duge set én 1 trée khi ghi lénh vao LCD. Lénh nay cho phép ghi mi ASCII ciia m@t ky ty cin hign thj vao thanh ghi DDRAM. 28 Dat cdc chite nang hién thi: Lénh nay chi ra cach hién thi m6t ky ty tiép theo 1 ky tur trude dé, Vi du: Néu muén hién thi 2 ky ty lin tigp AB, trude hét viét A tai vi tri 5, dong 1. Sau dé ghi B vao LCD, lic nay c6 4 cach ma LCD co thé thi B nh sau: - Hién thi B bén phai A tai vi tri s6 6. = B ciing 6 thé duge hién thi bén trai A, tai vi tri sé 4. - LCD 6 thé tr dich chuyén A vé bén trai dén vi tri 4 sau d6 hién thi B bén phai A, tai vi tri 5, LCD dich chuyén A vé bén phai dén vj tri 6 sau d6 hign thj B bén trai A, tai vi wis C6 thé chon | trong 4 cach hién thi trén théng qua lénh dat cac chire nang. Day 14 Ignh ghi Instruction nén RS=0, 5 bit cao D7:3=00000, bit D2=1, hai bit con lai D1:0 chita ma Iénh dé la chon 1 trong 4 cach hién thj. Xem lai bang 3, bit D1 chia gid trj UD va DO chtta S. Trong dé I/D nghia la ting hoe gidm (Increment or Decrement) I/D= 1 1a hign thi tang tite ky tr sau sé hidn thi bén phai ky ty trude, néu 1/D=0 thi hién thi gidm, tire ky ty sau hién thi bén trai ky ty true. $ 1a gid tri Dich, néu S=1 thi cdc ky ty trude dé sé duge “day” di, ky ty sau chiém ché ky ty trrde, nguge lai néu S=0 thi vj tri hign thj cha cdc ky ty truée dé khéng thay d6i, C6 thé t6m tt 4 mode hi img véi 4 ma lénh nhu sau: D7:0 = 0x04 (00000100): Hién thi gdm va khéng dich. D7:0 = 0x05 (00000101): Hién thi gidam va dich. D7:0 = 0x06 (000001 10):Hign th tang va khéng dich (théng thuémg sit dung). 17:0 = 0x07 (00000111); Hién thj ting va dich. ai hién thi — xdc lap cach hién thi cho LCD: Lénh nay bao gém cc théng BavT s6 cho phép LCD hién thi, cho phép hid mé/tit nhap nhay. Day ciing la thj cursor mét Ignh ghi Instroution nén RS phai bing 0. Ma lénh cho Iénh nay c6 dang 00001DCB trong dé D (Display) cho phép hign thi LCD néu mang gid tj 1, C én thi va B la nhdp nhi y cho con tré tai vi tri hién (Cursor) bing 1 thi cursor sé duge thi (nhép nhay 1a dang 1 6 den tai vi tik bién cho Ignh nay 1a Ox0E (00001110 - Dit chite ning-xéc lap chite ning cho LCD:Day 1a lénb thiét lip phuong thie giao tiép véi LCD, kich thuée font chit va sé Iugng line cia LCD.RS ciing phai bing 0 ki sit dung Inh nay.Ma lénh Dat chire nang c6 dang 001DLNFxx.Trong dé néu DI DO dén D7 phai dugc két néi vdi bd digu khién ngoai.Néu DL-0 thi mode 4 bit dure ding,trong trurémg hop nay chi cé 4 chan D4:7 duoc ding dé truyén nhan dit ligu va két né ty dang hién thi). Ma Iénh duge ding phd thi con tré nhung khéng nhdp nhay). (DL:Data Length)thi mode giao tiép 8 bit sé duge diing,lic nay tat ca cde chan tr i b6 digu khién ngoai,cdc chan DO:3 duge dé tréng.N quy dinh sé dong cia LCD.F la kich thuéc font chit hién thi, do LCD ¢6 2 b6 font chit ¢6 sin trong CGROM nén can hya chon théng qua bit F.néu F=1 bé font 5x10 duge sir dung va néu F=0 thi font 5x8 duge hién thi.2 bit thap trong ma lénh nay cé thé duge gan gia tri ty ¥.Ma 28 Iénh duge ding phé bién cho lénh Dat chite nang 1a 0x38 (00111000-giao tip 8 bit.2 dong v6i font 5x8) hodc 0x28 (00101000 —giao tiép 4 bit,2 dang véi font 5x8). 1.2.7.3. Giao tiép 8 bit va 4 bit. Text LCD c6 2 mode dé ghi va doc dit ligu mode & bit va mode 4 bit: Mode 8 bit: Néu bit DL trong lénh function set bang 1 thi mode 8 bit duoc ding. Dé sir dung mode 8 bit, tt cd cde lines dit ligu cia LCD tir DO dén D7 (tir chin 7 dén chan 14) phai duge ndi véi 1 PORT cia chip diéu khién bén ngoai. Uu diém cia phuong phap giao tiép nay 1a dit ligu duge ghi va doc rit nhanh va don gian vi chip didu khién chi cdn xudt hodc nhan dit ligu trén 1 PORT. Tuy nhién, phuong phap nay cé nhugc diém 14 téng sé chan danh cho giao tigp LCD qua nhiéu, néu tinh luén ca 3 chan diéu khién thi can dén 11 duéng cho giao tiép LCD. Mode 4 bit: LCD cho phép giao tiép véi bo diéu khién ngoai theo ché do 4 bit, Trong ché dg nay, cée chan DO, D1, D2 va D3 cua LCD khong duge sir dung (dé tréng), chi c6 4 chan tir D4 dén D7 duge két_ndi voi chip b6 diéu khién ngoai. Cac instruction va data 8 bit sé duge ghi va doc bang cach chia thanh 2 phan, goi li cic Nibbles, méi nibble gém 4 bit va duge giao tiép thong qua 4 chan D7: 4, nibble cao duge xir li trude va nibble thap sau. Uu diém Ién nhat cia phuong phap nay t6i thiéu 6 duréng két ndi ding cho giao tiép LCD. 1.3. Dong co dign mét chiéu. Hin nay, trén thye té may dign m6t chiéu duge sir dung rat rong rai, dae bit la dong eo dign mot chiéu, Trong cng nghigp, dong ev dién mot chigu duge sit dung & nhing noi yéu edu mémen mé may lim hoge yéu cau digu chinh tc 46 bing phing, ém diu va pham vi rong. Vi vay dong co dién mé6t chiéu cé dac tinh Lim vige ri nén duge lam truydn déng chén cho cdc bang ti, may bao giving, khép tay Rooboot, di chuyén rooboot...Chinh vi sy wu diém vudt trdi cha déng co dign mt chiéu nén em Iya chon dong co dign mét chiéu dé lim dé tai nghién ciru va img dung. omy Stato C6 gop ‘Tree Gui Hinh 1.30. Déng co dién mét chiéu. 1.3.1. Cau tao. Déng co dign mét chi ‘han cam (stator) va phn img (r6tor). 30 1.3.1.1. Phan cam (Stato). Los ane ce ions tw 6 mad Minh 1.31, Phan cam (Stato) ding co dign mét chiéu. + Cue tir chinh: La nam cham dign. C6 nhigm vy tao ra tir trrdng chinh trong may, eve tir chinh gm e6. + Léi thép exe tie chinh: Lam bang 14 thép day 0,5-1,0 mm ép lai va tan chat. + Day quan kich tir: Lam bang day dign tir 1di dng, cdc cuén day duge dat lén cue tir chinh néi néi tiép véi nhau. = Cue tir phy: Gom 06: + Li thép eye tir phy: Lam bing thép khdi, + Day quan phu: Lam bing day dign tir Idi déng va dat lén cdc eye tir phy. ‘ng tir (V6 may): Lam mach tir ndi lién cdc eye tir, déng thoi lam vo may, ngoai ra con c6 nip may, gid do 6 bi. 1.3.1.2. Phan img (Roto). Gom c6: ‘Lal ship pn ag Hinh 1.32. Phan img (Réto) déng co dién mét chiéu. - Loi thép phan img: Ding dé din tir, lam bing cae la thép ky thuat dién ghép. lai véi nhau. Trén la thép c6 dap ranh dé dat day quan. - Day quan phan img: La phan sinh ra site dign déng va 6 dong dién chay qua. ‘Thudng lam bing dy déng c6 bge chach dign, 31 - Truc réto:La phan dé 10i sit phan img.cinh quat va 6 biduge lam bang thép cing. 1.3.1.3. Phan doi dién. (Gi di cha than hung ndi mass xo chéi than Hinh 1.33. Chéi than, Phicn ding cach aign mica hic dat chien Minh 1.34, Cé gép. Gém cé chéi than va cé gop. - Chéi than: Dé dua dong dign mét chiéu tir phan ngoai vo trong day quan phan img. Co céu chéi than gdm cé chdi than dat trong hép chéi than va nhé mét 16 xo ti chat Ién c6 gép. H6p chéi than duge cé dinh trén gid chéi than va cach dién véi gid. Gia chéi than 6 thé di chuyén duge dé diéu chinh vj tri chéi than cho ding ché, sau khi digu chinh xong thi ding vit cé dinb lai. - Cé gép: Gém nhiéu phign déng cach dign véi nhau bing 6p mica day 0,4 dén 1.2mm va hop thank hinh try tron, 1.3.2. Nguyén If lam vigc. 32 Hinh 1.35, So dé nguyén I dng co dién mét chiés Khi cho dign 4p mét chidu U vao hai chéi dign (+) véi chéi than A cue (-) cia nguén dign mét chiéu voi chéi than B, trong day quan phan tng cé dong dién. Dong dign nay két hop voi tir truéng phan cém( NS ) sinh ra lye dign tir Fat. Fab = Blablsina. Fed = BledIsina. sina. = 1 (a= 900) Vi ab = cd nén Fab= Fed=F=BII Phuong chiéu cia Fdt xac dinh theo qui tic ban tay trai (Fab song song nguge chiéu véi Fed), Khi phan img quay duge nita vong, vi tri thanh din ab va ed ddi chd cho nhau, Nhé c6 c6 gop, chéi than dong dign | chiéu duge bién thanh dong dign xoay chiéu dua vao day quan phan tmg, git cho chiéu lye tac dung khong déi, do dé lye tac dung lén réto cing theo 1 chiéu nhat dinh, dam bao cho déng co cé chiéu quay khéng déi. 1.3.3. So dé déu day. Hinh 1.36. So dé néi day ctia d6ng co kich tie déc lap va song song. Khi ngudn dign 1 chigu cé céng suat Ién va dign ap kh6ng d6i thi mach kich tit thuéng mic song song véi mach phan img. Khi ngudn dign mét chiéu c6 céng suat khéng du Ion thi mach dign phan tmg va mach kich tit mac vio 2 nguén mét chiéu déc lap. 33 1.3.4, Phucong trinh de tinh co. Theo so dé néi day cla déng co dign mét chiéu kich tir déc lap hinh 1.36 viét dirgc phurong trinh can bing dign ap cia mach phan img 6 ché d9 xéc Lip nhur sau. Us=E+ Rut Role (1) Trong dé: - Uy:Dién ap phan img (V). - E: Suat dign déng phan img (V). - Re Dign tro phy trong mach phan img (Q). ~ R,: Dién tré cba phan img (Q). V6i Ry = tat tert fey + x Trong a6: - ty: Dign tré day phan img (Q). = r¢ Dign tr6 eye tir phy (Q). ~ ty: Dign tr cugn bi (Q) -tyx: Dign tré tigp xitc cia chéi dign (Q). Site dign dong E ciia phan img déng co duge xac dinh theo biéu thire: PN 2na E= ®, 0=K®. 0 (12) Trong a6: ~ P: Sé déi dign eye chinh. -N: S6 thanh dan téc dung cia cugn day phan img, - a: $6 mach nhanh song song cia cudn day phan img. - @: Téc a6 géc (rad/s). - @: Tir thong kich tir chinh mét eye tir (Wb). PN, 2na Dat K= HG s6 két cau ca déng co. Néu biéu dién site dién déng theo téc 46 quay n (vong/phut) thi B= K,.®.n va 2an 60 Eu ae On = K.On Trong dé: K,: Hé sé site dign déng cia déng co, Tir cde phuong trinh trén ta 06: Ty (1-3) Day la phurong trinh dac tinh co dign ciia déng co dign mét chiéu .kich tir dée lap. 34 Mat khdc cé mémen dign tir ciia déng co 6 ché dé xi bigu thire: Ma. = K.Ody (4) lip duge xae dink theo M, Suy ra 1,= “4, thay I, vio (1-3) ta 06 uy a Ly = thay L, vdo (1-3) ta 06 UL RL +R, Kg (kay * Mar (1-5) Néu bé qua ton that co va tén that ma sat trong 6 true thi c6 thé coi mémen co trén true dng co bing mémen dign tir va ky higu a M: Mg = Moo =M u +R = M Kb (Ke Day 1a phuong trinh dic tinh co cilia dng co dign mot chiéu kich tir dc Lip. Suy ra: © (1-6) = Cé thé biéu dién phuong trinh dac co dudi dang khac. © = @y-Ao ar y, ‘ Trong 46 = 75: Goi a tbe db khong ty tog, oM= M: Goi la d6 sut toc. (Ka) (Kgy Gia thiét phan img duge bi dui tir thong cua dong co @ = const, thi cdc phuong trinh dac tinh co dign (1-3) va phuong trinh dac tinh co (1-6) 1a tuyén tinh. Dé thi cha ching dugc biéu dién trén dé thi 1a nhimg duéng thang. Néu xét dén tat ca cdc t6n that thi: M.. = Ma AM. Hinh 1.37. Dic tinh co dién cia déng co m6t chiéu kich tie déc ldp. vu ‘Theo dé thi trén khi 1, = 0 hodc M=0 thi c6: = @y = =, lite nay dong co dat t6e 6 Khong tai ly twémg 35 Con khi @ = 0 thi ta ¢6: l= Tawa 1-8 R+R, as Va M=K.® lam =o (1-9) VGH Tim» Mam: Goi la dong dign ngan mach va mémen ngan mach, Me M M Hinh 1.38. Déic tinh co ctia d6ng co dién mét chiéu kich tie déc ldp. 1.3.5. Anh huong ciia céc thing sé toi toc dp dong co. UL _R +R, Kd (Kg Tir phuong trinh dac tinh co: @= M nhan thay muén thay déi toc 46 @ 06 thé thay ddi g, Rr, U. -Trurémg hop Ry thay ddi (U.= Usm= const; ©= Pgq= const): a . AM _ _(Koyy)” D6 ct lac tinh co: #=—— a 8 cong Ste tah em: P=" = — giam. Néu R; cang Ién thi tc 4 d6ng co cing giam déng thai dong ngin mach va mémen ngin mach cing giém. Cho nén thudng sir dung phuong phap nay dé han ché dong va diéu chinh téc dé déng co & phia dudi téc d6 co ban. -Truéng hgp thay déi U< Urn Téc d6 khong tii @, = 2 gidm trong khi dé cimg dic tinh co p= 4M — —{KO" _ Ke ho R, const, Khi thay d6i dién ap thu duge 1 ho cdc duémg dae tinh song song. Phong phap nay duge str dung dé diéu chinh téc 46 déng co va han ché dong khéi déng. -Anh hurdng cia ti théng: Muén thay déi @ thay déi dong kich tir Iy khi dé tée d6 Khng tai o = S Ke? ting. Dé citng dic tinh co: # ate oe gidm. o 36 1.3.6. Cée phuong phép diéu chinh toc dé dong co dign m6t chiéu kich tit déc lap. 1.3.6.1. Diéu chinh toc dé bang céch thay doi dién ap phan ig. Déi véi cde may dign mt chidu, khi gid tir thong khéng déi va diéu chinh dign 4p trén mach phan img thi dong dign, moment sé khéng thay d6i. Dé tranh nhimg bién dong Ion vé gia t6c va lye déng trong hé digu chinh nén phuong phép diéu chinh t6c 46 bing cach thay déi dign 4p trén mach phan img thudng duge 4p dung cho déng co ich tir d6c lap. Dé diéu chinh dign ap dat vao phan img déng co, ding cac bé nguén diéu ap nhu méy phat dién mét chiéu, cdc b6 bién déi van hodc khuéch dai t...Cac b6 bién déi trén dung dé bién déng xoay chiéu cia lwéi thanh déng mét chiéu diéu chinh gia tri sire dign déng theo yéu cau. Phuong trinh dac tinh co cia dgng co dign mGt chigu kich tir dc lap: UL RFR, Ki Ky Ky mot chigu Ta c6 tbe d6 khdng tai ly tuéng: mo = Usa/Ke®am 5 cime ea duome dae th AM __ Ky Kyo! DG cimg cia duong dic tinh g=AM Feu t - const 9 Cn ee AE POM RAR, Khi thay di dign dp dat Ién phan tmg cia déng co thi téc d6 khong tai ly tong a duéng dic tinh co thi khong thay déi. sé thay déi nhung d6 cing ‘Nhu vay: Khi thay déi dign 4p thi 46 ctmg cla dwéng dac tinh co khéng thay di. Ho dc tinh co La nhimg dung thing song song véi duéng dic tinh co ty nhién. Hinh 1.39, Duéng die tinh co khi thay déi dign dp dat vaio mach phan ing. Phuong phép didu chinh téc 46 bing cach thay d4i dign 4p phan img thyc chat 1a gidm 4p va cho ra nhimg téc d6 nhé hon t6e 46 co ban ng. Dong thai diéu chinh nhay cp hay lién tue tly thuéc vao bé nguén cé dién Ap thay déi mt cach lién tyc va nguge lai. Theo ly thuyét thi pham vi diéu chinh D = 00, Nhung trong thyc té déng co dién mét chigu kich tir dc Lap néu khong c6 bién phép dic bigt chi Lim vie 6 pham vi cho phép: Uninp = —** nghia la pham vi diéu chinh, 37 D=nalttnin = 10/1 ign p phan tmg U < Uninc thi do phan img phan ng st cho téc d6 déng co khéng én dinh. 1.3.6.2. Diéu chinh téc a6 bang cdc thay adi tir thang. — I. Hinh 1.40. So dé nguyén ly diéu chinh toc dé bang céch thay dai tit thang. a Digu chinh tir théng kich thich cia déng co dign m6t chiéu 1a diéu chinh moment dign tir clia dng co M = Kydly va site dign déng quay cita déng co. E, = Keon. Théng thuéng, khi thay déi tir thong thi dign 4p phan img duge git nguyén gi tri dink mic, Déi véi cae may dign nhd va déi khi ca cde may dign céng sudt trung binh, thuéng sir dung cdc bién tré dat trong mach kich tir dé thay déi tir thong do tén hao céng suat nh6, Déi véi cde may dign céng suat Idn thi ding cdc b6 bién déi dic bist nhu: may phat, khuéch dai my dién, khuéch dai tir, b6 bién adi van... Thue chat cua phwong phdp nay la gidm tr théng. Néu ting ti thong thi dong dign kich tir ly sé tang dan dén khi hu cugn day kich tir, Do dé, dé diéu chinh tc d6 chi c6 thé gidm ding kich tir tire 1a gidm nhé tir théng so véi dinh mite. Thay hic nay uv K,® t6c d6 tang én khi tir théng giam. n = Mat khac ta cé: Moment ngin mach M, = Ky 1, nén khi ® giam sé lim cho M, gidm theo. D6 cimg ciia duémg dic tinh co. Khi ® giam thi 46 cimg f cing giam, dic tinh co sé déc hon. Nén cé ho dudng dae tinh co khi thay di tir thong nhw sau, 38 il $au> 01> 2 Day < my

My > My > My Hinh 1.43. Ho dae tinh co. Nguyén ly didu chinh téc d6 bang cach thay déi dign tré phu trén mach phan img duge giai thich nhw sau: Gia sir dong co dang lim vige xac lap véi t5e 46 n; déng thém Ry vio mach phan tng. Khi 6 dong dign phan ing I, d6t ng6t giam xudng, con toc 46 dong co do quan tinh nén chwa kip bién déi, Dong 1, gidm lim cho moment dng co gidm theo va t6c d6 gidm xudng, sau dé lam viée xdc lap tai te d6 ny véi ng > m. Phuong phap diéu chinh téc d6 nay chi cé thé digu chinh téc d6 n < ng. Trén thye té khéng thé dimg bién tré dé digu chinh nén phuong phép nay sé cho nhing téc 49 nhay cap tire d6 bing phing 7 xa 1 ttre n, céch xa np, n; cdch xa ns. Khi gid tri main cang tién gan dén 0 thi pham vi diéu chinh: Trong thye t8, Ry cang lén thi tn that nang hrgng phu ting. Khi déng co lam vide 6 tc d6 n = ng/2 thi tén that nay chiém tir 40% dén 50%. Cho nén, dé dim bao tinh kinh té cho hé théng chi diéu chinh sao cho pham vi diéu chinh: (+3) Khi gi tri Ry cang Ién thi téc d6 dong co cing giam. Déng thoi dong dign ngin mach I, va moment ngan mach M, ciing giam. Do dé, phuong phap nay duge ding dé han ché ding dign va diéu chinh te a6 duéi tbe d6 eo ban. Va tuyét déi khong duge ding cho cac déng co cia may ct kim loai. - Két ludn: Sau khi phan tich ba phuong phdp diéu khién néu trén thi phuong phap didu khién bang cach thay ddi dign 4p phan img 8 didu khién téc 46 dong co dign mét chigu 1a tét hon ca. Cho nén em chon phuong phap nay lam dé tai nghién ctu va tng dung. 1.3.7, Mé may dong co dign mGt chiéu, Dé mé may ddng co mét chiéu dugc tét, phai thyc hién dugc nhiig yéu cau sau diy: = M6 men mé may Mam phai lon dé ddng co dat duge te dé quay quy trong thoi gian ngin nhat. = Dong dign mé may Inn, phai duge han ché dén mite thap nhat dé tranh cho day quan khéi bi chay hoac anh huéng xau dén qué trinh 4éi chidu. 40 - Théi gian mo may can nhé dé may c6 thé lam vige duge ngay - Thiét bj mé may don gian, ré tién, tin cy va it ton nang lugng. Trong khuén khé nhimg yéu cdu trén Khi mé may thudng ép dung 3 phuong phap mé my sau: - Mé may truc tigp. - Mé may nha bién tra. - Mé may bing dign dp thap. 1.3.7.1. Mé may tryc tiép. Phuong phap nay duge thye hign bing céch dong thing dong co dign vio nguén, Nhw vay lic réto chua quay site dign dong E = 0 va dong dign phan ing _U-E,_U R, 1 = Tn. Vi trong thy té R rat nhé nén véi dign ap dinh mitc, dong dign sé rt lin nén phuong phép mo méy nay chi dp dung duge cho nhing dong co dign c6 cOng suit vai trim oat, Trong nhimg trudng hop dic biét méi cho phép mé may tre tiép voi nhimg dong co c6 cong suat ai kildoat 1.3.7.2, Mé may nha bién tra, Dé trénh nguy hiém cho déng co vi dong dign mé may qué lén, ding bién tre m6 may Ron gdm mot s6 bin tr néi tiép khdc nhau va dat trén mach phan img inh 1.43). Bién tro mé may duge tinh sao cho dang mé MAY lym= (1,4 1,7 )lam Adi voi cdc dong co 16n Va Inm= (2+2,5)lim Abi voi cde dGng co nhd. Trude Nic mé méy tay gat ‘im tai vj tri 1 va con trugt ciia bién tro & mach kich tir 6 vi tri min(Ry.=0). Khi cap ngudn phan img cho déng co, dién ap dat vao dong co la: UU:—Urenn Uae. dong dign mé may luc réto chia quay: Im = Hinh 1.44. Mo may DCDMC nha bién tra. Khi réto quay, strc dién déng sé tng ti 18 voi tée 46 quay, Imm = R, + Ron gidm theo va t6c d6 n tang cham hon, Khi I giam dén trj 86 (1,1+1,3) Iam gat tay gat dén vi tri 2. Vi m6t bac dign t6 bi loai trir, | Lap tie ting dén gidi han trén cia né kéo theo M, n, E tang, sau dé I, M lai giam theo quy luat trén. Ln hrgt chuyén tay gat dén cde vi tri 3, 4, 5. Qué trinh cif lp lai cho dén khi may dat dén téc d9 n = gm thi Ram a ciing duge loai trir. Sé bac cita Ram Va dign tro cia mdi bac duge thiét ké sao cho dong dign mé may cue dai va cuc tidu & méi bac déu nhau dé dam bao cho qua trinh mo may duge tét nhat, 1.3.7.3. Ma mdy bang dién dp thdp. Phuong phap nay doi hoi phai ding m6t ngudn din ddc lap c6 thé digu chinh duoc dign ap 48 cung cap cho phan img ciia déng co, trong khi dé mach kich tir phai dugc dat dudi dign 4p dinh mitc cia mét ngudn khdc. Diy 1a phuong phdp thuong ding hon ci trong vige mé may cac ding co di céng suat lon dé ngoai ra con két hop voi vige diéu chinh téc d6 bang cach thay déi ign dp. 1.3.8. Cie phuong phap déo chiéu quay ding co dign mét chiéu, Déi véi déng co dién mét chiéu cé hai nguyén tic dao chiéu quay 46 1a: Gitt nguyén déng dign phan img, dao chiéu dong kich tir, nguyén tic nay 4p dung cho nhitng déng co cé céng suat lon. Nguyén tic thir hai la git nguyén chiéu dang kich tir, dao chiéu dong dign phan img, nguyén tic nay thudng ding cho nhimg déng co c6 cng suit nhé. O day do 1a déng co cbng sudt nhé nén ding phuong phép thir hai sir dung cde Thyristor dé bam xung dign ap va dao chiéu déng co. So dé nguyén ly mach dao chiéu quay déng co. FOL 1 ha ot Hinh 1.45. So dé biim xung dién dp mat chiéu cd déo chiéu. Theo so dé trén, muén dao chiéu d6ng co chi can déng/ngat cac van theo mét quy tic nhu sau: Khi déng co Lam vige theo chiéu thugn thi T3 mé hoan toin, T2 va T4 khéa, T1 duoc déng ngat theo chu ki xung vao. Chiu dong dign tir dvong nguén qua T1 qua dng co qua T3 vé am ngudn Khi déng co lim vigc theo chiéu nguge thi T4 ludn mé, T2 déng ngit theo chu ki xung, Tl va T3 khéa. Chiéu dong dign: Duong nguén qua T2 qua déng co qua T4 vé am nguén. Trong ca hai chiéu quay, trj s6 dign 4p ra duge tinh theo céng thite: Ur=tm.Uw/T Cac diode 6 nhigm vu bao vé cac van khi ngit dong d6ng co ra khoi nguén. a2 HUONG 2: GI61 THIEU PHAN MEM PROTEUS, ALTIUM DESIGNER, CODEVISIONAYR VA LAP TRINH C TREN CODEVISIONAVR. 2.1. Tang quan vé phan mém Proteus. Phan mém Proteus duge sin xuat bai Labcenter Electronics - mGt cong ty san xudt phan mém ciia Anh. Proteus cho phép mé phéng hau hét cdc mach dién tr, thiét ké hoan chinh mét hé théng vi diéu khién bao gém toan b6 mach phan cimg, sau dé mé phéng sy twong tic gitta ching. Proteus cé kha nang thiét ké mach in véi viée chuyén true tiép tt mach nguyén ly sang mach in hoac vé mach in. Proteus la phan mém tign ich va hitu dung d4i vi hoe sinh sinh vién nganh dién va dign tir, Proteus o6 day dii c6ng cu manh mé, thar vign cdc linh kign da dang. 2.1.2. Cai dit chicong trinh, Qué trinh cai dat phan mém proteus cing tong ty nhu cdc phin mém khic. Viée cai dat rit don gian néu da lam quen voi viée cdi dat céc phan mém nh Microsoft Office, Photoshop, Cad... Trong the muc géc cia Proteus bao gém file setup72.exe va folder patch, Dé cai dat chuong trinh nhap d6i chuGt vio file setup72.exe vige cai dat durge kich hoat. 72 7] al Wiese Hinh 2.1. The muc géc chita file setup. Trén man hinh xuat hign hp thogi chao mimg viée cai dat phan mém. Hinh 2.2. Hop thoai chao mimg cai dat. Dé tigp tue qué trinh cai dat chon Next, Sau dé doc ban théa thudn ban quyén, Dé thu hign cai dat chon Yes. Néu chon No vige cai dat két thic. 43 ogi Sete ear Ces CeCe Hinh 2.3. H6p thoa ban quyén ciia san pham. Trén man hinh xuét hign h6p thoai Setup Type chon Use locally installed Licence Ky (sit dung ma céi dat trong b@ cai dat ciia may) sau dé chon Next. a 20 snl may (Osea mee Hinh 2.4. Hop thoai luca chon Iga hinh cai dit. H6p thoai Product Licence Ky xuat hign chi ra théng tin vé théi gian sir dung phan mém chon Next. Hinh 2.5. Hép thoai ma cia san pham. 44 Hop thoai Choose Destination Location xuat hign chi ra foder cai dit mac dink 1a:C...\Labcenter Electronics\Proteus7 Professional. Néu dé mac dinh thi nhdn Next sp tue. Néu muén thay déi nhan Browse. ‘heated nn eind ene Hinh 2.6. Hp thoai lia chon thu muc cai dé Trong cira sé Select Features yéu cdu chon cdc dic trung ciia qua trinh cai dt, t6t nhat nén dé mac dinh sau dé chon Next. ri) Lem) Hinh 2.7. Hop thoai lia cdc dic tring ctia qua trink cai dat Cita s6 Select Program Folder chi ra tén Foder cai dat ra, Mac dinh la Proteus 7 professional, C6 thé thay déi tén foder néu mudn, chon Next. == Hinh 2.8. Hop thogi gidi thiéu the muc duge cai dat. Chuong trinh ty déng thyc hign qua trinh cai dat. 45 Hinh 2.9. H6p thoai thé hién trang thai cai dét. Cita sé InstallShield Wizard Complete chi qua trinh cai dat két thiic, Cé thé doc file Help néu click vao View the README help file, nhan Finish dé két thie. Ce BCAATSIY ect Seon Hinh 2.10. Hép thoai thé hién cai dat Wizard két thiic. ‘Tit Start - Programs-Proteus 7 Professional-Licence manager xuat hién hdp thoai Labcenter Licence Manager 1.5 chon Remove - yes. [essere Lace | jee oc Hinh 2.11. Hop thoai thé hign viée quéin ls ma cai dit. Nhan Browse For Key File chon thu myc patch - Licence.Ixk, Nhan Open, 46. ee | EF Felipe [ieee ————] Hinh 2.12. Hép thoai chon mai cai dit ‘Chon None (None) sau dé nhan Install - Tiép theo nhan Close. Hinh 2.13. Hop thoai cai dat ma Vaio thur mye gée Patch. Copy file Proteus 7.x Professional - patch.exe vao thir mye vita cai dat (G2 CProgram FlestLaboenter Electronis¥Froteus 7 ProfessionallIN Hinh 2.14, The muc vita cai dt Nhip d6i chudt trai vio file Proteus 7.x Professional - patch.exe chon Patch ms Fike Boonen Hinh 2.15. Hép thoai crack san pham. Tim file ARES.EXE va ISIS. EXE nhdn OK, Két thc qua trinh cai dat. a7 ==] mae [eter t Hinh 2.16. Lira chon file dé crack. 2.1.3. Khoi dong chiong trinh, DE Khdi dGng chong tinh click chudt vdo Star/program/Proteus 7 Professional/ ISIS 7 Professional churong (rin duge khoi dong véi giao dign nh sau: DSWiemiea |/ais+|\4adaa oc Xuma Emm aye > 2 A MAMT OA Ely +u +e souMenre Khun ach cs F Thanh tac ‘Thanh céng cA = = anh mile eéclinh vaing fam vite ehinh kita Cae nit mé phng cor =? etereele Hinh 2.17. Giao dién khi khoi dng phan mém. 2.1.4. Giao dign chicong trinh, 2.1.4.1. Menu: Bao gém cdc menu nhu. "Fle View Edt Took Dedgn Graph Source Debug Ubrary Tevnlate Sistem Help Hinh 2.18. Menu chinh cia phan mém. 2.1.4.2. Thanh tac vu. Mé ban thiét ké moi. Mé ban thiét ké da 6, Lamu ban thiét ké Cho phép hién hay an busi diém. Chon géc toa dé. Bat diém tai chinh gitta man hinh. EE go f+ Phong to man hinh, 43 ci HB sb|ee BBs Bl OB 18 |a ‘Thu nhé man hinh. Quan sat toan b6 ban thiét ké. Quan sat timg ving cia ban thiét Undo/redu. Be ty) Cav dan. Copy khéi déi tugng da chon Di chuyén khdi déi tugng da chon. Xoay khéi déi tuong da chon. Xo khdi déi tong, Lay linh kign tir thur vién. Tao linh kign méi Tao trang vé méi. Hién thi cde théng tin vé dign va kém tra I6i cua ban vé. Hién thj danh sach cdc linh kign sir dung, ‘Chuyén tir mach nguyén ly sang vé mach in. 2.1.4.3. Thanh céng cu. Dich chuyén khung nhin ban vé. Hién thj linh kign dang chon trén khung nhin ban ve. Dat én duong day. Tao diém néi ‘Thém text cho ban v& Vé dueng Bus. Tao mach phy. Lay nguén, dat Lay chan cia linh kign ‘Dé thi mé phong. May phat tin higu. Dau rd dign dp. Dau rd dong dign Cac thiét bi ao, @AB# Caccéngcyve2D Xoay trai linh kign. Xoay phai linh kign. Dao linh kign theo cf Do linh kign theo chiéu doe. u ngang, 49 2.1.4.4. Céc mit mo phong. |b) M6 phong lién tue TB] M6 phong timg buse. Tam dimg mé phéng. Dig mé phéng. 2.1.4.5, Khung nhin bén ve. quan sat toan b@ hinh anh cha ban vé dang thye hign trén ving _ ? Hinh 2.19. Khung nhin ban ve. 2.1.4.6. Viing lam vige chinh. Tir day c6 lam vige chinh, Thue hign cae thao tac vé ban ve 2.1.5. Cie thao tée co ban, Chon déi turgng: Nhap chudt phai lén déi trong. Bé6 chon déi tugng: Nhdp chuét phai lén ving tréng. Xo déi tong: Nhdp 46i chuét phai lén ving tréng, Di chuyén: Chon, kéo ré bang chuét trai dén vi tri méi. Ding banh xe clia chuét dé phéng to hode thu nhé dén ting déi tugng. Ding ban phim: F6: phéng to. F7: thu nhé. F8; Xem toan mach. ‘Sir dung thu vién: Dé tim linh kign mé phéng sir dung thy vign bing céch chon Library/Pick Device/ Symbol...P hoac nhan phim P hodc click chu6t trai vao nut P khi d6 thu vién duge mé, 50 tty tty. Pee Hinh 2.20. Cach lay linh kign tit the vién. Cita s6 linh kign nhw hinh vé. Cite Hinh 2,21. Cia so cde linh kién. Keywords : Tim linh kign bang céc tir khoa. Category : Thy vign cac linh kign. Sub-Category : Thu vign cdc linh kign con, Manufactures : Nha san xuat, Schematic Preview : Hinh anh linh kign. PCB preview : So dé chan linh kién Device : Tén linh kign Library : Thu vign Description : M6 ta Lay linh kign bang cach nhap déi vao cac linh kién can sir dung, khi lay dit cdc linh kign nhdp OK, Trén danh myc céc linh kign sit dung chugt tréi vao tinh kign sau 46 trén ving lim vige chinh nhap chudt trai dé dat linh kign, ‘Dé tim chip ATMega32. st Ving Keyword go ATMega32. Ving Category li ATMega32. =) au . Ste Hinh 2.22. Tim chip ATMega32. Dé tim LCD16x2 Ving Keyword g6 LMOI6L. ‘Ving Category la LMO16L. a) ee aT eH eet Hinh 2.23. Tim LCD 16x2. Ving Keyword g6 LMOI6L. Vang Category 1a LMO16L. Dé tim dong co dién mét chidu. Ving Keyword g6 motor DC. ‘Ving Category 1a motor DC. 82 @ Hlinh 2.24, Tim déng co mét chiéu. Néi day: Dich chuyén con tré chuét dén vi tr day, trén con trd chuét xual hign mau do, di chuyén chugt va kéo day dén vj tri can ndi thi nan chugt trai dé RP1 of] Hinh 2.25. Céch noi céc linh kién. 2.2. Phan mém Altium Designer. 2.2.1. Gidi thigu va tinh nding Altium. Altium Designer, la mét trong nhimg céng cu vé mach dién tir manh nhat hién nay, Duge phat trign béi hang Altium Limited, Altium Designer (Altium) mang lai cho ngudi ding mot méi trong lam vige hét site chuyén nghigp, n6 1a mot hé thong thiét ké dign wir hop nhat hang dau thé gidi, né cho phép ngudi phat trién tao ra mot thiét ké y twéng cho 4é khi hoan thanh chi véi mét céng cu thiét ké duy nhat. Céc tinh nang chinh cia Altium bao gom: M6i trréng thiét ké hgp nhat: Cho phép tao ra sy théng minh ctia cde san pham sit dung phan mém, phan ctmg va phan cimg kha trinh, trién khai thiét ké ¥ tuéng cho t6i buéc ché tao board mach va san xuat chi trong mt méi truéng thiét ké duy nhat, Lién két dng, thoi gian thye ECAD_MCAD: Cung cap lién két d6ng, thoi gian thyc gidta céc mi tnréng thidt ‘AD vi MCAD sit dung céng nghé 3D manh mé, cho phép nha thiét ké thye hign vige khép cdc thinh phan co khi mét each chinh xéc. Chite nang lap trinh san pham: Cung c4p mét phuong php méi cho phép cic san pham dign tir thong minh duge thiét ké nhanh chéng véi su tap trung vao cac chite nang duge lap trinh trong san phim thay vi duge tao ra cé dinh trong 46. 53 Khai théc kha nang cia céc IC kha tinh: Cho phép khai théc kha ning duge dua ra béi cdc IC kha trinh dung hrong Ién hién nay nhy mét nén tang trién khai va thiét ké hé théng nhanh gon. Théng nhit viéc phat trién phan mém véi thiét ké FPGA: Théng nhit vige phat trién phan mém voi thiét trén vi xit ly va phan eimg kha trinh. FPGA cho phép phan ving déng céc chitc nang dang chay Sy linh hoat mite d6 thiét ké: Cung cap sy linh hoat & mite dé thiét ké gitta cic nén ting thye thi phan mém va céc IC logic kha trinh khae nhau. Tao va quan ly dit ligu thiét ké: Cho phép tat ca cdc dit ligu thiét ké duge tao ra ‘va quan ly trong méi trudng thiét ké duy nhat, Thiét ké dGc lap vai nha cung cép IC: Cung cap mi trudng thiét ké toan dign hé try vige thiét ké voi cdc kién trac vi xir ly, khde nhau de lp véi nha cung cp IC. ic Idi vi xit ly va cde logic kha trinh Kha ning quan ly dy dn va version control: Cai thign vige quan ly dur 4n va ting téc d6 thiét ké nho tich hgp tinh ning quan ly dit ligu manh mé nhw vige hé try hé théng version control va kha nang so sanh sy sai khic gitta céc file thiét ké nhu mét phan cia méi tring thiét ké. Dé dang két ndi tdi hé théng sin pham rng hon: Dé dang thém cae két ndi vao thiét ké cho phép phat trién mt hé thong san pham rng lén, két ndi ngudi thiét ké voi khdch hang théng qua cde san phim, 2.2.2. Giao dign cia chong trinh Alitium. Khoi dng chong trinh tir shortcut trén destop min hinh nén hoje tir Menu Start >> All programs >>Altium Designer 10 >> Altium Designer 10. Khi logo chuong inh xudt ign, Alttum 54 4 i i i i Hinh 2.27. Cita s6 lim viée chiang trinh, Dé tao so dd nguyén ly trudc hét can tao | project (Du an) m >> New >> Project >> PCB Project. fons eect sera ie apie ie dae ike th >a Beko She pares ; a eee Hinh 2.28. Cie s6 tao mét project. DAt tén va save tén dy én tén (mac dinh PCB Project) khi €6 méi trudng lam vige cia Altium sé tr dng chuyén sang méi trang ve mach nguyén ly. 55 Hinh 2.29. Cia sé vé mach nguyén ly. Dé lay linh kign dign tir sir dung thw vign Libraries, theo mac dinh thy vign Miscellaneous Devices.IntLib ty déng xuat hién. Thw vign nay chita hau hét cde linh kign don gidn nhu: Dign tro, tu dign, transistor, a | Hinh 2.30. Cita sé ldy linh kién. 2.3, Phin mém CodeVisionAVR. Phin mém CodeVisionAVR 1a mét phin mém hé tro lap trinh cho vi digu khién AVR bing ngén ngt C, né hé tro nhiéu thu vign lgp trinh, thuan Igi cho viée phat trién cdc ing dung lén trén AVR, phan mém duge viét chuyén nghiép hung t6i nguai sir dung béi sy don gian, su hé trg cao cdc thr vign 66 sin, 2.3.1. Giao dign cia chwong trinh CodeVisionAVR. Khoi dng chyong trinh tit shortcut trén destop man hinh nén hoje tir Menu Start 56 >> All programs >> CodeVisionAVR Evaluation >> CodeVisionAVR C Compiler Evaluation. Khi logo chuong trinh xuat hign. ont So Hinh 2.31. Logo chuong trinh CodeVisionAVR. Xuat hign ctra s6 chinh ciia chuong trinh CodeVisionAVR nh sau: on Oe oo | a atee. 15/0 | Re vERic emo e | | coral Hinh 2.32. Cita s6 chinh cia chong trinh CodeVisionAVR. Tao Project (dy dn) méi chon File >> New sé xudt hign ciza sé Create New File sau d6 chon Project roi nhan vao OK, 1D Create New File FileType Source a @ Project % Cancol Hinh 2. 3. Cita sé tao mét Project. 87 Chwong trinh sé yéu clu xée mhn vige tao project méi sit dung CodeWizardAVR. Nhan vao Yes dé xc nhan. You ae abovtto crest 2 maw projet evyou want to wet CoaeiviardaVRt Hinh 2.34. Cita sé xde nahaanhj viéc tao Project mdi. Tiép theo sé xuat hién cita sé CodeWizardAVR (Hinh 2.30), cho phép khéi tao cau hinh cho chip vi digu khién va m6t sé thiét bi ngoai vi nhu: Ports, LCD, USART, Timers, I2C, ADC, SPI, 7 condeWiedAVR- untied cap [Bl Rego Eat Hep |DeGmla oes B/? Bierget | Proestvemaion AiphenurericLcd— |__ieahicLCb. se [vc [avin | Twie2c) sent | Avsing Campmaioe | Ae chp Leos | exmoiia@ | tines Chin (fTineas 8.) coe ve00000 Fal Me Elche Beset source Program ype [Aepliation Hinh 2.35. Khai tao cdu hinh cho chip va thiét bi ngoai vi. Sau khi da khdi tao xong cho chip va thiét bi ngoai vi can luu lai céu hinh bang cach chon Program >> Generate, Save and Exit. 58 chin [ATmene32 Gow 180000004) ie check fest Sauce Progam Type Hinh 2.36. Lieu lai edu hinh, Xuat hién cira sé Save C Compiler Source File yéu cau htu file source cia project, gdm 3 file cé tén mé rong mhu sau: *.c, *.prj, *.cwp. pee — Seen ar + Of em Oxerested 98 AgABL23s0P11 Fete Yl0a0 350% eds a wawia39PM Fifer oss any 250014 Fetter Deitop lava NRO 350044 Source! Ta cee @ Hinh 2.37. Luu file source cau project. Sau khi da hu céc file source ciia project sé xuat hién cira sé quan ly project. 59. ‘8 aneene niet a te tee Bat ate Seo dH et oCMERS. Slee 7 [4] 0-58 [Baw RIM. nee east Hinh 2.38. Cita sé quan I Project. Chuong trinh CodeVisionAVR sé tao code miu, trong dé c6 dy dit code cin thiét ma di khéi tgo tude dé. Tir cra $6 nay tién hanh viét code (bing ngén ngit C), bién dich va nap vao vi diéu khién, ‘Dé bién dich code chong trinh chon Project >> Compile (hodc nhan F9). [omni ier vane OBime ° Hinh 2.39. Cita sé bién dich code chong trinh. ‘Nap vao chip: truéc khi nap vao chip can thiét lap m6t sé théng sé nhu: ~ Chon loai mach nap: Atmel STK200+/300. ~ Chon Port giao tiép: Tuy theo may tinh nhan dign bé STK200+/300 6 port nao thi chon ding port giao ti 60 4 Programe Seng AVE Chip Progremmer Type, [Kenda Syeteme ST2oNe 00 a Primero erm = Delay Muiplies = 7 2g @1ATman188 CKDIVE Fuse Wering Hinh 2.40. Cau hinh thong sé nap vao chip vi diéu khién, Dé nap vao chip chon Tools >> Chip Programmer sé xuat hign cita sO nhu hinh bén duéi, Sau d6 chon Program >> FLASH. "$ coseaonti ip pape sa) ome Prost) (Cae rp ogranming Opn FLsHLoaoe Patan Fare ‘sNoPiseean Poseurs Provera deed Hinh 2.41. Cita sé nap vio chip vi diéu khién, 2.3.2, Lap trinh C trén CodeVisionAVR. C La m6t ng6n ngit khé manh va rat nhiéu ngudi dimg. Néu n6i sé Iénh co ban cia C thi khéng nhigu. Nhung déi véi lap trinh cho vi xt ly nguéi lap trinh chi can biét s6 luong Iénh khong nhidu. ‘Dau tién ban phai lim quen véi: Cac kigu toan tir 6 C. Cac kiéu dit ligu. Cu trie eo ban cia mét chuong trinh. Cac cau tric diéu khién (chinh cdc tap lénh), + Céu tric diéu kign if... else + Cac céu tric lap 61 Vong lip while Vong lap do while Vong lap for - Céu tric Iya chon: switch ... case ... break. - Biét sir dung cdc ham va chuong trinh con. 2.3.2.1. Céic chi thi tricdee khi sic bi ~ Chi thich duge dat sau dau “//” hode “/*...4/" - Goi thu vign. #include ‘Vi dy iinclude - Lénh gan #define bién_thay thé bién Vi du #define start PINA.O 2.3.2.2. Cae todin te, = Toan tir gan (=), Vi du: b=5; a=2+b; a=2+(b=5); a=b=c=5; - Cac toan tir s6 hoc (+, -, *, /, % ) (cOng), - (trtr) , * (nhan) , / (chia) - Cie toan tit gn phite hgp (+=, =, *5, /=,>>=, <<, &, =, |F) Vi du: value += increase; Tuong dong véi value = value + increase; a= 5; Tuong durong véi a= a-5; a /=b; Twong duong voi a= a/b; price *= units + 1; Tong dong véi price = price * (units + 1); - Tang va giam ++, - - att; <=> at=1; <=> aratl; - Tinh chat tién t6 hode hau t6 (+a) # (a+) Ex B-3, B-3:A-++B; // Ais 4, Bis 4 A=BH+; // Ais 3, Bis 4 = Cc toan tir quan hé ( -= Bing 62 != Khéc > Lén hon =Lén hon hoac bing <= Nhé hon hoi bing &&, |). ! NOT, && AND, | OR - Cle toan tit logic ( = Cae ton tir thao tac bit (&, |, *, ~, <<, >>). & AND Logical AND, | OR Logical OR. * XOR Logical exclusive OR. ~ NOT Dao ngugc bit. << SHL Dich bit sang trai >> SHR Dich bit sang phai. 2.3.2.3. Ctic kiéu dit lig. Bang 2.1. Bang dit liéu. Type Bits [Bytes Range char ¥ 7 “12810 F127 ‘unsigned char e H Tie 255 enum 16 z TR 0 +32, 167 short 16 z 32, 768 (0 732,767 unsigned short 16 z 10 65,535 int 16 z 32,168 to #32, 167 unsigned char 16 z 010 65,535 Tong 32 4 “E147 ABS G48 10 12,147 483,647 unsigned Tong. 32 4 0 10 4,294,697,295 ~Cach khai bao bien. Kiéu_bién tén_bién; Vi du: char so; 2.3.2.4. Céu tric co ban cia mot chwong trinh C #include //Ggi thu vign ¢6 sin cach viét khéc "*.h"// #define ledl PORTA.0 // Ding dinh nghia cac bién char bien] ,bien2; //Cac bién can ding// int ab; void chuongtrinhcon(unsigned int b) //churong trinh con// t 63 int ham(void) //chvong trinh con bang ham // ‘ Return(a); void main(void) //chyong trinh chinh// ‘ int a; //Khai bao bién bang sé nguyén// chuongtrinheon); a=ham(Q; 2.3.2.5, Céc lénh co ban ctia C. - Céu inte digu kign: if'va else if (diéu kign) Biéu thite //Néu digu kign ding thi thye hign biéu thite sai thi thye hign Ignh tiép theo. if (x == 100) x++; #/Néu x=100 thi tang x thém 1/ if (condition) statement! else statement2 if (== 100) x++; else x-~ = Cac cau tric lap. Vang lp wi Dang thitc: while (digu kign) t Biéu thite } //Néu diéu kién con ding thi con thyc hién biéu thite néu sai thi thoav/. While(1) {}; //Tao vong lap mai mai, rat hay ding trong lip trinh vi xt ly chuong trinh chinh sé duge viét trong dau ngoic//. Vong lip do-while. Dang thie: do { Bidu thitc} while (didu kign); 6a /Thye hign biéu thire sau dé kiém tra néu diéu kign cn ding thi con thye hign biéu thite néu sai thi thoat/ Vi du do { xct+; //Sir dung viét edu lénh//. } while(x>10) Vong lip for. Dang thie: for (gia tri dau; gia tri cudi; gia tri ting/gidm) { biéu thite;} Vi du: for (n=0; n=100 ; n++) t Cau lénh; J/M@i Lan thyre hign xong lénh thi n++ tang dén 100 thi théi//. = Céc Iénh ré nhanh va Iénh nhay, Lénh break. Sit dung break cé thé thoat khdi ving lap ng: cd hi didu kign dé né két thic chia duge thoa man, Lénh nay c6 thé duge ding dé két thie m6t vong lap khong xc dinh hay budc no phai két thiic giita chimg thay vi két thic mét cach binh thudng. Lénh continue. Lénh continue fam cho chwong trinh bé qua phan con lai cia vong lip va nhay sang Lan lap tiép theo. Lénh goto. Lénh nay cho phép nhay v6 diéu kign téi bat ki diém nao trong chuong trinh. = Cau tric Iva chon: Switch...case...break Ci phap: Switch (bién) case constant]: Biéu thite 1 break; case constant2: Biéu thite 2 65 break; default: Biéu thir n 66 (CHUONG 3: THIET KE MACH, LAP TRINH DIEU KHIEN DONG CO DIEN MOT CHIEU VA MO PHONG TREN PHAN MEM PROTUS. ‘Thiét ké mach nguyén ly. 1. Thiét ké mach diéu khién. a i = ‘| Noakes Minh 3.1. So dé mach diéu khién, ‘M6ét sé thanh phan co ban trong mach: - Mach tao nguén: Chip 7805 va cdc tu dign duge ding dé tao nguén SV én dinh cho mach tmg dung 67 +9 to 1SV (input) +5V (output) Wt toe) te 1 GROUND Iinh 3.2.80 dé chan ctia IC 7805 va chite nang cdc chan. - Cae chan nguén cho chip: Can cép nguén va mass cho chip, néi chan VCC (chan 10 cho chip ATmega32), va AVCC (chan 30) véi nguén VCC, néi cde chan GND va AGND vai mass. - Cac duéng nap chip: Danh sin cdc duémg nay dé co thé két néi véi cdc mach nap ma khéng cn théo chip khéi mach img dung. Nén bé tri cdc duéng nay theo thit ty ma mach nap duge bé tri (vi du GND, VCC, RESET, SCK, MISO, MOSI). = BG to dao dng - Thach anh (Crystal): Day 1d nguén xung gitt nhip "nud chip, khong c6 xung gid nhip chip sé khong hoat déng. Tuy nhién, da s6 cde chip AVR déu hé tro nguén xung gitt nhip bén trong véi tin sé téi da 8MHz. Néu khéng can thiét dén tin sé hoat déng cao ban cé thé ding nguén xung gitt nhip trong chip, khi dé 6 thé bé qua b6 tao xung (gdm thach anh va 2 ty dign nhu trong hinh). Vigc chon nguén xung "nu6i" chip duge xéc lap bai cdc Fuse bits, - Chan AREF a chan dign 4p tham chiéu cho cdc b6 ADC, néu ché 46 tham chiéu ndi duge ding, c6 thé ndi AREF voi m6t tu dign. 3.1.1. Thiét ké mach lec. 68 at Hinh 3.3. So dé mach lec. ‘M6t sé thanh phan co ban trong mach: - Dign tré la phan tir dic trumg cho tinh chat can tro dong digi 6 Hinh 3.4. Ky hiéu mau dién tre. - Ty dign [a linh kign ¢6 chite nang tich ty ning lugng dign + Tu hoa (tu phan eve) » —Eaa— b) — | Hinh 3.5. Ki higu tu hod va hinh dang tu hod. + Tu gidy (tu khdng phan cic) > ame; “ » ER Hinh 3.6. Ki higu tu hod vathinh dang tu gidy. 70 - Diode ban din Ia céc linh kign dign wr cho phép dong dign di qua n6 theo mét chigu ma khéng theo chiéu ngugc lai. ibn teh on Jon wang ho ‘een ich Hinh 3.7. Céu tao va hinh dang thc té cia diode ban dan. - Transistor 18 mot linh kién ban din thrémg duge sit dung nhw mgt thiét bi khuyéch dai hoac mét khéa dign tir. Calagor EmiterBise SE? coleugrgare SH tea Hinh 3.8. NPN tranzito va ki higu. collector @ =e emitter te Me Near" Hinh 3.9. PNP tranzito va ki hiéu - MOSFET la viét tit cia cum Meta Oxide Semiconductor Field-Effect Transistor tire Transisor higu img trong cé ding kim loai va oxit ban dan. Hinh 3.10 mé ta cau tao cla MOSFET kénh n va ky higu cita 2 loai MOSFET kénh n va kénh p. n Je ® Hinh 3.10. MOSFET. MOSFET ¢6 3 chan ggi la Gate (G), Drain (D) va Source (S) tuong img véi B, Eva C cla BIT. Co bin, d6i voi MOSFET kénh N, néu dign 4p chan G lén hon chan S$ khoang tir 3V thi MOSFET bao héa hay nhé (goi la dién tro dan DS), MOSFET tuong duong voi mét khéa dong. Nguge lai, véi MOSFET kénh P, khi dign ap chin G nhé hon dign 4p chan $ khoang 3V thi MOSFET dan, dién tro din cing rat nhé. Vi tinh din cla MOSFET phu thuéc vao dign ap chin G (Khée voi BJT, tinh dén phu thuéc vio ding IB), MOSFET duge goi li linh kign digu khién bang dién 4p, rat ly tuwéng cho céc mach sé noi ma dign ap duge Khi dé dién tré gitta 2 chan D va S rat ding lam mite logic. MOSEET thuéng duge ding thay cdc BJT trong céc mach cau H vi dong ma. linh kién bin dan nay cé thé dan rat cao, thich hgp cho cdc mach céng suat lén, Do cich thite hoat dng, c6 thé hinh dung MOSFET kénh N twong dong mét BIT loai npn va MOSFET kénh P twong dong BIT logi pnp. Théng thudng céc nha sin xudt MOSFET thuéng tao ra 1 cap MOSFET gom 1 linh kién kénh N va | linh kign kénh P, 2 MOSFET nay cé théng sé twong déng nhau va thdng duge ding cling nhau. Mét vi dy ding 2 MOSFET tong déng 1a céc mach s6 CMOS (Complemetary MOS). Ciing giéng nhw BJT, khi ding MOSFET cho mach cau H, méi loai MOSFET chi thich hop voi 1 vj tri nhdt dink, MOSFET kénh N duge ding cho cée khéa phia duéi va MOSFET kénh P ding cho cic khéa phia trén. Trong dd an ding 2 MOSFET kénh N IRF540 va 2 kénh P IRF9540 cia hing Intemational Rectifier lam cic khéa cho mach cau H. Céc MOSFET loai nay chiu dong kha cao (c6 thé dén 30A, danh nghia) va dién 4p cao nhung cé nhuge diém 1a dign te din wong d6i lon, 3.2. Lap trinh c bing CodeVisionAVR cho ATMega32 diéu khién ddng co dign m6t chiéu. 3.2.1. LuGt diéu khién, Hoat déng cia mach dign ti nhu sau: | chip ATMega32 duge sir dung nhu mét counter, cé thé ding dé diéu khién déng co dién mét chiéu quay theo chiéu thudn, nguge va diéu khién déng co dién mét chigu quay nhanh, cham, dimg déng co theo n théi gian hoac REST, nhan button 1 dé diéu khién dong co quay nguge, button 2 digu én déng co quay theo chiéu thudn, button 3 diéu khién ting téc dé déng co dén téc 46 max theo chiéu ngugc, sau 1s thi giam téc déng co va 1s tiép theo dimg déng co, button 4 didu khién 46 déng co quay chim theo chiéu thudn, sau 1s thi ting téc 46 dng co dén max va Is tiép theo dimg déng co, button 5 dimg déng co va button 6 REST. Gia tri digu khién d6ng co theo PWM duge hién thi trén LCD. 3.2.2. Lap trinh diéu khién dng co dign mét chiéu. Hinclude #include #! Alphanumeric LCD Module functions include 1! Declare your global variables here void led_putnum(unsigned char so.unsigned char x,unsigned char y) { unsigned char a,b,¢; a=so/100; // lay fan tram b=(s0-100*a)/10; // lay fan chue €=(s0-100*a-10*b); // lay hang don vi led_gotoxy(x,y); /! ve vitri xy Jed_putchar(a+48); // day ra hang tram, ma ascii led_putchar(b+48); // day ra hang chuc, ma ascii led_putchar(c+48); // day ra hang don vi, ma ascii } void de_speed_nguoc(unsigned char n) t led_putnum(n,4,1); OCRIAL=n; OCRIBL=0; B void de_speed_thuan(unsigned char n) { led_putnum(n4,1); OCRIAL=0; OCRIBL=n; void de_speed_dung() { led_putnum(0,4,1); OCRIAL=0; OCRIBL=0; i void main(void) PORTA=0x00; DDRA=0x00; PORTB=0xFF; DDRB-0x00; PORTC=0x00; DDRC=0x00; PORTD=0x00; DDRD=0xFF; 74 TCCRO=0x00; OCRO=0x00; TCCRIA=0xF2; TCCRIB=0x02; TCNTIH=0x00; TCNTIL=0x00; ICR1H=0x00; ICRIL=0x00; OCRIAH=0x00; OCRIAL=0x00; OCRIBH=0x00; OCRIBL=0x00; ASSR=0x00; TCCR2=0x00; TCNT2=0x00; OCR2=0x00; MCUCR=0x00; MCUCSR=0x00; TIMSK=0x00; UCSRB=0x00; 1s ACSR=0x80; SFIOR=0x00; ADCSRA=0x00; SPCR=0x00; TWCR=0x00; led_init(16); while (1) if(PINB, { led_gotoxy(0,0); led_putsf("Quay nguoc PWM=_.n--1440"); de_speed_nguoc(255); fidelay_ms(1000); ‘Med_clear(); } if(PINB.1==0) t led_gotoxy(0,0); Jed_putsf("Quay thuan | PWM= 1-144 de_speed_thuan(255); Iidelay_ms(1000); IMled_clear(); 76 } if(PINB.2= t led_gotoxy(0,0); led_putsf("Quay nguoe max PWM=_ .n=-1440"); de. peed_nguoc(250); delay_ms(1000); Icd_clear(); t led_gotoxy(0,0); Jed_putsf("Quay nguoc cham PWM= n=-1020");, de_speed_nguoe(180); delay_ms(1000); led_clear(); if(PINB.4==1) led_gotoxy(0,0); Jed_puts{("Dung PWM= .n-0"); de_speed_dung(); } 3 if(PINB, led_gotoxy(0,0); led_putsf"Quay thuan cham PWM= ,n=1020"); | thuan(180); n delay_ms(1000); led_clear(); t led_gotoxy(0.0); Jed_putsf("Quay thuan max PWM= .n=1440"); de_speed_thuan(250); delay_ms(1000); led_clear(); if(PINB.4==1) t led_gotoxy(0,0); led_puts{("Dung PWM= n=O"); de_speed_dung(); ) 3 if(PINB.4==0) 1 Ied_gotoxy(0,0); led_puts{("Dung PWM= .n-0"); dc_speed_dung(; 3 3 , 3.3. M6 phong trén phan mém Proteus Dé thuc hién qua trinh mé phong can thyc hién céc bude sau: B - Thiét ké mach nguyén ly diéu khién dng co dién mét chiéu trén phan mém. Proteus. cor ae = Sey w zi : Hinh 3.11. Mach nguyén If diéu khién dong co dign m6t chiéu trén Proteus. trinh bing ngén ngit C vao cita sé trén phan mém CodeVisionAVR. Hin 3.12. Cita s6 lp trinh C cho AVR. - Nhan Shift + F9 dé bién dich chuong trinh: 3 ae [Corel Asser] [ie ATs? (Doss Reaves: 1200mmnn Ve ease be Agpleahon Iban node Sal [pier Sie Iebinifesuies i wid (pene lagu nth Femcte haoe ‘chet ewer Yor ove ead FLASH: No i ename Yor [Essar eae mets: Yor (asepatc oak acon: Yee ‘Soave seston ves ue 12 205 Ime compl sera Newsmige [tates see ony Date Soe ea 6D to Dns Stcs som S12 ba [EamsieiDaa Stack nage 13tatet] astuare Stes a 16110 OA Wacnare Stak ae 122 Heap ae: Oty) Eran ogee 1 ESRI FPegyen son S58 wees 315 bye 0 OFFLASHL Hinh 3.13. Két qué sau khi bién dich. Néu chuong trinh dich khOng 6 18i chuong trinh dich sé dich sang file Hex. - Nap chuong trinh cho vi digu khién, Dé nap chuong trinh cho vi digu khién nhdp d6i chugt tri vao vi diéu khién cita sé Edit Component hign ra, Trong cita sé Edit Component chai : Program File: Chon duéng dan dén chuong trinh edn nap 80 Convene Ye [ves rena (re ener [RooanToTnoner oa faa D0TESTeatRese Ven [iUremanared =] [ee = cxseinase [eoriere ie =] oar —v] oa cco [fetzonvces sia] maT] Sur ase [soar =] mar] ArcedPoeine arene ——— cate onsen | Austen mie eas on Lek Phere Hinh 3.14. Nap chwrang trinh cho vi diéu khién, Sau khi nap chuong trinh sir dung cée mit m6 phéng dé quan sit su lam vige cia mach. M6 phong sy lam viée cia mach vi diéu khién c6 thé quan sat su lam vige cia mach, dé 6 thé digu chinh hoat déng ciia mach sao cho phi hgp vei yéu cau trade khi thi céng mach. Mé phéng vi diéu khién cdn gidp cho vige viét phan mém tét hon. ioe eg aes Ey Be | ey 3, zi oe ut = Hinh 3.15. Mé phong diéu khién dong co din mét chiéu trén Proteus. a1 es snTEnarars a MINT [ oa . 3.5. Mach thu té diéu khién dng co dign mét chiéu. Hinh 3.24. Mach diéu khién thye té Hinh 3.25. Mach lee thc té dong co Déng co dién m6t chiéu dign mét chiéu. 84 KET LUAN. V6i méi sinh vién khéa ludn t6t nghigp 1a mét yéu cau khéng thé thiéu khi sip ra trudng, dé 1a sy tong hgp tat ca nhing kién thite da tich ly duge trong suét qua trinh hoc tp tai nha trong. Cing giéng nhu cée ban trong lép Oldhit dign - dign tir théi gian em hoc tp tai nha trrdmg cing da dén thoi ky hodn thanh. Va dé lam duoc diéu dé ban than em vi ic ban trong lép sé phai dap img duge yéu cau dé ra cua nha trudng dé 1a lam khéa ludn t6t nghigp. Sau hon iia ban than Ot thang tich eye tim hiéu va lim khéa ludn t6t ng! va dac bigt 14 duéi sy huéng dan chi bao tan tinh ciia thay Nguyén Van Trung, 14 nguéi da true tiép huéng din va giip dé em trong qué trinh lam khéa ludn cing cdc thay c6 gido trong khoa dign, toan thé cdc ban sinh vién trong Iép da tn tinh chi bao, tao diéu kign vé thoi gian gidp 43 em trong thdi gian thyc hign Lim khéa ludn. Va trong khodng théi gian dé véi trinh 46 c6 han em cha thé dap img duge mot bai khéa luan nhu mong muén, nhung vé co ban thi em cing da lam duge nhimg yéu cau ndi dung co ban dé tai da dat ra nhur: - Téng quan vé cac thiét bi diéu chinh: Trong chong nay em d3 nghién cifu tim hiéu duge cae thiét bi diéu chinh nhw: Chip Atmega32, cé iu hinh cde chan diéu khién dé digu khién dong co dign mét chigu, LCD dé hién thj cdc qua trinh lim viée tric ede thanh ghi, a ding co, ngoai ra em con gidi thigu vé dong co dign mt chiéu dé 1a déi wrong diéu khién chinh, - Gigi thigu vé phan mém Proteus va lap trinh C cho AVR trén phan mém Code VisionAVR Proteus va Altium 1a hai phin mém cia chuyén nganh ky thuat dign - dign tir, né gitip cho cdc ban sinh vién trong nganh cé thé tu thiét ké duge céc mach img dung mé phéng mét cach khoa hoc va higu qua, cé thé chuyén tir mach nguyén ly qua mach in va mach m6 phéng trén 3D ngoai ra phan mém CodeVisionAVR 1a m@t phan mém hé trg lap trinh cho vi digu khién AVR bang ngén ngit C, né hé tg nhiéu thy vign lap trinh c6 sin .Trong chong nay em cling da gidi thigu duge cdc phan mém trén va tng dung cho dé tai nghién ctu cia em, tuy nhién do kha nang cén han ché nén phan mé phéng cita em chua duge hoan thign cho bai bai nghién ciru = Thiét ké mach, lap trinh diéu khién dong co dign mét chiéu va m6 phong trén phan mém Proteus. Co sé tir hai chuong 1 va 2 em da dua ra duge mach nguyén ly va mach digu Khién déng co dign mét chiéu, mach m6 phéng dya trén phan mém Proteus va Altium va mach thy té, 85 Trong qué trinh lam khéa ludn em da tong két, tap hgp lai toan bé kién thire ma, minh di duge hoc trong suét 4 nam nedi hoc tai truéng. Lim khéa Iudn tt nghigp 1a co hi tot dé em tip thu, hoc héi nhimg kién thirc méi sat véi tinh hinh thyc 8. Va trong qué trinh lam dé an em nhan thay 1a minh dang lam mét dé tai mang tinh cap thiét né 6 thé duge img dung trong cde phong nghién citu tg dung cia khoa dign - dign tir va ciing 06 thé lam co sé mé rng cho cdc img dung trong diéu khién c6ng nghigp nhu: Diéu khién robot, diéu khién trong truyén d6ng canh tay robot, trong cc yéu cau vé truyén déng c6 dé chinh xdc cao ca vé dai diéu chinh rong ... Tit dé em nit ra duge nhidu kinh nghigm trong cong vige cia minh, va em cing nhan ra duge nhimg han ché, thigu sét cia ban than. Ciing chinh vi nhimg han ché cho nén ban khéa ludn nay cia em khong thé trdnh khdi sai sét, Do vay em kinh mong duge sig quan tim chi bio nhigu hon nita cia cae thy c6 gido trong khoa dién va toan thé cac ban sinh vién trong ldp, dé ban dé an nay hoan thién hon va duge ap dung trong thyc tién. thinh cém on su gidp d@ tn tinh quy bau cita thy gido huéng dan Nguyén Van Trung va cdc thay c6 gido trong khoa dign cig toan thé ‘Ot Lin nita em xin ban sinh vién trong lop da nhiét tinh giup dé em trong sudt qué trinh Lim khéa ludn vita qua cling nhw trong ca khéa hoe vira ri, Em xin chan thinh kinh chic cac thay cé luén manh khée hoan thanh tét moi nhiém vu duge giao, chic cdc ban sinh vién bio vé khéa Indn dat két qua cao va thanh, cng rye ¥3. Xin chan thanh cdm on! Chi Linh, ngay 02 thang 11 ném 2012 Sinh vién Pham Danh Binh, 86 TAL LIEU THAM KHAO. Vi diéu khién AVR: Ng6 Dién Tap. Nha xuat ban Khoa hoc & K¥ thud gi 2006. Lap trinh ghép néi my tinh trong Windows. Ngé Dién Tap. Nha xuat ban Khoa hoc & Ky thuat - Ha ndi 2000. Lap trinh C trong ky thuat dign tir: Wiffiam buchnan, Nha xuat ban Khoa hoc & Ky thudt - Ha ndi 1999. Dign tir céng suit: Nguyén Binh, Nha xudt bin Khoa hoe & KY thudt - Ha ndi 1999. Ddatasheet Atmega8_——_ -www.atmel.com/images/doc2486 pdf -http://www.datast italos ym 87 MUC LUC LOI NOI DAU, . 7 . os . 1 (CHUONG 1: TONG QUAN VE CAC THIET B] DIEU CHINH.... 4 1.1, Vi diéu khién AVR. 4 1.1.1. Gidi thigu vé AVR... 4 1.1.2. M6t sé chip AVR théng dung. .. 4 1.13. Chip Atmega32. ... 5 1.1.4. Quan lj ngat. 1 11S. Céku trite b§ nhé. 12 1.1.6. Cong véo ra. 13 1LL7, BO djnh thoi. 15 1.18. M6 ta cée thanh ghi. 17 1.1.9. Giao tiép véi 12C... 19 1.1.10. Mach ngp cho AVR. ... 21 1.2. Man hinh LCD (Liquid Crystal Display). .. 2 1.21. Tinh nang... 2 1.2.2 .M6 té chung. 2 1.2.3. So dé chan, 23 1.24. So dé két néi. 24 1.2.5. Thanh gh... sees 24 1.2.7. Diéu khién hién thj Text LCD. .... 27 1.3. Dang co dign mdt chiéu. .. 30 1.3.1. Cau tao. 30 1.3.2, Nguyén If lam vige. 32 1.3.3. So dé du day. 33 1.3.4, Phucong trink die tinh cv. 34 1.3.5. Anh hwing ctia cdc thing sé ti toc dp ding co. 36 1.3.6. Cac phuwong phap diéu chinh tic dp dong co dign m6t chiéu kich tie dpc Kip. 37 1.3.7. Mé may dong co dign m6t ch 40 88 1.3.8. Cac phuwong phap dao chiéu quay dpng co dign mét chiéu. severe 42, CHUONG 2: GIGI THIEU PHAN MEM PROTEUS, ALTIUM DESIGNER, CODEVISIONAVR VA LAP TRINH C TREN CODEVISIONAVR. oo 43 2.1. Tang quan vé phan mém Proteu............ 43 2.1.2. Cai dit chitong trinh. 43 2.1.3. Khoi dng chong trinh, 48 2.1.4. Giao dign churong trinh.... 48 2.1.5. Cée thao téc eo ban... 50 2.2. Phan mém Altium Designer. 53 2.2.1. Gidi thigu va tinh nding Altium. 53 2.2.2, Giao dign ciia chicong trink Alitium. 34 2.3, Phan mém CodeVisionAVR. eescees soe secession 56 2.3.1. Giao dign cita chitong trinh CodeVisionAVR. 56 2.3.2. Lap trinh C trén CodeVisionAVR... 61 CHUONG 3: THIET KE MACH, LAP TRINH DIEU KHIEN DONG CO DIEN ‘MOT CHIEU VA MO PHONG TREN PHAN MEM PROTUS. 67 3.1. Thiét ké mach nguyén h 67 3.1.1. Thiét ké mach diéu khién. 67 3.1.1. Thiét ké mach lie. 68 3.2, Lap trinh ¢ bing CodeVisionAVR cho ATMega32 aie khién dong co dign m9t chidu. 2 3.2.1. Lugit diéu khién. . 72 3.2.2, Lap trinh diéu khién dong co dign m6t chiéu. 73 3.3. M6 phong trén phan mém Proteus .. 78 3.4. Mach in va mach mé phéng trén phan mém atium. ...... 82 KET LUAN. Error! Bookmark not defined. TAI LIEU THAM KHAO 85 89 DANH MUC HiNH VE. Hinh 1.1. Cée ding AVR: tiny, AVR va AT mega. 4 Hinh 1.2. Hinh dang thite té ATMega32. 5 Hinh 1.3. Cau trivc chan ctia Atmega32. 6 Hinh 1.4. So dé khdi Atmega32. 8 Hinh 1.5, So do cau tric CPU ctia Atmega32. 9 Hinh 1.6. Thanh ghi trang thai SREG. 9 Hinh 1.7. Thanh ghi chite nang chung. 10 Hinh 1.8. Thanh ghi con cho ngén xép. 10 Hinh 1.9. B6 nhé chong trinh cé va khéng cé sit dung boot loader. 12 Hinh 1.10. Cau trie chin etia AVR. oo 14 Hinh 1.11. So dé mét céng vao ra. 15 Hinh 1.12. So do cau tric bé dinh thai. 16 Hinh 1.13. Don vj dém. Hinh 1.14. Dan vj so sinh ng ra. . Hinh 1.15. Thanh ghi diéu khién b6 dinh thdi. Hinh 1.16. Thanh ghi b6 dinh thai. 18 Hinh 1.17. Thanh ghi so sénh ngh ri... 18 Hinh 1.18. Thanh ghi mat na ngat TIMSK 18 Hinh 1.19. Thanh ghi ca ngét b6 dinh thai. 18 Hinh 1.20. So dé céu tnic giao tiép 12C...... 1D Hinh 1.21. Thanh ghi quy dinh téc 46 phat xung. 19 Hinh 1.22. Thanh ghi diéu chinh TWI. Hinh 1.23. Thanh ghi trang thai TW... Hinh 1.24. Thanh ghi chita device ciia slave. 20 Hinh 1.25. Mach nap STK200 sit dung DB25 va 4 dién tré. 2 Hinh 1.26. Text LCD 16x2. 23 Hinh 1.27. Két ndi LCD voi AVR va nguén. 24 Hinh 1.28. Ving nh CGROM. 26 Tinh 1.29. Hoat ding ctha Chan RS, ..ccsccesssesessvseeeseeeenee seseenne woe 27 Hinh 1.30. Déng co dién m@t chiéu.... 30 Hinh 1.31. Phan cam (Stato) déng co dién mét chiéu. 31 90 Hinh 1.32. Phan ung (Réto) ding ca dién m6t chic Hinh 1.33. Choi than. Hinh 1.34. Ca gop. 32 Hinh 1.35. So dé nguyén by déng co dién mét chiéu... 33 Hinh 1.36. So dé néi day cia déng co kich tit déc lap va song song. 33 Hinh 1.37. Dic tinh co dign cita déng co m6t chiéu kich the AG ldp.csoreernne 38S Hinh 1.38. Déic tinh co cia ding co dién m6t chiéu kich tie dic lap... 36 Hinh 1.39. Duong déic tinh co khi thay doi dién dp dat vao mach phan ing. see 37 Hinh 1.40. So dé nguyén I; diéu chinh toc d6 bang cach thay bi tir hg... 38 Hinh 1.41. Ho duéng dic tinh co khi thay déi tie théng. 39 Hinh 1.42. So d8 dd da).ccccesnnnnnrninnenne 1039 Hinh 1.43. Ho dé tinh 0. 40 Hinh 1.44. Ma may DCDMC nha bien tra, 41 Hinh 1.45, So dé bam xung dign dp mét chiéu cé dao chiéu, ceveeee ween 42 Hinh 2.1. Thue muc géc chita file setup. 43 Hinh 2.2. Hop thoai chao ming cdi dit... Hinh 2.3. Hép thoa ban quyén cia sin pham. 44 Hinh 2.4. Hop thoai lea chon loa hinh cdi dat, occ seven oad Hinh 2.5. Hép thoai ma cia san pha. 44 Hinh 2.6. H6p thoai lua chon thu muc cai dat. 45 Hinh 2.7. Hop thoai lea cdc dic tring ctia qua trink cai dat... Hinh 2.8. Hép thoai gidi thiéu the muc dugc cai dat. 45 Hinh 2.9. Hop thoai thé hién trang thdi cai dat. Hinh 2.10. Hop thoai thé hign cai dat Wizard ké thi... Hinh 2.11. Hp thoai thé hién viée quan ly ma cai dat. 46 Hinh 2.12. Hop thogi chon md ci Aa ococcosereoe 47 Hinh 2.13. Hép thoai cai dat md. 47 Hinh 2.14, Thue mac viea cai dat... 47 Hinh 2.15. Hop thogi crack sn Pham. cc... AT Hinh 2.16. Lwa chon file dé crack. 48 Hinh 2.17. Giao dién khi khai déng phan mém. ..... Hinh 2.18. Menu chinh cia phar mém. 48 1 Hinh 2.19. Khung nhin ban ve. Hinh 2.20. Céch ldy linh kign tir thie vién. Hinh 2.21. Cita sé ede linh kién. Hinh 2.22. Hinh 2.23. Tim LCD 16x2. 'im chip ATMega32. Hinh 2.24. Tim d6ng co m6t chiéu. .. Hinh 2.25. Cach néi cdc linh kién... Minh 2.26. Logo chwong trinh Altium!0...... Hinh 2.27. Cita 6 lam viée chong trinh... Hinh 2.28. Cit sé tao mét project. Hinh 2.29. Ctia so vé mach nguyén I. ...0. Hinh 2.30. Cita sé lay linh kién..... Hinh 2.31. Logo chuong trinh CodeVisionAVR. Hinh 2.32. Cita s6 chink ctia chueong trink CodeVisionA VR. Hinh 2.33. Cita sd tao mét Project. Hinh 2.34. Cita sé xac nahaanhj viée tao Project mdi..... Hinh 2.35. Khai tao cdu hinh cho chip va thiét bi ngoai vi. Hinh 2.36. Liew lai cau hinh. Hinh 2.37. Licu file source cau project. Hinh 2.38. Cita sé quan 1} Project. Hinh 2.39. Cita sé bién dich code chucong trinh. Hinh 2.40. Cau hinh théng sé nap vao chip vi diéu khién. Hinh 2.41. Cita sé nap vaio chip vi diéu khién. Hinh 3.1. So dé mach diéu khié Hinh 3.2.80 dé chan cia IC 7805 va chite nding cde chén, Hinh 3.3. So dé mach lec... Hinh 3.4. Ky higu mau dién tré. Hinh 3.5. Ki higu tu hod va hinh dang tu hod. Hinh 3.6. Kihiéu tu hod va hinh dang tu gidy Hinh 3.7. Cau tao va hinh dang thuc té ctia diode ban dén. Hinh 3.8. NPN tranzito vi ki hiéu... Hinh 3.9. PNP tranzito va ki hiéu 92 60 61 61 68 70 70 70 71 71 71 Minh 3.10. MOSFET. Hinh 3.11. Mach nguyén ly} diéu khién déng co dién mét chiéu trén Proteus. Hinh 3.12. Cita sé lap trinh C cho AVR. 79 Hinh 3.13. Két qua sau khi bién dich. 80 Hinh 3.14. Nap chwong trinh cho vi diéu khién. 81 Hinh 3.15. Mé phong diéu khién ding co dién mét chiéu trén Proteus... eveeervene SL Hinh 3.16. Mach in mach diéu Ki€M...os.ccscssese 82 Hinh 3.17. Mach in mach tue . 82 Hinh 3.18. Mat trieée mach diéu khiéne.... 82 Hinh 3.19. Mat trréc mach le. 82 Tinh 3.20. Mét sau mach dieu KhiéNn,.oeeesecvevesenee Hinh 3.21. Mat sau mach lec. Hinh 3.22. Mach diéu khién mé phong 3D. 83 Hinh 3.23. Mach lee mé phéng 3D. Hinh 3.24. Mach diéu khién thee té Déng co dién mét chiéu. 84 Hinh 3.25. Mach lye the té dng co dién mgt chiéu. ..... 93 DANH MUC BANG BIEU Bang 1.1. Vector ngat cho Atmega32 Bang 1.2. Dia chi ia tat ca cde port. Bang 1.3. Cau hinh cho céc chan cong. .... Bang 1.4. Chon nguén xung cho bé dinh thai. Bang 1.5. Tac d6 xung gitt nhip tham khév. Bang 1.6. So dé chan. Bang 1.7. Thé hién vj tri DDRAM trén man hinh. Bang 1.8. Céc tap lénh cia LCD... Bang 2.1. Bang dit liéu. 94 il iB 18 19 23 25 28 63

You might also like