Professional Documents
Culture Documents
Test Bench For Mutiplier
Test Bench For Mutiplier
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity TB_multiplier is
end TB_multiplier;
architecture TB of TB_multiplier is
component multiplier is
port( num1, num2: in std_logic_vector(1 downto 0);
product: out std_logic_vector(3 downto 0)
);
end component;
begin
process
begin
wait;
end process;
end TB;
-----------------------------------------------------------
configuration CFG_TB of TB_multiplier is
for TB
end for;
end CFG_TB;
-----------------------------------------------------------