Download as docx, pdf, or txt
Download as docx, pdf, or txt
You are on page 1of 1

module ripadd(s, cy, a, b, cin);

output s;

output cy;

input a;

input b;

input cin;

wire w1,w2,w3;

fa o1(s[0],w1,a[0],b[0],cin);

fa o1(s[1],w2,a[1],b[1],w1);

fa o1(s[2],w3,a[2],b[2],w2);

fa o1(s[3],cout,a[3],b[3],w3);

endmodule

You might also like