Download as pdf or txt
Download as pdf or txt
You are on page 1of 7

EE108B

Introduction to Verilog
What you need to know to get started

1/13/2004 Introduction to Verilog 1

What is Verilog?
• Hardware description language (HDL)
– For designing and modeling hardware
• Different levels of abstraction
– From functional description to transistor model

Some constructs are only for simulation and are


not synthesizable!

1/13/2004 Introduction to Verilog 2

1
Design Hierarchy
• One top level module
– In which zero or more low- level modules can be
instantiated
– Each low- level module can instantiate lower- level
modules
• Verilog modules are like modules in schematics or
classes in C++
– Use them to make your design more readable and
manageable
– Debugging individual module is a lot easier than
debugging the whole system together
1/13/2004 Introduction to Verilog 3

Modules
module <module name> ( <list of ports> );
input <width> <input port name>;
output <width> <output port name>;
<variable declarations>

<statements>
endmodule
• Module statement defines the interface
– Communication with other modules are done with ports defined in
<list of ports>
• Each port has to be declared as input or output
– Width specified as [n-1:0] for a n-bit port

1/13/2004 Introduction to Verilog 4

2
Instantiating a Module
<module name> <instance name>(.<port name>(wire/reg name),… )

• Syntax is similar to a procedural call, but the


operation is more like new in C++
• Each .<port name>(signal name) pair specifies a
connection
– Order of connection pairs specified in this style needs
not be the same as that defined in the instantiated
module
– Signal is a net, a reg or a port in the current module

1/13/2004 Introduction to Verilog 5

Constants and Data Types


• Numbers are decimal by default
– 6’b010010 (6-bit binary)
– 2’o22 (2-bit octal)
– 2’h12 (2-bit hexadecimal)
• Port – input, output, inout
• Net – wire, tri, etc.
– Think physical wire: value == value of driving device
• Reg
– Think storage: value == last assigned value
• Syntax for declaring a net/reg is the same as declaring a
port
– Width is default to 1-bit if omitted
1/13/2004 Introduction to Verilog 6

3
Operators
• Mostly the same as C operators
• Reduction operators: ~&, ~|, ~^
– AND/OR/XOR all bits together
– Note: same symbols are used for binary bit-wise
NAND/NOR/XOR
• Identity operators: ===, !==
– Compares x and z values (== returns x if either of the input is x)
• Concatenation: {<list of variables>}
• Arithmetic operators – be careful using them in code
intended for synthesis

1/13/2004 Introduction to Verilog 7

Statements
• If – else
if (<condition>)
begin
<statements>
end
else
begin
<statements>
end

• Case
case (variable)
<constant>: begin
<statements>
end
.
.
.
default: begin
<statements>
end
endcase

1/13/2004 Introduction to Verilog 8

4
Assignments
• Continuous assignments
assign <net> = <expression>
– Whenever any changes on the RHS occurs, the
expression is evaluated and assigned to the LHS
– Always implement combinational logic
• Procedural assignments
<reg> = <expression>
– Used within initial and always blocks, which specify
triggers that cause the evaluation of the RHS and
assignment to the LHS

1/13/2004 Introduction to Verilog 9

Procedural Blocks
• Procedural blocks are like concurrent processes
– Statements in a block are executed sequentially, but all
within one unit of simulated time (unless delay is
specified)
– All blocks execute in parallel
• Initial blocks
– Execute only once
• Always blocks
– Execute repeatedly _ must have timing control, or else
become INFINITE LOOPS

1/13/2004 Introduction to Verilog 10

5
Timing Control
• Delays
– #n specifies n units of delay
– Used in between statements in initial and always blocks
• Sensitivity list (list of triggering events)
always @(signal [or signal …])
– Execution of statements in the block is suspended until
one of the signals changes
– Any signals appearing on the RHS of procedural
assignments or in conditions of if-else and case should
be included in the sensitivity list

1/13/2004 Introduction to Verilog 11

Simulation
• Top level module has
– Instantiation of module(s) to be simulated
– Initial and/or always blocks that drive the input signals
– Code that generates output, stops simulation, etc.
• ModelSim
– Compiles and simulates Verilog (and VHDL)
– Includes tools like source editors and waveform
viewers

1/13/2004 Introduction to Verilog 12

6
Coding Style
• Comments (//, /* */)
– If writing comments is not a habit, develop it now!
• Naming convention
– Be consistent about cases, suffixes, etc.
– Name the file and the module it contains the same thing
– Use meaningful names
• Implicit states
– Make sure reg variables are assigned to through every path of if-
else and case statements
– Always have a matching else for an if and a default in a case
• `define
1/13/2004 Introduction to Verilog 13

You might also like