Download as doc, pdf, or txt
Download as doc, pdf, or txt
You are on page 1of 8

DLD-05 1/8

ภาควิชาวิศวกรรมคอมพิวเตอร์
คณะวิศวกรรมศาสตร์

Experiment DLD-05: Encoder and Decoder

วัตถุประสงค์: เพือ
่ เรียนรู้การใชูงานซอฟต์แวร์ช่วยในการ
ออกแบบวงจร Encoder และ วงจร Decoder

บทนำำ
ในการทำา งานของระบบดิ จิ ต อลทั่ว ไป มั กจะมี อุ ป กรณ์ เ ขู า
รหัส (Encoder) และอุปกรณ์ถอดรหัส (Decoder) เป็ นอุปกรณ์
สำาคัญอย่้ดูวยเสมอ กล่าวคือ เมือ
่ ระบบดิจิตอลรับขูอม้ลเขูามา
ทางดูานอินพุต (Input) ซึง่ เป็ นรหัสใดรหัสหนึง่ ระบบดิจิตอลจะ
ทำาการเปลีย
่ นร้ปแบบขูอม้ลจาก เลขฐานหนึง่ ไปเป็ นเลข
อีกฐานหนึ่ง ซึ่งอุ ปกรณ์ เ ปลี ย
่ นรหั ส ดั งกล่ า วเรี ย กว่ า วงจรเขู า
รหัส และวงจรถอดรหัส

รูปที ่ 5.1 ระบบดิจิตอลทีป


่ ระกอบไปด้วยวงจรเข้ารหัสและ
วงจรถอดรหัส

178 221 Digital Logic Design Laboratory


DLD-05 2/8

ตั ว อย่ า งการทำา งานของวงจรเขู า รหั ส และวงจรถอดรหั ส


แสดงใหูเห็นดังร้ปที ่ 5.1 คือ เมื่อเรากดเลข 8 ซึง่ เป็ นเลขฐาน
สิ บที เ่ ป็ นคี ย์บ อร์ ดดู านอิน พุต วงจรเขู า รหั ส จะเปลี ย
่ นเลข 8
เป็ นรหัส BCD คือ 1000 จากนัน
้ วงจรถอดรหัสจะเปลียนรหั
่ ส
1000 เป็ นรหัส 7 ส่วน และเลขฐานสิบ คือ 8 ไปแสดงผล
ทีด
่ ูานเอาต์พุต

วงจรเข้ำรหัส (Encoder)
วงจรเขู ารหั ส หมายถึ ง อุปกรณ์ ตัวกลางในการนำา รหั ส
ใด ๆ เขูามา โดยมีการเปลีย
่ นรหัสหรือระดับของสัญญาณมา
เป็ นสัญญาณลอจิกตามรหัสทีเ่ ราตูองการ เช่น การเขูารหัสใด
ๆ ใหูเป็ นเลขฐานสอง เป็ นตูน โดยทีอ
่ ุปกรณ์เขูารหัสมีอินพุต
เพียงสายเดียว ซึง่ ไดูรับการกระตูุนในเวลาทีก
่ ำาหนด และสรูาง
รหัสเอาต์พุตมาจำานวน N บิต โดยขึน
้ กับอินพุตทีไ่ ดูรับการกระ
ตูุน สัญลักษณ์ของวงจรเขูารหัสแสดงดังร้ปที ่ 5.2

A0 Y0
อิ น พุ ต M A1 Y1
สาย
(เ ป็ น “ 1” A2 ENCOD Y2 เ อ า ต์ พุ ต
ทีละเสูน) ER N บิต

178 221 Digital Logic Design Laboratory


DLD-05 3/8
AM-1 YN-1
รูปที ่ 5.2 สัญลักษณ์ของวงจรเข้ารหัส

จากร้ ป ที ่ 5.2 วงจรเขู า รหั ส มี อิ น พุ ต แบบ Active High


จำา นวน M สาย และเอาต์พุต จำา นวน N บิต เมื่ออินพุตใด
อิน พุต หนึ่งในจำา นวน M สายมี สภาวะเป็ น High (1) ขณะที ่
อิ น พุ ต ที เ่ หลื อ เป็ น Low (0) ทั ้ง หมด วงจรเขู า รหั ส จะสรู า ง
เอาต์พุตทีเ่ ป็ นเลขฐานสอง ซึ่งสอดคลูองกับอินพุตออกมา เช่น
วงจรเขูารหัสจากเลขฐานแปดเป็ นเลขฐานสอง จะมีอินพุต 8
สาย และมีเอาต์พุต 3 สาย ถู า เป็ นวงจรเขู า รหั ส จากเลข
ฐานสิ บ เป็ นเลขฐานสองก็ ตูอ งมี อิ น พุ ต 10 สายและมี อิ น พุ ต
จำานวน 4 สาย เป็ นตูน

วงจรถอดรหัส (Decoder)
วงจรถอดรหัส หมายถึง วงจรลอจิกซึ่งทำา หนูาทีเ่ ปลีย
่ น
รหัสเลขฐานสองทีม
่ ีอินพุตจำานวน N บิต ใหูเป็ นรหัสใด ๆ ทีม
่ ี
สายเอาต์ พุ ตจำา นวน M บิ ต โดยแต่ ล ะสายจะไดู รั บ ผลออกมา
จากการจัดหม่้ของอินพุตทีเ่ หมาะสมเพียงกลุ่มเดียว

A0 Y0
อิ น พุ ต N A1 Y1
สาย
A2 Decoder Y2 เ อ า ต์ พุ ต
M บิต
178 221 Digital Logic Design Laboratory
DLD-05 4/8

AN-1 YM-1
รูปที ่ 5.3 สัญลักษณ์ของวงจรถอดรหัส

จากร้ปที ่ 5.3 เป็ นสัญลักษณ์ของวงจรถอดรหัสแบบ Active


“High” มี อิ น พุ ต จำา นวน N อิน พุต และเอาต์พุต จำา นวน M
เอาต์พุต เนือ
่ งจากอินพุต ของวงจรถอดรหัส เป็ นเลขฐานสอง
อาจเป็ น 0 หรื อ 1 ก็ ไ ดู จึ ง ตู อ งจั ด หม่้ ข องอิ น พุ ต หรื อ รหั ส ที ่
n
เป็ นไปไดู จำา นวน 2 กลุ่ ม ซึ่ ง แต่ ล ะกลุ่ ม จะใหู เ อาต์ พุ ต ใด
เ อ า ต์ พุ ต ห นึ่ ง ใ น M เ อ า ต์ พุ ต เ ป็ น High (1) เ พี ย ง ห นึ่ ง
เอาต์พุตเท่านัน
้ ส่วนเอาต์พุต ทีเ่ หลือจะเป็ น Low (0)

Decimal-to-BCD Encoder
Decimal-to-BCD Encoder เป็ นวงจรเขู า รหั ส ที ท
่ ำา หนู า ที ่
แปลงเลขฐานสิบ เป็ นเลข BCD (Binary-Coded-Decimal) ซึ่งมี
Block Diagram และ Truth Table ของวงจรดั ง ร้ ป ที ่ 5.4 และ
5.5 ตามลำาดับ

รูปที ่ 5.4 Block Diagram ของวงจร Decimal-to-BCD Encoder

Input Output
0 1 2 3 4 5 6 7 8 9 D C B A
1 0 0 0 0 0 0 0 0 0 0 0 0 0

178 221 Digital Logic Design Laboratory


DLD-05 5/8
0 1 0 0 0 0 0 0 0 0 0 0 0 1
0 0 1 0 0 0 0 0 0 0 0 0 1 0
0 0 0 1 0 0 0 0 0 0 0 0 1 1
0 0 0 0 1 0 0 0 0 0 0 1 0 0
0 0 0 0 0 1 0 0 0 0 0 1 0 1
0 0 0 0 0 0 1 0 0 0 0 1 1 0
0 0 0 0 0 0 0 1 0 0 0 1 1 1
0 0 0 0 0 0 0 0 1 0 1 0 0 0
0 0 0 0 0 0 0 0 0 1 1 0 0 1
รูปที ่ 5.5 Truth Table ของวงจร Decimal-to-BCD Encoder

BCD-to-Decimal Decoder
BCD-to-Decimal Decoder เป็ นวงจรถอดรหัส ทีท
่ ำา หนูา ที ่
แปลงเลข BCD เป็ นเลขฐานสิ บ ซึ่ ง มี Block Diagram และ
Truth Table ของวงจรดังร้ปที ่ 5.6 และ 5.7 ตามลำาดับ

รูปที ่ 5.6 Block Diagram ของวงจร BCD-to-Decimal


Decoder

Input Output
D C B A 0 1 2 3 4 5 6 7 8 9
0 0 0 0 1 0 0 0 0 0 0 0 0 0
0 0 0 1 0 1 0 0 0 0 0 0 0 0

178 221 Digital Logic Design Laboratory


DLD-05 6/8
0 0 1 0 0 0 1 0 0 0 0 0 0 0
0 0 1 1 0 0 0 1 0 0 0 0 0 0
0 1 0 0 0 0 0 0 1 0 0 0 0 0
0 1 0 1 0 0 0 0 0 1 0 0 0 0
0 1 1 0 0 0 0 0 0 0 1 0 0 0
0 1 1 1 0 0 0 0 0 0 0 1 0 0
1 0 0 0 0 0 0 0 0 0 0 0 1 0
1 0 0 1 0 0 0 0 0 0 0 0 0 1
รูปที ่ 5.7 Truth Table ของวงจร BCD-to-Decimal Decoder

BCD-to-7 Segment Decoder


BCD-to-7 Segment Decoder เ ป็ น ว ง จ ร ถ อ ด ร หั ส ที ่ ทำา
หนู า ที แ
่ ปลงเลข BCD เป็ นการควบคุ ม อุ ป กรณ์ 7-Sement ใหู
แสดงเลขฐานสิ บ ออกมา ร้ ป ที ่ 5.8 แสดงอุ ป กรณ์ 7-Segment
และการแสดงค่าของตัวเลขฐานสิบบน อุปกรณ์ 7-Segment

(ก)

รูปที ่ 5.8 (ก) อุปกรณ์ 7-Segment


(ข)

178 221 Digital Logic Design Laboratory


DLD-05 7/8
(ข) การแสดงค่าของตัวเลขฐานสิบ (0 - 9) บน อุปกรณ์ 7-
Segment

คำำถำมก่อนกำรทดลอง
1. จงออกแบบวงจร Decimal-to-BCD Encoder ทีท
่ ำางานตาม
Truth Table ดังร้ปที ่ 5.5
2. จงออกแบบวงจร BCD-to-Decimal Decoder ทีท
่ ำางานตาม
Truth Table ดังร้ปที ่ 5.7
3. จากร้ปที ่ 5.8 จงหา Truth Table ของวงจร BCD-to-7
Segment Decoder พรูอมทัง้ จงออกแบบวงจรดังกล่าว

ขั้นตอนกำรทดลอง

วงจร Decimal-to-BCD Encoder


1. จงสรูาง Project ทีม
่ ีชือ
่ ว่า Encoder ดูวย ISE WebPACK
2. จงสรูางวงจร Decimal-to-BCD Encoder (D2BCD) ตามที ไ่ ดู
ออกแบบไวูตามคำาตอบของคำาถามก่อนการทดลองขูอที ่ 1
3. สรู า ง Test Bench WaveForm เพื่อ วิ เ คราะห์ แ ละตรวจสอบ
การทำา งานของวงจรเทียบกับ truth table ของวงจร ดังร้ปที ่
5.1
4. พิจารณาสัญญาณทีเ่ กิดจากการวิเคราะห์และบันทึกผล

วงจร BCD-to-Decimal Decoder


5. จงสรูาง Project ทีม
่ ีชือ
่ ว่า Decoder ดูวย ISE WebPACK

178 221 Digital Logic Design Laboratory


DLD-05 8/8
6. จงสรูางวงจร BCD-to-Decimal Decoder (BCD2D) ตามทีไ่ ดู
ออกแบบไวูตามคำาตอบของคำาถามก่อนการทดลองขูอที ่ 2
7. สรู า ง Test Bench WaveForm เพื่อ วิ เ คราะห์ แ ละตรวจสอบ
การทำา งานของวงจรเทียบกับ truth table ของวงจร ดังร้ปที ่
5.2
8. พิจารณาสัญญาณทีเ่ กิดจากการวิเคราะห์และบันทึกผล

วงจร BCD-to-7 Segment Decoder


9. ใน Project ทีม
่ ีชื่อว่า Decoder เดิม จงสรูางวงจร BCD-to-7
Segment Decoder (BCD27Seg) ตามทีไ่ ดูออกแบบไวูตามคำา
ตอบของคำาถามก่อนการทดลองขูอที ่ 3
10. สรู า ง Test Bench WaveForm เพื่ อ วิ เ คราะห์ แ ละตรวจ
สอบการทำา งานของวงจรเที ย บกั บ truth table ตามคำา ตอบ
ของคำาถามก่อนการทดลองขูอที ่ 3
11. พิจารณาสัญญาณทีเ่ กิดจากการวิเคราะห์และบันทึกผล

คำำถำมท้ำยกำรทดลอง
1. จงอธิบายขูอแตกต่างของ Encoder และ Decoder อย่าง
ละเอียด
2. จงอธิบายความหมายและการทำางานของ Priority Encoder

ผศ.ดร.ดารณี หอมดี

178 221 Digital Logic Design Laboratory

You might also like