Download as txt, pdf, or txt
Download as txt, pdf, or txt
You are on page 1of 1

module conv (a,b,c,d,x);

output [15:0] a,b,c,d;


input [63:0] x;
assign a = x[15:0];
assign b = x[31:16];
assign c = x[47:32];
assign d = x[63:48];
endmodule

You might also like