BPSK Abstract

You might also like

Download as docx, pdf, or txt
Download as docx, pdf, or txt
You are on page 1of 1

SIMULATION AND IMPLEMENTATION OF THE BPSK MODULATION ON A FPGA

Issue Date: 19-21 May 2011 On page(s): 459 - 463 Location: Timisoara Print ISBN: 978-1-4244-9108-7 INSPEC Accession Number: 12048153 Digital Object Identifier: 10.1109/SACI.2011.5873047 Date of Current Version: 09 June 2011

Abstract
The paper presents the simulation of a BPSK Modulator using Matlab/ Simulink environment and System Generator, a tool from Xilinx used for FPGA design as well as the implementation of the modulator on a Spartan 3E Starter Kit board. In BPSK modulation one has as possible results two exit phases for the carrier with a single frequency. An exit phase represents a logical 1and the other one a logical 0. As the input digital signal changes the state, the phase of the exit carrier moves between two angles that lie180 outside of phase. The modulator algorithm has been implemented on FPGA using the VHDL language on Xilinx ISE 12.3. The modulated signal obtained from simulations was compared with the signal obtained after implementation.

You might also like