Download as pdf or txt
Download as pdf or txt
You are on page 1of 26

BO GIAO DUC & AO TAO

TRNG AI HOC KY THUAT CONG NGHE


THANH PHO HO CH MINH

BAI GIANG

KY THUAT SO
Ths. NGUYEN TRONG HAI

LU HANH NOI BO
05/2006

MUC LUC
TONG QUAN .................................................................................................... Trang 1
CHNG 1. HE THONG SO EM ........................................................................... 4
1.1. C so chuyen oi c so..................................................................................4
1.2. Cac bo ma hoa so he mi thong dung ..........................................................14
CHNG 2. AI SO BOOLEAN VA CAC CONG LOGIC .................................. 24
2.1. Khai niem ve logic hai trang thai ...................................................................24
2.2. Bang s that ....................................................................................................24
2.3. Cac phep toan c ban .....................................................................................25
2.4. Mo ta cac mach logic theo phng phap ai so .............................................25
2.5. Thc hien cac mach logic t bieu thc Boolean.............................................25
2.6. Cong NOR va NAND .....................................................................................26
2.7. Phep toan XOR va phep toan tng ng ....................................................27
2.8. Cac nh ly c ban cua ai so Boolean...........................................................27
2.9. Logic dng va am .........................................................................................31
2.10. Cac ham c ban va phng phap bieu dien ..................................................23
2.11. Toi thieu ham logic bang ba K ....................................................................38
CHNG 3. MACH LOGIC TO HP ..................................................................... 45
3.1. Gii thieu ........................................................................................................45
3.2. Thiet ke mach logic to hp .............................................................................45
3.3. Ky thuat cc tieu Quine-Mc Cluskey .............................................................47
3.4. Thiet ke mach khong s dung bang s that ....................................................49
3.5. Mach giai ma ..................................................................................................53
3.6. Mach ma hoa ..................................................................................................59
3.7. Bo chon kenh..................................................................................................62
3.8. Bo phan kenh..................................................................................................65
3.9. Mach so hoc ....................................................................................................67
3.10. Mach chuyen ma .........................................................................................69

CHNG 4. HE TUAN T....................................................................................... 74


4.1. Tong quan .......................................................................................................74
4.2. Cac phan t hai trang thai ben........................................................................75
4.3. FlipFlop...........................................................................................................76
4.4. FlipFlop dung xung clock................................................................................79
4.5. Cac ng dung cua FlipFlop.............................................................................83
4.6. May trang thai ..............................................................................................104
4.7. Lu o may trang thai ..................................................................................105
4.8. Bo nh ban dan .............................................................................................116
4.9. ROM thiet ke he thong so dung ROM ......................................................118
4.10. PLD to hp ..................................................................................................127
4.11. PLD tuan t.................................................................................................132
4.12. Cac PLD tuan t khac .................................................................................135
CHNG 5. CAC HO VI MACH SO..................................................................... 146
5.1. Tong quan .....................................................................................................146
5.2. Cac ac trng cua vi mach so .......................................................................146
5.3. Ho RTL .........................................................................................................148
5.4. Ho DTL.........................................................................................................148
5.5. Ho TTL .........................................................................................................148
5.6. Cac ac iem cua ho TTL chuan..................................................................149
5.7. Ho TTL cai tien ............................................................................................150
5.8. Ho TTL vi ngo ra cc thu h ......................................................................150
5.9. Ho TTL ba trang thai ....................................................................................151
5.10. Mach logic MOS .........................................................................................151
5.11. Ho CMOS ...................................................................................................151
5.12. Mot so vi mach thong dung ........................................................................152
CHNG 6. GIAO TIEP TNG T - SO ........................................................... 153
6.1. Bien oi ADC ...............................................................................................153
6.2. Bien oi DAC ...............................................................................................160
PHU LUC A. TRA CU CAC IC THONG DUNG..............................................167
PHU LUC B. CONG CU CAD TRONG THIET KE SO .....................................251

Bi Ging K Thut S

Tng quan

TONG QUAN
Cac he thong so thng s dung rong rai trong tnh toan va x ly data nh trong
cac he thong ieu khien, trong thong tin, va trong o lng v cac he thong so co
kha nang chnh xac cao hn cac he thong tng t.
Trong mot he thong so, cac tn hieu vat ly co the xem nh cac gia tr ri rac, trong
khi he thong tng t cac ai lng nay thay oi lien tuc.
V d, ien ap ngo ra cua mot he thong so co the c bien oi sao cho ngo ra ch

co 2 gia tr 0 va 1, trong khi ien ap ngo ra t he thong tng t co gia tr thay oi


trong khoang t Vmin en Vmax
Do cac he thong so lam viec vi cac ai lng ri rac, trong nhieu trng hp co
the c thiet ke vi ket qua ngo vao va ngo ra mot cach chnh xac.
V d, neu nhan 2 so gom 5 ch so s dung bo nhan digital th ket qua la mot so co

10 ch so chnh xac ca 10. Noi cach khac, ngo ra cua bo nhan analog co the co mot
khoang sai so (%) tuy thuoc vao o chnh xac cua cac thanh phan thiet lap nen bo
nhan.
Thiet ke cua cac he thong so co the chia ra 3 phan:
Thit k
h thng

Thit k
Logic

Thit k
Mch

Thit k h thng (system design) bao gom viec chia nho mot he thong ln
thanh cac he thong con va ch ro cac ac tnh cua moi he thong con. V d, thiet

ke he thong cua mot may tnh so bao gom viec ch ra so va dang cua cac n v
nh, n v toan hoc, cac thiet b vao ra cung nh viec ket noi va ieu khien
cua cac he thong con nay v.v...

Thit k logic (logic design) bao gom viec xac nh lam the nao e ket noi cac

khoi logic c ban e hnh thanh ham ac biet.

Thit k mch bao gom viec ch ra cac ket noi ben trong cua cac thanh phan

nh ien tr, diode, transistor e hnh thanh mot cong, flipflop hoac cac khoi
logic khac. Hau het cac thiet ke mach hien tai c thc hien di dang mach
tch hp dung cong cu thiet ke vi s tr giup cua may tnh e tao cac ket noi
trong gia cac thanh phan tren mot chip silicon.
Nhieu he thong con co dang chuyen mach nh sau:
Inputs

X1
X2

Switching
Network

Xm

Z1
Z2
Zn

Trang 1

Outputs

Bi Ging K Thut S

Tng quan

Mot mang chuyen mach co mot hay nhieu ngo vao va mot hay nhieu ngo ra, 2 loai
switching network thong thng la:

Mch t hp, trong o cac tn hieu ngo ra ch le thuoc vao trang thai ngo

vao hien tai (khong le thuoc vao gia tr ngo vao trc o).

Mch tun t, cac ngo ra se tuy thuoc ca gia tr trc o va gia tr hien tai

cua ngo vao. Noi cach khac, e xac nh ngo ra cua mach tuan t, mot
chuoi cac ngo vao phai c xac nh. Mach tuan t c goi la mach co
nh v no phai nh mot so trang thai trc o cua ngo vao, trong khi mach
to hp th khong co nh. Tong quat, mach tuan t la ket hp cua mot mach
to hp vi cac phan t nh.
Cac khoi c ban s dung trong cac mach to hp la cac cng logic. Khi thiet ke
logic, phai xac nh lam the nao ket noi cac cong nay e bien oi cac tn hieu ngo
vao thanh cac tn hieu ngo ra mong muon.
Moi quan he gia cac tn hieu ngo vao va ngo ra phai c mo ta toan hoc, trong
thiet ke so goi la i s Boolean.
Cc bc thit k mt mch t hp

Thiet lap mot bang mo ta moi quan he gia ngo ra va to hp ngo vao

Thiet lap bieu thc logic toan hoc mo ta cac ngo ra nh mot ham cua cac
ngo vao.

Rut gon bieu thc logic mo ta ngo ra dung mot so phng phap thong dung
nh ba Karnaugh, Quine-McCluskey v.v...

Thc hien mach

Cac phan t nh c ban trong thiet ke mach tuan t la cac FlipFlop. Cac flipflop co
the c ket noi vi cac cong e hnh thanh mot mach tuan t.
Cc bc thit k mt mch tun t

Thiet lap mot bang mo ta moi quan he gia ngo ra hien tai va ngo ra ke
tiep.

Thiet lap bieu thc logic toan hoc mo ta cac ngo vao cua flipflop nh mot
ham cua cac ngo ra.

Rut gon bieu thc logic mo ta ngo vao dung mot so phng phap thong
dung nh ba Karnaugh, Quine-McCluskey v.v...

Thc hien mach

Phan tch mot cach tong quat ve cac mach tuan t thng dung cac gin thi
gian, my trng thi v graph.
Phn t chuyn mch dung trong cac he thong so thng la cac phan t 2 trang
thai, ngo ra ch co 2 gia tr ri rac khac nhau. V d relay, diode, transistor... Hai

trang thai cua relay la ong va m tuy thuoc vao nguon cung cap cho cuon day.
Trang 2

Bi Ging K Thut S

Tng quan

Hai trang thai cua diode la trang thai dan va khong dan. Hai trang thai cua
transistor la bao hoa va tat, v vay thng dung cac so nh phan trong cac he thong
so.
Bat c cac thiet ke logic so nao cung co the c thc hien nh PLD
(Programmable Logic Design). PLD la ten goi tong quat cua mot IC so ma co the
lap trnh c e thc hien cac ham logic khac nhau va la mot chip cha cac cau
truc mach co qui luat cho phep ngi thiet ke tao cac ng dung cu the
Qu trnh thit k PLD nh sau

tng

Trnh son
tho mch

Trnh son
tho vn bn

Trnh bin dch


v m phng

Kit np IC

Mot so cong cu phan mem ho tr trong thiet ke so: MAX+PLUS II, ABEL,
SYNARYO...
Mot so ngon ng mo ta phan cng: ABEL, VHDL, AHDL,...

Trang 3

Bi Ging K Thut S

Chng 1

CHNG 1. H THNG S M
1.1.

C S - CHUYN I C S

1.1.1. Khi nim


Bat c mot so nguyen dng R (R>1) eu co the c chon lam c so cho mot
he thong so.
Neu he thong co c so R th cac so t 0 en (R-1) c s dung.
V du: neu R=8 th cac ch so can thiet la 0,1,2,3,4,5,6,7.
Cac he thong c so thong dung trong ky thuat so:

Thap phan (c so 10).

Nh phan (c so 2).

Bat phan (c so 8).

Thap luc phan (c so 16).

Mt h thng vi c s R c biu din di dng


(a3a2a1a0 a-1a-2a-3)R
Phn
nguyn

Phn thp
phn

Khai trien theo ham mu cua R.


N =(a3a2a1a0a-1a-2a-3)R
= a3.R3 + a2.R2 + a1.R1 + a0.R0 + a-1.R-1 + a-2.R-2 + a-3.R-3
Vi cac c so ln hn 10 th can phai them cac ky hieu e bieu hien cac so ln
hn 10. V du he thap luc phan (hex) co c so 16 th A bieu th 10, B bieu th
11,, F bieu th 15.
i gia cc c s
Phn nguyn v phn thp phn c i mt cch ring bit
Phn nguyn c i bng cch s dng php chia lp cho c s mi v s
dng chui cc s d pht sinh to ra s mi. Php tnh s hc c thc
hin trn cc s hng ca c s c
Phn thp phn c i bng cch nhn lp li cho c s mi, s dng cc
s nguyn c to ra biu th phn s c chuyn i, php tnh s
hc c thc hin trn cc c s c

GV: Nguyn Trng Hi

Trang 4

Bi Ging K Thut S

Chng 1

V d: Bin i phn nguyn trong h c s 10 sang h c s R


N = (anan-1a2a1a0)R = an.Rn + an-1.Rn-1 + + a2.R2 + a1.R1 + a0
Neu chia N cho R, nhan c so d la a0
a
N
= an.Rn-1 + an-1.Rn-2 + + a2.R1 + a1 + 0 = Q1 + so d a0
R
R

Chia Q1 cho R
Q1
a
= an.Rn-2 + an-1.Rn-3 + + a3.R1 + a2 + 1 = Q2 + so d a1
R
R

Qua trnh tren c thc hien tiep tuc cho en khi tm c tat ca cac he so an
V d: Bin i phn thp phn ca h c s 10 sang h c s R
F = (a-1a-2a-3a-m)R
= a-1.R-1 + a-2.R-2 + a-3.R-3 + + a-m.R-m
Nhan F vi R
FR = a-1 + a-2.R-1 + a-3.R-2 + + a-m.R-m+1 = a-1 + F1
Vi a-1 la phan nguyen, F1 la phan le cua phep nhan
Tiep tuc nhan R vi F1
F1.R = a-2 + a-3.R-1 + a-4.R-2 + + a-m.R-m+2 = a-2 + F2
Tiep tuc qua trnh cho en khi xac nh het cac he so a-m
Bin i gia 2 c s khng phi l c s 10 c th thc hin d dng bng cch
u tin bin i sang c s 10 ri bin i tip t c s 10 sang c s mi.
1.1.2. H thp phn (h c s 10)
He thap phan c ket hp bi 10 ch so: 0, 1, 2, 3, 4, 5, 6, 7, 8, 9
Mot ch so trong he thap phan c bieu dien theo cac so mu cua 10.
Trong so

103 102 101 100

5
MSD

10-1 10-2

iem thap
phan

7 2
LSD

So mang trong so ln nhat goi la MSD (most significant digit)


So mang trong so nho nhat goi la LSD (least significant digit)
V d: So 5346,72 bieu dien nh sau:
5346,72 = 5.103 + 3.102 + 4.10 + 6 + 7.10-1 + 2.10-2

GV: Nguyn Trng Hi

Trang 5

Bi Ging K Thut S

Chng 1

em trong he thap phan:


0
1
2
3
4
5
6
7
8
9

10
11
12
13
14

100
101
102
103
104
105
106
107
108
109

99

Tong quat vi N ch so co the em c 10N so khac nhau, bao gom ca so 0. So


thap phan ln nhat la 10N 1.
1.1.3. H nh phn (h c s 2)
He nh phan dung hai ch so 0, 1.
Mot so trong he nh phan c bieu dien theo so mu cua 2.
Trong so

23

22 21 20 2-1 2-2 2-3

1 0
MSB

1 1 1 0 1
iem nh
phan

LSB

Mot ch so nh phan goi la bit.


Chuoi 4 bit nh phan goi la nibble.
Chuoi 8 bit goi la byte.
Chuoi 16 bit goi la word.
Chuoi 32 bit goi la double word.
Ch so nh phan ben phai nhat cua chuoi bit goi la bit co y ngha nho nhat (least
significant bit LSB)
Ch so nh phan ben trai nhat cua chuoi bit goi la bit co y ngha ln nhat (most
significant bit MSB).
Thng dung ch B cuoi chuoi bit e xac nh o la so nh phan.
V d: So 1011,101B bieu dien gia tr so:
1011,101B = 1.23 + 0.22 + 1.21 +1.20 + 1.2-1 + 0.2-2 + 1.2-3

GV: Nguyn Trng Hi

Trang 6

Bi Ging K Thut S

Chng 1

em trong he nh phan
Xet bo em 4 bit, bat au vi tat ca cac bit = 0
Trong so

23 = 8

22 = 4

21 = 2

20 = 1

0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

Cung nh trong he thap phan, neu dung N bit se em c 2N lan.

Chuyen so nh phan thanh so thap phan:


Phng php: Cong trong so cac bit 1
V d: 1011,11B = 1.23 + 0.22 + 1.21 + 1 + 1.2-1 + 1.2-2 = 11,75

Chuyen so thap phan thanh so nh phan:


Phng php:
Phan nguyen: Chia 2, nh lai so d
Phan thap phan: Nhan 2, nh lai phan nguyen
V d: Chuyen (25)10 ra so nh phan

25
= 12
2
12
=6
2
6
=3
2
3
=1
2
1
=0
2

+ so d 1
+ so d 0
+ so d 0
+ so d 1
+ so d 1
MSB
25 =

GV: Nguyn Trng Hi

LSB
1

Trang 7

Bi Ging K Thut S

Chng 1

V d: Chuyen (0,625)10 thanh so nh phan


0,625 2 = 1,25
0,25

2 = 0,5

0,5

2 = 1,0

0,625 = 0,101B
1.1.4. Cc php ton s hc trn s nh phn
Cac phep toan so hoc tren so nh phan chu yeu van giong cac phep toan tren so
thap phan, ngoai tr phep cong va phep nhan th n gian hn.
Bang phep cong cho so nh phan
0+0=0
0+1=1
1+0=1
1+1=0

nh 1 cho so hang ke tiep

V d: cong 1310 vi 1110 di dang nh phan


1111
cac so nh
1310 = 1101
1110 = 1011
11000 =2410
Bang phep tr cho so nh phan
0-0=0
0-1=1

mn 1 t so hang ke tiep

1-0=1
1-1=0
Mn1 t 1 cot tng ng vi viec tr 1 tai cot o
V d:
(a)

1
(mn 1 t cot th 3)
11101
- 10011
1010

Bang phep nhan cho so nh phan


0x0=0
0x1=0
1x0=0
GV: Nguyn Trng Hi

Trang 8

(b)

1111 (mn)
10000
11
1101

Bi Ging K Thut S

Chng 1

1x1=1
V d: Nhan 1310 vi 1110 dang nh phan
1101
1011
1101
1101
0000
1101
10001111 =14310
oi vi may tnh, phep nhan c thc hien bang phng phap cong va dch
trai:

Thanh phan au tien cua tong se chnh la so b nhan neu nh LSB cua so
nhan la 1. Ngc lai, LSB cua so nhan bang 0 th thanh phan nay bang 0.

Moi thanh phan th i ke tiep se c tnh tng t vi ieu kien la phai dch
trai so b nhan i bit.

Ket qua can tm chnh la tong cac thanh phan noi tren.

Phep chia cho so nh phan


Phep chia cac so nh phan cung tng t nh oi vi cac so thap phan.
V d: 30/6

11110
110
011
000
110
110
0

110
101

Tng t nh oi vi phep nhan, ta co the dung phep tr va phep dch phai cho
en khi khong the thc hien phep tr c na.
1.1.5. S c du - khng du
He thong so c chia lam 2 loai: khong dau va co dau.
Trong he thong co dau: e bieu th so nh phan co dau thng s dung bit MSB
e ch dau: bit 0 ch so dng, bit 1 ch so am, cac bit con lai e ch o ln
Nh vay, neu ta dung 8 bit e bieu dien th se thu c 256 to hp ng vi cac
gia tr 0..255 (so khong dau) hay 127.. 0 +0 +127 (so co dau).
Tuy nhien, khong n gian la c thay oi bit MSB bang 1 e bieu dien gia tr
am, v du nh 01000001 (+65) thanh 11000001 (-65), cac phep tnh so hoc se
khong con ung.
Gia tr am c mo ta di dang so bu 2.
GV: Nguyn Trng Hi

Trang 9

Bi Ging K Thut S

Chng 1

So bu 2 (2s component)
So bu 2 cua mot so nh phan xac nh bang cach lay ao cac bit roi cong them 1.
V d: Trong he thong co dau 8bit
So +65 bieu dien la: 0100 0001
So bu 2 cua +65 la: 1011 1110 + 1 = 1011 1111. ( 65)
Nhng neu oi ngc 1011 1111 sang thap phan se khong nhan c -65. e
xac nh gia tr tuyet oi cua mot so nh phan am, thc hien lai cac bc tren
-65
10111111
ao bit
01000000
cong 1
1
+65
01000001
Th lai bang cach lay tong cua +65 va 65, ket qua phai bang 0
+65
-65
00

01000001
+10111111
(1)00000000

Trong phep cong vi so bu 2, ta bo qua bit nh cuoi cung bi v co mot bit gan
cho bit dau nen ket qua van ung
Khi bieu dien theo so bu 2, neu s dung 8 bit ta se co cac gia tr so thay oi t
-128 ..127.
Phep tr thong qua so bu 2
Ngoai cach tr nh tren, ta cung co the thc hien phep tr thong qua so bu 2 cua
so tr: A-B=A+(-B)
VD:

0110 1101
- 0011 0001
So bu 1

1
Nh

0110 1101
+ 1100 1111
0011 1100

1100 1110 + 1 = 1100 1111 (So bu 2)


Ket qua 0011 1100, Bit MSB = 0 cho biet ket qua la so dng.
Xet khoang thay oi sau
+3
00000011
+2
00000010
+1
00000001
0
00000000
-1
11111111
-2
11111110
-3
11111101
GV: Nguyn Trng Hi

Trang 10

Bi Ging K Thut S

Chng 1

Thay rang cac bit 0 so nh phan am bieu th gia tr thap phan cua no: tnh gia
tr cua cac bit 0 theo v tr giong nh vi bit 1, cong cac gia tr lai va cong 1.
1.1.6. H bt phn (h c s 8)
He bat phan c ket hp bi 8 ch so: 0, 1, 2, 3, 4, 5, 6, 7.
V tr cua moi ch so co trong so nh sau:
84

83

82

81

80

8-1

8-2

8-3

8-4

8-5

iem bat phan

em trong he bat phan


0
10
1
11
2
12
3
4
5
6
66
7
67

70
71
72

277
300

Vi N ch so bat phan, ta co the em t 0 en 8N-1, 8N lan em khac nhau.


Chuyen so bat phan sang so thap phan:
V d: (24.6)8 = 2.81 + 4.80 + 6.8-1 =(20.75)10
Chuyen so thap phan sang bat phan:
V d: oi (266)10 sang he bat phan

266
= 33
8

+ so d 2

33
= 4 + so d 1
8
4
= 0 + so d 4
8
26610 =

4128

V d: Chuyen 0,3125 thanh so bat phan


0,3125 8 = 2.5
0,5

8 = 4.0

( 0,3125 = 0,248 )

GV: Nguyn Trng Hi

Trang 11

Bi Ging K Thut S

Chng 1

Chuyen so bat phan sang so nh phan:


Phng php: Bien oi moi ch so bat phan sang 3 bit nh phan tng ng
So Octal
So nh phan
tng ng

0
000

1
001

2
010

3
011

4
100

5
101

6
110

7
111

V d: Bien oi (472)8 sang so nh phan nh sau:


4

100

111

010

Vay (472)8 chuyen sang nh phan la 100111010B.


Chuyen so nh phan sang so bat phan.
Phng php: nhom tng 3 bit bat au tai LSB, sau o chuyen moi nhom
nay sang so bat phan tng ng (theo bang chuyen oi tren).
V d: chuyen 100111010B sang so bat phan
100111010

(4

2)8

Trng hp cac so nh phan khong u thanh 1 nhom 3 bits, ta them 1 hoac 2


so 0 ve ben trai cua MSB.
V d: chuyen 11010110 sang so bat phan
011010110

(3

6)8

Li ch cua he bat phan


Viec de dang chuyen t he bat phan sang nh phan va ngc lai lam cho he
bat phan rat co li trong viec rut ngan cac so nh phan ln. Trong may tnh,
cac so nh phan nay khong phai luon luon bieu hien mot con so ma thng
bieu th di dang ma mang thong tin, v du:
d lieu bang so thc
cac so tng ng vi cac v tr (a ch) trong bo nh
ma lenh
ma bieu th so hoc va cac ac iem khac
mot nom cac bit bieu hien trang thai cua cac thiet b trong va ngoai
may tnh
Khi giai quyet mot lng ln cac so nh phan vi nhieu bit, thng dung cac
so di dang bat phan hn la nh phan e tang o tien li, mac du cac mach
so va cac he thong so lam viec hoan toan tren so nh phan.
GV: Nguyn Trng Hi

Trang 12

Bi Ging K Thut S

Chng 1

V d: Chuyen so 11710 sang he bat phan roi chuyen sang he nh phan


Giai

177
= 22
8

+ so d 1

22
=2
8

+ so d 6

2
=0
8

+ so d 2

Vay (177)10 =(261)8 = (10110001)2


Phng phap chuyen so thap phan thanh so nh phan nay thng nhanh hn
viec chuyen thang t thap phan sang nh phan, ac biet oi vi cac so ln.
Cung nh vay oi vi viec chuyen ngc lai t nh phan sang thap phan
bang cach chuyen sang so bat phan
1.1.7. H thp lc phn (h c s 16)
Trong he thong nay, ta dung cac so 0..9 va cac k t A..F e bieu dien cho mot
gia tr so (tng ng vi 10 en 15 trong he 10). Thong thng, ta dung ch H
cuoi e xac nh o la so thap luc phan.
He thap luc phan
0
1
2
3
4
5
6
7
8
9
A
B
C
D
E
F

He thap phan
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15

He nh phan
0000
0001
0010
0011
0100
0101
0110
0111
1000
1001
1010
1011
1100
1101
1110
1111

em trong he thap luc phan (hex)


Khi em trong he thap luc phan moi ch so tang t 0 en F sau o ve 0 va
ch so co trong so ln hn ke tiep se tang len 1.

GV: Nguyn Trng Hi

Trang 13

Bi Ging K Thut S

Chng 1

10

20

9
A
B
C
D
E
F

19
1A

FF
100

1E
1F

6FF
700

Chuyen so hex sang thap phan


V d:

35616 = 3.162 + 5.161 + 6.160 = 85410

Chuyen so hex sang nh phan


Phng php: moi ch so hex c bien oi thanh so nh phan 4 bit tng
ng.
V d:

9F216 =

9
F
2

1001 1111 0010

Chuyen oi so nh phan sang so hex


Phng php: cac bit nh phan c nhom vao nhom 4 bit t LSB, moi
nhom 4 bit c bien oi sang so hex tng ng. Neu so bit khong u 4, th
cong them bit 0 vao MSB.
V d:

11101001102 = 0 0 1 1 1 0 1 0 0 1 1 0 = 3A616
3

V d: Chuyen (378)10 sang so hex roi chuyen sang so nh phan, nhan xet
V d: Chuyen B2F16 sang bat phan
1.2.

CC B M HA S H MI THNG DNG
Khi cac so, mau t hoac cac t words c bieu th di dang mot nhom cac ky
hieu khac, ta noi rang chung c ma hoa va nhom ky t o c goi la mot
ma.
Mot trong nhng ma thong dung nhat la ma Morse, chung bao gom cac cham va
gach e bieu hien cac mau t hay cac ch cai.
Bat c so thap phan nao cung co the c mo ta bang so nh phan tng ng,
mot nhom cac so nh phan 0 va 1 co the c xem la mot ma cho so thap phan.
Khi mot so thap phan c mo ta bang so nh phan tng ng vi no, ngi ta
goi la ma nh phan trc tiep (straight binary code)

GV: Nguyn Trng Hi

Trang 14

Bi Ging K Thut S

Chng 1

Tat ca cac he thong so dung mot so dang cac so nh phan cho viec thc thi ben
trong, nhng cac t ben ngoai th thng la thap phan, ngha la co mot s bien
oi thng xuyen t thap phan sang nh phan, s bien oi t thap phan sang nh
phan co the chiem mot khoang thi gian lau va phc tap oi vi mot so ln. V
ly do o, viec ma hoa cac so thap phan bang cach ket hp mot vai chc nang
cua ca he thong thap phan va nh phan c s dung trong cac tnh huong.
1.2.1. M BCD (Binary-Coded-Decimal Code)
Neu moi ch so cua so thap phan c mo ta bang so nh phan tng ng vi
no, ket qua ta c 1 ma goi la ma BCD, v ch so thap phan ln nhat la 9, can
4 bit e ma hoa.
Cac so 8,4,2,1 c goi la trong so cua ma va c goi la ma BCD 8-4-2-1.
oi khi trong so 8-4-2-1 to ra khong thuan tien trong tnh toan, mot so trong so
khac cung c s dung nh 2-4-2-1, 5-4-2-1, 7-4-2-1

Thp
phn
0
1
2
3
4
5
6
7
8
9

8
0
0
0
0
0
0
0
0
1
1

Trng s
4 2
0 0
0 0
0 1
0 1
1 0
1 0
1 1
1 1
0 0
0 0

1
0
1
0
1
0
1
0
1
0
1

7
0
0
0
0
0
0
0
1
1
1

M BCD
Trng s
Trng s
4 2 1 2 4 2
0 0 0 0 0 0
0 0 1 0 0 0
0 1 0 0 0 1
0 1 1 0 0 1
1 0 0 0 1 0
1 0 1 1 0 1
1 1 0 1 1 0
0 0 0 1 1 0
0 0 1 1 1 1
0 1 0 1 1 1

1
0
1
0
1
0
0
0
1
0
1

5
0
0
0
0
0
1
1
1
1
1

Trng s
1 2
0 0
0 0
0 1
0 1
1 0
0 0
0 0
0 1
0 1
1 1

1
0
1
0
1
0
0
1
0
1
1

Lu y rang cac loai ma 5-1-2-1 va 2-4-2-1 la khong duy nhat trong khi ma 8-42-1 va 7-4-2-1 lai duy nhat
V d: So thap phan 874 chuyen sang tng ng nh phan nh sau:

hoac

1000
1011
1011

0111
1010
1101

0011
0011
0110

(thap phan)
(BCD 8-4-2-1)
(BCD 5-1-2-1)
(BCD 5-1-2-1)

Mot lan na, moi ch so thap phan c bien oi trc tiep sang so nh phan
tng ng, lu y rang 4 bit luon c dung cho moi ch so thap phan

GV: Nguyn Trng Hi

Trang 15

Bi Ging K Thut S

Chng 1

Trong bai giang nay lay ma BCD 8-4-2-1 lam v du. Ma BCD bieu th moi ch
so cua so thap phan bang so nh phan 4 bit, s dung cac so nh phan 4 bit t
0000 en 1001, khong s dung cac so 1010, 1011, 1100, 1101, 1110 va 1111.
V d: Bien oi 0110100000111001 (BCD 8-4-2-1) sang gia tr thap phan
Gii
Chia so BCD thanh cac nhom 4 bit va bien oi sang thap phan
0110

1000 0011 1001 = 6839

So sanh BCD va nh phan. Mot ieu quan trong la ma BCD khong giong nh
ma nh phan trc tiep. Ma nh phan trc tiep bien oi so thap phan sang nh
phan trong khi ma BCD bien oi moi ch so trong so thap phan sang nh phan.
Xet v du bien oi 137 sang ma nh phan trc tiep va sang BCD 8-4-2-1 nh sau:
13710 = 100010012

(nh phan)

13710 = 0001 0011 0111 (BCD 8-4-2-1)


Ma BCD can 12 bit trong khi ma nh phan trc tiep ch can 8 bit e bieu th so
137. Ma BCD can nhieu bit hn la bi v BCD khong dung het cac kha nang cua
cac nhom 4 bit va v vay co phan nao o khong hieu qua.
u iem chnh cua BCD la de dang chuyen sang thap phan. Ch phai nh cac
nhom ma 4bit cho cac so thap phan t 0 en 9. S de dang chuyen oi nay ac
biet quan trong theo quan iem ve phan cng v trong mot he thong so, no la
cac mach logic e tao nen s chuyen oi sang va t thap phan
1.2.2. Cc php ton s hc vi m BCD
Cng BCD
Cong hai so BCD co iem khac so vi cong hai so nh phan. Khi tong cua moi
so hang BCD 9 th tong o la ket qua cuoi cung
V d,
01010011 (53)
00100101 (25)
01111000 (78)
Khi tong hai so nh phan 9 th tong phai c cong them 6 va nh 1 len hang
BCD co ngha cao hn
V d,
0001 0111 (17)
0010 0101 (25)
0011 1100 Ket qua 9
0110 (6)
0100 0010 (42)
GV: Nguyn Trng Hi

Trang 16

Bi Ging K Thut S

Chng 1

Tr BCD
Giong quy luat tr so nh phan nhieu bit, neu so b tr nho hn so tr th phai
mn 1 hang co ngha ln hn (giong tr thap phan)
0101 0101 (55)
0001 1000 (18)

0100
0001
0011

1111
1000
0111

Mn 1
(37)

Biu din s BCD m


Giong he nh phan, e bieu dien so am trong he nh phan thng dung so bu 2,
e bieu dien so BCD am thng dung so bu 10.
So bu 10 bang so bu 9 cong 1
Bit tan cung ben trai la bit dau: 1 cho so am va 0 cho so dng
V d,
+ 342
+
- 342

0 342

So dng

1 657

So bu 9 cua 342

1
1 658

So bu 10 cua 342

1.2.3. M qu 3 (excess-3code)
Ma qua 3 c hnh thanh bang cach cong them 3 n v vao ma BCD 8421.
V d: bien oi 48 sang ma qua 3
4
+3
7

0111

8
+3
11

1011

Cong 3 cho moi ch so

Chuyen sang ma nh phan 4 bit

Bang liet ke ma BCD va ma qua 3 tng ng vi cac ch so thap phan.


Thap phan
0
1
2
3
4
5
6
7
8
9

GV: Nguyn Trng Hi

BCD
0000
0001
0010
0011
0100
0101
0110
0111
1000
1001

Trang 17

Ma qua 3
0011
0100
0101
0110
0111
1000
1001
1010
1011
1100

Bi Ging K Thut S

Chng 1

1.2.4. M Gray
Ma Gray nam trong nhom ma thay oi cc tieu minimun-change codes, o ch
1 bit trong nhom ma thay oi khi i t bc nay qua bc khac.
Ma Gray la ma khong co trong so, ngha la moi v tr cua bit trong nhom ma
khong c gan trong so nao. V vay, ma Gray khong phu hp vi cac bieu thc
so hoc nhng phu hp vi cac thiet b ng dung vao/ra & mot so dang bien oi
analog - digital
Bang chuyen oi ma Gray t so thap phan (0 en 15) vi ma nh phan trc tiep
Thap phan
0
1
2
3
4
5
6
7

Nh phan
0000
0001
0010
0011
0100
0101
0110
0111

Ma Gray
0000
0001
0011
0010
0110
0111
0101
0100

Thap phan
8
9
10
11
12
13
14
15

Nh phan
1000
1001
1010
1011
1100
1101
1110
1111

Ma Gray
1100
1101
1111
1110
1010
1011
1001
1000

1.2.5. M Johnson
Ma nay s dung nam ch so nh phan e bieu dien cac ch so he mi.
Phng php: Khi chuyen sang so tiep theo ma se thay ch so 0 bang ch so
1, bat au t phai sang trai, cho en khi at 11111 th se bat au thay the dan
ch so 1 bang ch so 0 va cung theo chieu t phai sang trai

H 10

M Johnson
J4
J3
J2
J1
J0
0
0
0
0
0
0
1
0
0
0
0
1
1
1
0
0
0
2
1
1
1
0
0
3
1
1
1
1
0
4
1
1
1
1
1
5
0
1
1
1
1
6
0
0
1
1
1
7
0
0
0
1
1
8
0
0
0
0
1
9
Ngoai ra con dung cac loai ma co ch so ln hn nh 8 hoac 10 ch so, nhc
iem cua cac loai ma nay la o dai t ma ln nen chiem nhieu thi gian trong
kenh thong tin nhng u iem la co the phat hien sai va trong nhieu trng hp
con co the sa sai, v vay thng goi la ma chong nhieu (noi dung nay nam
trong ly thuyet thong tin)

GV: Nguyn Trng Hi

Trang 18

Bi Ging K Thut S

Chng 1

1.2.6. Cc m Alphanumeric (m theo ch ci v con s)


Ngoai cac d lieu so, mot may tnh phai co kha nang x ly cac cong tin khong
so. Noi cach khac, mot may tnh phai nhan ra cac ma bieu th cac ky t cua ch
cai, cac dau cham, va cac ky t ac biet khac. Cac ma nay c goi la ma
alphanumeric. Mot ma alphanumeric hoan tat bao gom 26 ch cai thng, 26
ch cai hoa, 10 ch so, 7 cham cau, va t 20 en 40 ky t khac, nh +, /, #, %,
*,v.v. Co the noi rang ma alphanumeric bieu th tat ca cac ky t khac nhau va
cac ham tuy thuoc vao chuan ban phm cua may tnh hay may anh ch
Ma ASCII. Ma alphanumeric dung rong rai hien nay la ma ASCII (American
Standard Code for Information Interchange), s dung hau het trong cac may vi
tnh, trong cac the he may tnh ln (mainframe). Ma ASCII la mot ma 7 bit va v
vay no co 27 = 128 nhom ma. ieu nay th u e bieu th tat ca cac ky t ban
phm chuan cung nh cac ham ieu khien nh (RETURN) va (LINEFEED)
Ky t
A
B
C
D
E
F
G
H
I
J
K
L
M
N
O
P
Q
R
S
T
U
V
W
X

ASCII
100 0001
100 0010
100 0011
100 0100
100 0101
100 0110
100 0111
100 1000
100 1001
100 1010
100 1011
100 1100
100 1101
100 1110
100 1111
101 0000
101 0001
101 0010
101 0011
101 0100
101 0101
101 0110
101 0111
101 1000

Octal
101
102
103
104
105
106
107
110
111
112
113
114
115
116
117
120
121
122
123
124
125
126
127
130

Hex
41
42
43
44
45
46
47
48
49
4A
4B
4C
4D
4E
4F
50
51
52
53
54
55
56
57
58

Ky t
Y
Z
0
1
2
3
4
5
6
7
8
9
Blank
.
(
+
$
*
)
/
=
RETURN
LINEFEED

ASCII
101 1001
101 1010
011 0000
011 0001
011 0010
011 0011
011 0100
011 0101
011 0110
011 0111
011 1000
011 1001
010 0000
010 1110
010 1000
010 1011
010 0100
010 1010
010 1001
010 1101
0101111
010 1100
011 1101
000 1101
0001010

Octal
131
132
060
061
062
063
064
065
066
067
070
071
040
056
050
053
044
052
051
055
057
054
075
015
012

Hex
59
5A
30
31
32
33
34
35
36
37
38
39
20
2E
28
2B
24
2A
29
2D
2F
2C
3D
0D
0A

V d: Thong iep c ma hoa trong ASCII nh sau


1001000
Gii Ket qua theo HEX la 48

1000101

1001100

1010000

45

4C

50

Theo bang ASCII, bien oi HEX sang ky t la H E L P


GV: Nguyn Trng Hi

Trang 19

Bi Ging K Thut S

Chng 1

Ma ASCII thng dung trong cac bo truyen cac thong tin alphanumeric gia
mot may tnh va cac thiet b vao ra nh thiet b video hay printer. May tnh cung
s dung no e lu tr cac thong tin nh cac dang lenh.
e co the bieu dien them nhieu ky t khac (ky hieu khong phai la ch La Ting
nh , , , ) ma ASCII c them 1bit na e co ma ASCII 8bit dien ta c
256 ky t, ay la ma ASCII m rong (Extended ASCII)

GV: Nguyn Trng Hi

Trang 20

Bi Ging K Thut S

Chng 1

Bi tp chng 1
1.1. i cc s nh phn sau sang s thp phn:
a.

10110

b.

10001101

c.

100100001001

d.

1111010111

e.

10111111

1.2. i cc gi tr thp phn sau sang nh phn:


a.

37

b.

14

c.

189

d.

205

e.

2313

f.

511

1.3. Gi tr thp phn ln nht ca s nh phn 8 bit l bao nhiu,16 bit l bao
nhiu
1.4. i cc s bt phn sang s thp phn tng ng:
a.

743

b.

36

c.

3777

d.

257

e.

1204

1.5. i cc s thp phn sau sang s bt phn:


a.

59

b.

372

c.

919

d.

65,535

e.

255

1.6. i cc s bt phn 1.4 thnh s nh phn.


1.7. i cc s nh phn 1.1 thnh s bt phn.
1.8. Hy lit k cc s bt phn lin tc t 1658 n 2008.
1.9.Khi cc s thp phn ln, i sang nh phn, trc tin ta i sang bt
phn, sau i s bt phn thnh s nh phn. Hy dng cch ny i s
231310 thnh s nh phn v so snh vi cch i dng bi 1.2.
1.10. i cc gi tr hex sau thnh s thp phn:
a.

92

GV: Nguyn Trng Hi

Trang 21

Bi Ging K Thut S
b.

1A6

c.

37FD

e.

2C0

f.

7FF

Chng 1

1.11. i cc gi tr thp phn sau sang Hex:


a.

75

b.

314

c.

2048

d.

25,619

e.

4095

1.12. i cc gi tr nh phn bi 1.1sang thp lc phn.


1.13. i cc gi tr Hex bi 1.10 sang nh phn.
1.14.Trong my vi tnh, a ch cc v tr nh c biu din dng thp lc
phn. a ch ny l nhng s lin tc m n nhn dng mi mch nh
a. Mt my tnh c th c th cha 1 s 8 bit trong mi v tr nh. Nu cc
a ch nh nm trong khong t 000016 n FFFF16, c bao nhiu v
tr nh?
b. Mt b vi x l c 4096 v tr nh th khong a ch Hex m b vi x l
ny dng l g ?.
1.15. Hy lit k nhng s hex trnh t t 280 n 2A0.
1.16. Hy m ha cc s thp phn sau thnh s BCD:
a.

47

b.

962

c.

187

d.

42,689.627

e.

1204

1.17. Cn bao nhiu bit th hin cc s thp phn trong khong t 0 n 999
dng m nh phn chun, m BCD?
1.18. i nhng s BCD sau thnh s thp phn:
a.

10010110101010010

b.

000110000100

c.

0111011101110101

d.

010010010010

1.19. Hy th hin pht biu sau "X = 25/Y" bng m ASCII, c km theo mt bit
chn l.
1.20. Hy thm bit chn l vo m ASCII bi 1.19 v a kt qu v s Hex.
1.21. Cc nhm m di y c truyn i, hy thm bit chn l cho mi nhm:
GV: Nguyn Trng Hi

Trang 22

Bi Ging K Thut S
a.

10110110

b.

00101000

c.

11110111

Chng 1

1.22. Hy i s thp phn sau thnh m BCD, sau i sang s BCD m:


a.

74

b.

38

c.

165

d.

9201

1.23. Trong h thng s, s thp phn t 000 n 999 c th hin dng m


BCD. Mt bit chn l l cng c ch km cui mi nhm m. Hy kim tra
cc nhm m bn di v gi s rng mi mt m c truyn t v tr ny n v
tr khc. Mt vi nhm c cha li. Gi s khng qu 2 li trong mi nhm. Hy
xc nh nhm m no c 1 li n v nhm m no c 1 li kp:
a.

100101011000

b.

0100011101100

c.

011110000011

d.

1000011000101

1.24. Thc hin cc s chuyn i di y. Trong s , c th th 1 cch tt


nht trong nhiu cch kho st.
a.

(1417)10 = (...)2

b.

(-255)10 = ()2

c.

(1110101000100111)2 =()10

e.

(2497)10 = (..)8

g.

(235)8 = ()10

i.

(7A9)16 = (.)10

k.

(1600,123)10 = ()16

m.

(865)10 =( .)BCD

n.

(100101000111)BCD = (.)10

1.25. Th hin gi tr thp phn 37 theo nhng cch sau:


a.

Bin i thng nh phn

b.

BCD

c.

ASCII

d.

Bt phn

e.

Hex

GV: Nguyn Trng Hi

Trang 23

You might also like