Download as pdf or txt
Download as pdf or txt
You are on page 1of 6

Communication IC & Signal Processing Lab

FPGA Design Flow & Design Examples


Chih-Peng Fan

FPGA Design Flow


Pre-sim
module.v & testbench.v

Communication IC & Signal Processing Lab

HDL simulation (ModelSim,Verilog XL, ..) - module.v - synthesis constrain Third Party HDL synthesis (Synplify, FPGA Express, ..) - *.edif - P&R constrain Placement & Route (Xilinx ISE tools)

Post-sim

Xilinx XST synthesizer

Xilinx cell library

Time_sim.sdf Time_sim.v

FPGA configure file generating & Download (Xilinx ISE)

- *.bit FPGA download & test


Chih-Peng Fan 2

Communication IC & Signal Processing Lab

Chih-Peng Fan

Communication IC & Signal Processing Lab

Chih-Peng Fan

Communication IC & Signal Processing Lab

Chih-Peng Fan

Communication IC & Signal Processing Lab

Chih-Peng Fan

You might also like