Download as txt, pdf, or txt
Download as txt, pdf, or txt
You are on page 1of 1

module smpl_circuit(A,B,C,x,y); input A,B,C; wire e; output x,y; and g1 (e,,A,B); not g2 (y,C); or g3 (x,e,y); endmodule

http://www.a-mot.com/vb/t117922.html

You might also like