Asml 20110318 ASML Images Winter Edition 2011

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 28

ASMLs customer magazine | 2011 Winter Edition

TWINSCAN NXT extends immersion performance EUV is in customers hands Holistic Litho improves on-product overlay

6
3 4 6 10 12 Editors note ASML in the News More Good Wafers EUV is in customers hands Tachyon NXE: The speed you want, the accuracy you need 17 20 24 New light on cooperation Wave hello to larger process windows Stellar metrology accuracy boosts on-product overlay 27 PAS 5500 steppers bring new benefits to the LED market

10
images | Colofon
Editorial Board Lucas van Grinsven, Peter Jenkins Managing Editor Ryan Young Contributing Writers Matthew McLaren, Ron Schuurhuis, Stuart Young, Keith Gronlund, Frank Driessen, Bernardo Kastrup, Henk Niesing, Angelique Nachtwein, Hans Bakker, Kaustuve Bhattacharyya, Arie den Boef and Rutger Voets

24
2010, ASML Holding BV ASML, ASM Lithography, TWINSCAN, PAS 5500, PAS 5000, SA 5200, ATHENA, QUASAR, IRIS, ILIAS, FOCAL, Micralign, Micrascan, 3DAlign, 2DStitching, 3DMetrology, Brion Technologies, LithoServer, LithoGuide, Scattering Bars, LithoCruiser, Tachyon 2.0, Tachyon RDI, Tachyon LMC, Tachyon OPC+, LithoCool, AGILE, ImageTuner, EFESE, Feature Scan, T-ReCS and the ASML logo are trademarks of ASML Holding N.V. or of affiliate companies. The trademarks may be used either alone or in combination with a further product designation. Starlith, AERIAL, and AERIAL II are trademarks of Carl Zeiss. TEL is a trademark of Tokyo Electron Limited. Sun, Sun Microsystems, the Sun Logo, iForce, Solaris, and the

Circulation Emily Leung, Michael Pullen, Shirley Wijtman

Java logo are trademarks or registered trademarks of Sun Microsystems, Inc. in the United States and other countries. Bayon is a trademark of Kureha Chemical

For more information, please see: www.asml.com/images

Industry Co. Ltd. Nothing in this publication is intended to make representations with regard to whether any trademark is registered or to suggest that any sign other than those mentioned should not be considered to be a trademark of ASML or of any third party. ASML lithography systems are Class 1 laser products.

ASML Images, Winter Edition 2011

Editors note

Its an exciting time in the semiconductor industry


By Ryan Young, Senior Manager Communications

As I write this, 2010 is coming to a close and I cant help but reflect on what a year its been in the semiconductor industry. Who would have thought that this industry, which nearly came to a standstill in early 2009, would rebound so quickly and so strongly? 2010 semiconductor industry growth looks to finish north of 30%, and while specific figures vary, industry analysts agree that growth is expected to continue in 2011. Much of this growth is, and will continue to be driven by the mobile internet, specifically tablets and smartphones. Apples iPad has been wildly successful with an estimated 13 million units sold in its first year, while unit forecasts for 2011 are upwards of 40 million. More importantly, the iPad launched the tablet revolution which is quickly being joined by numerous competing products, notably the Samsung Galaxy Tab, and tablets from Toshiba and Dell. Meanwhile, IDC forecasts the smartphone market to grow by 14% in 2010 and 24.5% in 2011. These technology revolutions are made possible of course by chipmakers adherence to Moores Law. Shrink remains the most efficient way to drive down manufacturing costs while at the same time decreasing device size, reducing power requirements, and improving performance. At ASML our aim is to support you in your shrink roadmap by providing the lithography solutions you need today and tomorrow, whether you opt for immersion supported by advanced applications and integrated metrology, or EUV, or a combination of both.

It appears that double-patterning down to just under 20-nm half-pitch will be possible using 193-nm immersion lithography but at the expense of design restrictions and extra processing steps. Our TWINSCAN NXT platform is rising to the occasion. NXT systems are now leaving the factory at 175 wph, and doing so with improved imaging and overlay performance. Adding a FlexRay programmable illuminator to your NXT system takes your imaging performance to new levels by giving you complete and rapid control of pupil shape dynamics. Much faster than Diffractive Optical Elements (DOEs), FlexRay improves imaging while saving you time and money. In addition, our new FlexWave product allows you to create almost any wave front in the projection lens of your NXT:1950i system. You can use these wave fronts to compensate for aberrations and lens heating effects, or to optimize the wave front mask effects. The end result is better on-product overlay and focus control for larger process windows. Apart from ongoing innovations on the scanner itself, ASML continues to develop complimentary products and services to improve overall litho performance through Holistic Lithography solutions. Eclipse packages leverage our in-depth cooperation to deliver customer-specified on-product performance. Through Eclipse you can move through your development and ramp-up stages faster and reach a higher line yield. Another key aspect

of Holistic Lithography is the robust post-patterning metrology solution available with YieldStar. YieldStar provides unrivalled overlay measurement accuracy, reveals the root cause of process drift faster and implements higher-order process control. Looking forward, imaging below 20-nm half-pitch will require EUV lithography. ASMLs second-generation EUV system, the NXE:3100 has begun shipping to customers. We will build a total of six of the NXE:3100 systems which will be used for pre-production and EUV process development. Were already investing in the third-generation systems; the NXE:3300B will start to ship to customers in 2012. Our Brion division is preparing computational lithography solutions for EUV volume production. Tachyon NXE was announced in September and will reduce both the development time and cost to produce chips on EUV systems. At ASML, we appreciate how busy you must be during this steep upturn and we want to assure you that we too are fully engaged and committed to supporting your current business while planning to meet your future roadmap requirements. Were grateful for your business and for the strong recovery weve all experienced in 2010. I hope you have a happy and prosperous 2011. Regards, Ryan Young 3

ASML in the News


ASML TWINSCAN Systems Surpass 4,000 Wafers Per Day Milestone
Tokyo, Japan, December 1, 2010 ASML announced that two chipmakers using TWINSCAN semiconductor lithography scanners have set a new productivity record of imaging more than 4,000 silicon wafers within 24 hours. The milestone has been reached by an XT:870 and an XT:400, which are in operation at two different customer sites in Asia and which have raised the bar for 300mm lithography productivity. ASML and its customers are mutually engaged to continuously increase the value of ASML scanners by pushing to increase their productivity. ASML strives to develop improvements to scanner hardware and software while chipmakers fine-tune their chip recipes and manufacturing processes.

ASML
in the News
Chip Makers Adopt ASMLs Holistic Lithography to Continue Moores Law
San Francisco, Calif., July 13, 2010 - At SEMICON West ASML announced broad customer adoption of holistic lithography products which optimize semiconductor scanner performance and provide a faster start to chip production. 100% of ASMLs leading-edge scanners are now sold with one or more holistic lithography components. Semiconductor manufacturers face increasingly smaller margins of error as they shrink chip features. Holistic lithography provides a way to shrink within these margins to continue Moores Law. Introduced a year ago at SEMICON West 2009 ASMLs holistic lithography suite of products enable continued shrink and provide customers with higher yield, sooner. Holistic lithography integrates computational lithography, wafer lithography and process control to optimize production tolerances and reduce time to money for chip makers. All of our customers have adopted multiple products from the holistic product portfolio into research & development (R&D) as well as volume manufacturing. Products like Source Mask Optimization (SMO), FlexRay, LithoTuner, Baseliner and YieldStar are in use worldwide. ASML also offers holistic lithography as an integrated package called EclipseTM, which is tailored to a specific customer, node and application, and which enables chip makers to squeeze every last bit of performance out of the chip making process and to enter volume production at the earliest possible time. A significant number of ASMLs advanced customers have adopted an integrated Eclipse package.

ASML Images, Winter Edition 2011

For complete information regarding these press announcements, please refer to the press section of www.asml.com.

ASML and Brion Unveil Software that will Optimize EUV Manufacturing of the Most Advanced Chips
Monterey, Calif., September 14, 2010 - Next generation semiconductor production will be facilitated by a new product introduced by Brion Technologies, a division of ASML. Brions new Tachyon NXE provides accurate predictive modeling specifically for ASML Extreme Ultraviolet (EUV) scanners, the upcoming technology for the chip industry which will enable smaller, faster, cheaper and more energy-efficient semiconductors. The accurate EUV modeling in Tachyon NXE will reduce both the development time and cost to produce chips on EUV systems. The Tachyon NXE software package seamlessly integrates with existing Tachyon products to enable the simulation of the EUV lithography process. In developing Tachyon NXE, Brion has incorporated TWINSCAN NXE:3100 scanner characteristics, models, and data to accurately describe the optical performance of the system. By simulating the behavior of the new scanner in software, this Tachyon NXE model can efficiently predict and correct NXE-specific effects before the start of chip production, helping to decrease EUV mask re-spins and shorten the learning cycles during final mask development.

ASML Immersion Systems Reach Million Wafer Club Status at Korean Memory Manufacturers
Veldhoven, the Netherlands, May 26, 2010 - ASML announced that four TWINSCAN XT:1900Gi lithography systems have joined ASMLs One Million Wafer Club of scanners that have processed more than one million silicon wafers within 12 months, underlining the importance and acceptance of this advanced technology in mainstream chip manufacturing. The four XT:1900Gi systems, the first immersion scanners to reach the million wafer milestone, operate at two Korean chipmakers in three different facilities in two countries. Several more immersion systems at these sites are expected to reach this same milestone in the next few months. The XT:1900Gi immersion systems join an additional 161 dry systems in the One Million Wafer Club. 5

More Go
Increased productivity doesn
By Matthew McLaren, Director of Product Management, and Ron Schuurhuis,

ASML Images, Winter Edition 2011

od Wafers:
t need to cost performance
Product Manager
Abstract | With more than two dozen systems in volume production at fabs around the world, and more than 5 million wafers processed to date the TWINSCAN NXT:1950i is helping chipmakers produce next-generation devices. Recent improvements, systems extensions and upgrades to the NXT:1950i provide enhanced imaging, overlay and throughput for nodes as small as 22-nm. Imaging Preventing imaging and overlay performance degradation when increasing throughput is a key challenge in the evolution of any scanner and all the more challenging on immersion systems. Increased wafer stage acceleration and reduced settle time in particular can TWINSCAN NXT:1950i systems are now leaving the factory operating at 175 wafers per hour (wph) and field upgrades for systems already shipped are ongoing. Interestingly, the upgraded productivity comes with improvements to the dynamic performance of the stage, maintaining imaging and overlay performance even at these higher throughputs. have a negative effect on imaging and overlay if the motion control of the stage is not adapted to compensate for the more aggressive stage parameters. In addition to the inherent stiffness in the hardware design of the wafer stage, positioning errors in x, y (overlay) and z (imaging) can be suppressed by adapting the sensitivity of the servo controller to the changing frequency spectrum at different wafer stage settings. In the case of the most recent productivity upgrade of the TWINSCAN NXT:1950i to 175wph, the revised servo tuning delivered at least comparable imaging and overlay performance when compared with lower productivity settings ensuring more good wafers out. See Fig 1

Pattern defect performance improves at


Spec (nm) XT:1900 (mean + Sigma) XT:1950 (mean + Sigma)
4 4.5 3 2.5 2 1.5 1 0.5 0 inter field intra field CDU 40 nm iso inter field intra field CDU 45 nm iso

NXT:1950i 110 wph NXT:1950i 150 wph NXT:1950i 175 wph

higher throughput and for high contact angle material. Big bubbles, printed particles and other defects are all reduced at the 175wph spec due to optimised wafer stage settings. See Fig 2 The NXT:1950i can also leverage new innovations such as the FlexRay custom illuminator and FlexWave programmable wavefront generator. FlexRay takes your imaging performance to new levels by giving you complete and rapid control of pupil shape. During R&D cycles, tighter pupil specs combined with instant turn around time of new pupils enables fast and effective litho process optimization. When transferring into volume production the pupil tunability enables improved tool-to-tool OPC matching and a faster yield ramp. FlexRay is even more powerful when used together with Tachyon SMO for source-mask optimization. FlexWave allows you to create almost any wavefront you like in the project lens of your TWINSCAN NXT:1950i system. You can use these wavefronts to compensate for aberrations and lens heating effects, or

Spec XT:1900 XT:1950 NXT:1950i NXT:1950i NXT:1950i (nm) 110wph 150wph 175wph CDU 40 nm iso CDU 45 nm dense inter field intra field inter field intra field 3.0 1.8 3.5 2.5 1.6 1.0 1.7 1.3 1.5 1.1 1.5 1.2 1.6 0.9 1.2 1.2 1.4 0.6 1.1 1.1 1.2 0.6 0.9 1.0

Figure 1: Revised servo tuning delivers equal or improved overlay performance at higher throughputs

Big bubbles
20.00

Spec

Spec

to optimize the wave front to suit a specific


Printing particles Other immersion All Big bubbles

mask. The end result is better on-product overlay and focus control for larger, more robust process windows. See Fig 3 Overlay At 175wph the TWINSCAN NXT:1950i has demonstrated Dedicated Chuck Overlay (DCO) of ~2 nm overlay under all test

Other immersion

mena defect count

15.00 10.00 Spec

Spec

Printing particles

5.00

0.00 110wph 150wph 175wph 110wph 150wph 175wph

conditions including single-day, multiday, full lot, mixed wafer sequences, etc. Single Machine Overlay (SMO) of 2.9 nm has been demonstrated showing system stability over time. Also a wafer recently processed during a Factory Acceptance Test (FAT) became the first with sub 1 nm overlay showing the further potential of the NXT platform. See Figs 4-6

TCX 041 68 o SRCA

AIM 5484 79 o SRCA

Edge speed optimeiser Averaged

LOW

LOW

LOW

LOW 15 systems

HIGH

HIGH

8 systems 8 systems 1 system 3x

7 systems 1 system 3x

Figure 2: Better defect performance for higher throughputs and high contact angle material

ASML Images, Winter Edition 2011

Conclusion By optimizing the entire system the improvement of throughput to 175 wph comes with real value of not only more, but better wafers. And were not finished yet. A PEP package that will drive throughput above 200 wph is coming in 2011. The extendibility of the TWINSCAN NXT platform will continue to meet the industry roadmap for shrink.
Figure 3

target

Lot (1.04,1.11)
6

X Y

1.07 1.16

0.95 0.92

1.04 1.00

1.01 1.15

Figure 4

Lot (1.7,1.7) Lot (1.7,1.7)


6 6

X Y

X Y

Lot (2.9,2.7) Lot (2.9,2.7)


6 6

X Y

X Y

0 Lot

0 1 2 1 3 2 4 3 45 6 5 7 6 8 7 8 9 10 9 10 11 12 11 12 Lot( 1 . 4 ,(1 ..0 ), 1 . 0 ) ( 1 . 9 ,(1 ..6 ), 1 . 6 ) ( 1 . 5 ,(2 ..1 ), 2 . 1 ) 1 4 1 9 1 5

3 daysdays DCO: 2.1nm 3 DCO: 2.1nm

3 daysdays SMO: 2.9nm 3 SMO: 2.9nm

Figure 5

68 fields; filter Lot (1.04,1.11) X Y

10

15

20

25

Figure 6: a 25 wafer DCO lot at 175wph, showing <2nm over the whole wafer (including the edge fields)

EUV is in customers hands


By Stuart Young, Senior Product Manager EUV
Abstract | The first TWINSCAN NXE:3100 scanner has been shipped to a customer and is on schedule to start exposing wafers before the end of this year. ASML and its partners are already investing in next-generation EUV scanners to ensure the technology is available for mass-market manufacturing.

10

ASML Images, Winter Edition 2011

architectures have been exposed on the NXE:3100 systems including Flash and SRAM device images printed at resolutions down to the 16-nm node. The NXE:3100 is our second-generation EUV scanner, following our alpha demo tools (ADTs) operating at IMEC in Belgium and CSNE in the USA. It has a numerical aperture (NA) of 0.25 and a specified resolution of 27 nm. In all, six of these scanners will be produced. Besides the shipped system, four others are now mechanically complete including the source and the sixth is in the last stages of integration. All systems are currently undergoing performance and reliability testing.
Figure 2: 27nm half pitch flash gate layer across the imaging slit Figure 1: 0.075m2 bit cell-size, 78 x 96 nm minimum pitch

The first TWINSCAN NXE:3100 scanner has been shipped to a customer fab
Extreme ultraviolet (EUV) lithography is now in the hands of ASMLs customers. The first of our TWINSCAN NXE:3100 scanners has been shipped to a customer fab. And it is planned to begin exposing product wafers by the end of December. Those wafers will be the first EUV wafers ever exposed on an EUV scanner at a semiconductor manufacturers production facility. But they wont be the first EUV wafers exposed by customers on an NXE:3100. Over the last few months, a number of customers have visited our new EUV assembly facilities to see a working NXE:3100 in action and to expose wafers for themselves. A wide range of device Our optics partner, Carl Zeiss SMT AG, has already started making the mirrorbased projection lenses that the system will use. We also have multiple partners The NXE:3300B will have an NA of 0.32 and a throughput of 125 wafers per hour. Planned for delivery in 2012, it will target volume production at the 22-nm half-pitch node. Strong industry pull There is a strong demand for production EUV scanners from manufacturers across the semiconductor industry. All six of our NXE:3100 systems were ordered some time ago, and a number of customers have already placed orders for our thirdgeneration EUV scanner the NXE:3300B. Supporting that commitment, ASML and Brion have released a new version of the successful Tachyon computation lithography platform (see article page 12). Tachyon NXE provides accurate predictive modeling specifically for the TWINSCAN NXE:3100 allowing customers to optimize volume EUV manufacturing processes. NXE:3300B scanner models and further EUV-specific computational lithography products will be available in the near future. on board to deliver EUV sources. This early investment in the NXE:3300B shows that the commitment to EUV of ASML and its strategic partners is as strong as the demand from the industry for this new technology.

11

Tachyon NXE: the accuracy


By Keith Gronlund, Senior Manager Product Marketing and Frank Driessen, Senior

12

ASML Images, Winter Edition 2011

The speed you want, you need


Marketing Manager, Eclipse
Abstract | Tachyon NXE is a computational lithography (c-litho) product that has recently been released by ASML Brion in close cooperation with ASMLs TWINSCAN NXE team. The product is part of the Holistic Lithography approach of ASML and provides accurate predictive modeling of ASMLs Extreme Ultraviolet (EUV) scanners that are now shipping to chipmakers. Tachyon NXE meets customers needs for accurate full-field correction (OPC) and verification for high-volume manufacturing (HVM). It addresses several EUV-specific effects using knowledge of both the mechanical and optical design of the NXE scanners as well as their EUV plasma sources. Hence, Tachyon NXE becomes an important asset for ASMLs customers to push the NXE scanners to further CD shrinks. effects of this through-slit rotation that are caused by a slightly reduced overlap of the diffraction orders. For dense-L/S applications such as DRAM and FLASH these effects are small, on the order of 0.4 nm center-edge differences because the width of the poles and spot positions were designed to keep maximum overlap of the diffraction orders. For non-dense patterning and dipole illumination, pitch regions exist with a center-edge CD difference of 3 nm. OPC applications can easily correct this prior to mask tape-out and/or Litho Manufacturability Check (LMC) verification can detect and prevent any imaging error caused by it. The effect is of no importance for conventional and annular illumination modes. Accurate prediction of flare effects is important because 1% flare approximately translates into 0.8 nm CD difference on the wafer. Tachyon NXE includes proprietary flare modeling to properly address and correct these errors. Accurate modeling of intra-field flare The TWINSCAN NXE reflective projection lens projects the diffracted mask pattern on to the wafer via the exit-aperture. One of the well-known key items in EUV lithography is the relatively high level of flare that is related to the short wavelength of 13.5 nm. compensate this effect. It is clear that mask-3D shadowing extends across the entire image-field. For non-circular-symmetric illumination profiles, such as dipole illumination, a through-slit pupil rotation occurs on the NXE:3100 as shown in Fig. 2. This pupil rotation will not be present from the NXE:3300 scanners onwards by design. Tachyon NXE supports the imaging Illuminator pupil support Tachyon NXE supports all NXE:3100 illumination shapes via a pupil model dataset from Zeiss. Available illumination modes are displayed in Fig. 1. Generic EUV Mask Effects Tachyon NXE also addresses an effect in EUV lithography known as mask-3D shadowing that results from the nonperpendicular angle of incidence of the illumination. The finite thickness of the absorber material then causes a shadow the size of which depends both on the orientation of the mask feature and on its location on the mask relative to the slit. The left part of fig 3 shows a schematic of the effect and the right plot shows the biases that need to be corrected to

Accurate, compact & fast full field EUV correction & verification

13

In figure 4 we show the impact of intrafield flare for a 25 nm HP DRAM layout. The Tachyon NXE additionally models mechanical parts of the NXE that actually reduce the average flare level. The left picture shows the traditional flare map whereas the right picture shows the accurate Tachyon NXE effective flare map result. Note that differences between the plots are NOT constant throughout the field: in the vertical across-scan direction up to 0.9% and in the horizontal across-slit direction up to 1.1% differences are found between the maps. The accuracy of this model has been recently confirmed on wafer at IMEC (G. Lorusso et al., Oct. 2010, internal meeting and to be published). The early experiments at IMEC show that the flare signature through slit is much better described when accounting for longrange exit-aperture effects modeled in the Tachyon NXE: the difference between measured and simulated flare improves 2 to 3 times when using the Tachyon

affect imaging. Figure 5 shows a full-field flare map from Tachyon NXE for the case of a DRAM poly layer. At the edges of the image field, and in particular in the corners where three neighbor-fields are of influence, the mask-BB effects can be clearly identified to result in enhanced flare levels that will have an impact on CD. This picture also makes clear that to correct these long-range effects on CD well, a full-FIELD OPC correction run is required, in contrast to the age of immersion ArF for which full-CHIP OPC correction runs were sufficient with repetition of the results by placement of multiple identical postOPC chips on the mask. This inter-field effect has also been verified on the wafer. The left side of Fig.6 shows results on the alpha-demo-tool (ADT) at IMEC (E. van Setten et al, Photomask 2010 (BACUS) and (G. Lorusso et al., Oct. 2010, internal meeting and to be published)). This early ADT tool has a high level of flare (~14%) compared to the NXE:3100 (<5% measured) and is therefore very

Seamless integration with existing Tachyon applications (i.e. OPC+, LMC)


Fig. 1: Illumination shapes supported on the NXE:3100

NXE model. This will translate in an improvement of CDU accuracy across the field of about 1 to 1.5 nm. Inter-field flare: black on EUV masks
x

well suited to verify predictions made by flare models. Figure 6 shows that the line-CD of a feature close to the edge of the slit (in this case located at x=12.72 mm) is decreased when a neighbour-field is exposed. The CD decreases with the distance to the neighbour-field because of mask-BB EUV reflections and the REMA half-shadow effect: the sloped CD part from field-distances between 250 and 600 micron results from the half-shadow effect, the flat part between 0 and 250 micron is caused by mask-BB reflections. Results for features horizontally and vertically positioned in the slit are displayed. The right part of figure 6 shows the simulated result from the Tachyon model. Clearly the same trends are observed: a flat region up to ~250 micron, a sloped region up to ~600 micron, and ~1nm offset between H and V features. It must be mentioned that the results are not fully

y Field

is not really black Black-borders on an EUV mask consist of the MoSi multi-layer stack and an absorber stack: however, this total stack does reflect some EUV light due to thinfilm interference. The thickness of the absorber layer is a compromise between partial EUV reflectivity, for thin absorbers, and higher mask-3D (shadowing) effects for thick absorbers. So, the mask blackborder (BB) is not black for EUV but has a certain reflectivity such that unwanted light can go into the projection lens. The REMA blades should in principle prevent this from happening but, due to the finite distance between Rema blades and reticle, an EUV half-shadow effect occurs (penumbra), hence, EUV light from the mask-BB does

Pupil

Fig. 2: Rotation of the pupil through slit and schematic for NXE:3100 (only)

14

ASML Images, Winter Edition 2011 identical because the model was calibrated with different mask and resist processes. The mask used for this test contained a so-called thin absorber layer of 44nm, which has a relatively high reflectivity for EUV. The industry mask standard at the moment uses a thick absorber layer of 56 nm and with such a mask, the absolute CD differences will be approx. 1/3 of the values shown in Fig. 6. Nonetheless, it is important to correct these systematic effects at borders and especially corners prior to mask tape-out. The improvements that can be obtained in terms of CD distribution on the wafer while using a Tachyon NXE flare model in OPC instead of a standard flare model are shown by simulations in figure 7. The typical or default flare based OPC printing results on the left show a wide range of CD distributions at unacceptably small values; the Tachyon NXE OPC predicted results are nicely on target at 30nm with a very narrow distribution. Apart from detailed flare knowledge, Tachyon NXE has knowledge of other NXE-optics characteristics per machine such as apodization and aberrations. The NXE:3100 is specified for the 27-nm negligible effect on printing. However, some of ASMLs customers want to use the NXE:3100 to shrink their devices even further. As an example, the CD-differences between edge and center of the slit were studied for 24-nm dense L/S using dipole-X 75 illumination for these machine data. Machine data here means the effects of apodization, aberration and source-pupil maps. The left-hand bar in figure 8 shows the edge-center CD difference of ~1.1 nm without taking machine data into account. The subsequent bars show that the throughslit CD difference reduces upon including more and more machine information in the Tachyon NXE model, thereby allowing the user to improve on CD uniformity. File size and runtime High-volume manufacturing (HVM) demands fast turn-around-time for product introductions. Modeling and correcting masks should take place within acceptable runtimes and with manageable file sizes. This is a special point of attention 15
Fig. 5: Flare map that includes the effects of neighboring field exposures without separating distance between the dies. The main cause of this border-effect is the mask-black-border reflection. Fig. 6: CD reduction measured on the ADT system at IMEC as a function of the distance to neighbouring field (left) and the same configuration modeled by Tachyon NXE (right) for a thin-absorber mask.
CD [nm]
35 33 31 29 27 25 23 0 200 400 600 800 1000 35 33 31

CD Bias to compensate EUV shadow effect

shadow
bias (nm)

-0.5 -1 -1.5 -2

0
-2.5 -3 -3.5 -15 -10 -5 0 5 10 15

90 45 135

slit position (mm)

Fig 3: Mask shadowing requires a polygon-orientation and slit-position-dependent bias compensation.

CD drop due to Mask-BB reflection: Wafer data ADT

CD [nm]

29 27 25

H exp V exp

Fig. 4: Flare maps generated without (left) and with (right) NXE-specific machine characteristics. Differences are not constant through the field.
23 0 200 400 600 800 1000

Distance to a neighbor die [m]

CD drop due to Mask-BB reflection: Tachyon simulation

node and the NXE-optics then have a

H exp V exp

Distance to a neighbor die [m]

because with EUV a number of the above described effects are very long-range in
Millions Typical flare based OPC Millions
900 800 700 900 800 700

NXE flare aware OPC

nature and could potentially flatten the full layout after OPC. Tachyon NXE seamlessly
Number of measurements

600 500 400 300 200 100

Number of measurements

integrates with Tachyon OPC+ for which, despite the long-range EUV effects, dedicated EUV custom hierarchical layout operations are possible to achieve these manufacturing standards. Figure 9 shows example results on a full-field state-of-the-art memory mask. The mask is an in-house 30 nm DRAM design. The flare tolerance was set to 0.3% using a Tachyon 3.0 system with 30 leafs. The output format is the industry-standard OASIS. The graph shows the strong reductions in file size that are obtained with Tachyon hierarchical operations compared with flat operation, and likewise shows the achieved strong runtime reduction for a full-field. This is done for two cases: the case on the right handles a full-field OPC run for long-range flare and (shorter-range)

600 500 400 300 200 100 0

0 21 22 23 24 25 26 27 28 29 30 31

21 22 23 24 25 26 27 28 29 30 31

CD(nm)

CD(nm)

Fig. 7: Wafer CD simulations obtained after OPC with either a typical flare model (left) and a Tachyon NXE model (right).

Includes flare modeling, shadowing, and proximity effects

DCD (center-edge slit)


1.2 1 0.8 0.6 0.4 0.2 0
No Machine Data Correct 3100 Rot. Pupil +Correct Pupil Detail +Correct Aberrations +Correct Apodization (ALL)

full-field results when also the long-range effects of mask-BB reflection and maskshadowing are added. Both cases show excellent results for file size and runtime that are compliant with HVM needs. Outlook to the c-litho support for the NXE:3300 and other applications. With NXE:3100 being the tool that delivers EUV to our customers for their pilot and process-development purposes, the true workhorse of the industry will be the next family of tools NXE:3300B/C. Tachyon NXE is committed to supporting and integrating

CD Error (nm)

proximity; the case in the middle shows the

Case 24nm HP, dipole - X 75.

Fig. 8: EdgeCD minus CenterCD as a function of optics machine data for the NXE:3100; case dipole illumination and 24 nm L/S.

140 120 100

28.17 129

30

Run time Output file size


25 20 15

Run time (HR)

with the NXE:3300B/C and especially the full technical potential that its array of movable mirrors will provide. A multitude of illumination modes will be possible without loss of throughput. With that, applications such as source-mask optimization and scanner tuning for EUV appear on the roadmap.

80 60 40 20 0 2.53 2.31 10 5 1.03 0.26 0

Model based correction Proximity Flare Mask black border Shadowing

Flat

HScan

Output file size (GB)

HScan

Fig. 9: Full-field OPC results showing that very-long range EUV effects can be handled efficiently by Tachyon NXE. Strong improvements in file size and runtime are achieved.

16

ASML Images, Winter Edition 2011

New light on cooperation


By Bernardo Kastrup, Director Marketing for Eclipse, and Henk Niesing, Business Manager Eclipse
Abstract | The drive for high yields at small feature sizes is bringing new complexity to semiconductor manufacturing. ASMLs new-look Eclipse offering helps bring the simplicity back. It provides a systematic structure for in-depth cooperation between ASML and semiconductor manufacturers focused on delivering customer-specified on-product performance. Eclipse projects combine proactive expert support with early access to new products and the promise of customized solutions in one tailor-made package.

17

Holistic Lithography lets you maximize your process window and yield at the smallest feature sizes by using degrees of freedom from one process step to compensate for issues arising in others. To help you implement Holistic Lithography in your fab, we created our Eclipse packages. Tailored to your particular needs, they help you solve specific production or development issues. However, the challenges of achieving high yields at smaller feature sizes and more complex geometries are driving other changes in the industrys way of working. Those changes are summed up in one word cooperation. Semiconductor manufacturers and their suppliers are working together more closely and from an earlier stage. Reflecting those changes, weve improved our Eclipse offering to provide a systematic structure for that in-depth cooperation. A structure that helps you reduce your R&D cycle, accelerate ramp up and improve final yields.

Eclipse is based on three pillars: dedicated support from ASML experts, early access to new products and the availability of customized solutions. These pillars are combined into a flexible, tailor-made package designed to help you achieve the on-product specifications you want faster and more cost-efficiently. Dedicated support ASML has always offered its customers expert application support, but Eclipse takes that to the next level. The resources you need are formally allocated to you, so you can be sure that our experts will be available when you need them. And they play a pro-active role in supporting you. For example, as well as helping you address existing issues, they can analyze your current process and

create a budget breakdown. From that, they can extrapolate to new and better solutions, allowing you to anticipate future challenges. In addition, for each Eclipse engagement, we will put into place a suitable project management and issue escalation structure. From the start, we will sit down with you to agree on targets for the project, and we will commit ourselves to meeting them. Early access Developing a new process typically takes around two years. In parallel to your development, we are also developing new products and services to complement our scanners. So we may already have planned a solution that could be useful in your development project.

Achieve the on-product specifications you want faster and more cost-efficiently

From R&D to production faster To see how Eclipse could work for you, lets look at a typical challenge all semiconductor manufacturers face: bringing a new process to market fast to maximize your market opportunities. A key way to reduce your R&D cycle time is switch as much of your development as possible from experimental wafer lithography to computational lithography. The Tachyon suite from Brion gives you a solid foundation for doing that. Moreover, you can combine it with our FlexRay programmable illuminator, for faster and more flexible (free-form) source-mask optimization cycles. The first step is to analyze your planned Through Eclipse, our experts can help you extract the maximum performance in your specific case. This could be through production lithography choices while you are still in the development phase. From that, we create an overlay budget Going further, our experts could also help you qualify your matched machine overlay (MMO) earlier. This is particularly valuable when you are trying to match layers that are printed using different technologies EUV and immersion ArF, for example and can significantly speed up your transition to production. customized CD performance analyses or mask optimization recipes for Tachyon. They can also provide guidance on which parts of the Tachyon suite are best suited to your needs and how you can integrate them and FlexRay into your R&D workflow. Based on your overlay breakdown, our experts will work with yours to determine which of those options will help you most. Where necessary, they will tailor those options to suit you perhaps by customizing product interfaces to accelerate integration into your flow and provide you with early access to new functionality or products. breakdown to identify the key contributors to your MMO. We offer a wide range of overlay improvement options, including BaseLiner, Overlay Optimizer, and various TOP packages.

18

ASML Images, Winter Edition 2011 In an Eclipse project, in addition to advice on selecting existing products, you can also get early access to solutions that are still in development. That could mean, for example, providing you with alpha versions of new software or allowing you to try out exposure recipes on prototypes of new hardware at our facilities in Veldhoven. Either way, through earlier access to new products, you can keep your own development moving forward on track. Customized solutions With early access comes the opportunity to customize solutions to your needs. For example, we could tailor the user interface and output formats of new software to suit your way of working and existing fab systems. According to your requirements, we could also adapt existing products for you perhaps providing additional functionality or cut-down versions as needed. And we can also support you on feasibility and impact analysis studies to explore all your possible options. But our focus and commitment is always the same to help you achieve the onproduct performance you specify in an agreed timeline. Working together in an Eclipse project, we aim to formalize the co-operation and provide you with the tools you need to move through your development and ramp-up stages faster and reach a higher line yield. Tailor-made for you The scope of an Eclipse project is up to you. It could be as broad as We want to print layer X with Y specifications. Or it could be as specific as a list of roadblocks that youve already identified and would like help to overcome more quickly.

Move through your development and ramp-up stages faster and reach a higher line yield

Improving on-product performance Eclipse projects can be used to improve your on-product performance and system uptime, and hence your overall productivity. Such projects often involve using the scanner flexibility to compensate for off-scanner issues. An Eclipse project in the production phase would typically start with our people and your people carrying out a joint analysis of your situation to determine where you see bottlenecks and where improvements can be made. For example, if you wanted to improve your intra-field CDU performance, the analysis could potentially show that you need to address mask quality issues such as mask registration, global bias, so-called 3D effects and mask flatness. Step three could be to develop a partially customized metrology strategy that is tuned to your needs and circumstances. In this case, we might integrate our new FlexWave lens control option (see article page 20) and our LithoTuner in-fab computational lithography tools into a custom package that lets you compensate for all kinds of mask issues. To improve your on-product overlay, wed work with you to identify the root causes of your problems. These could well be off-scanner issues, with metrology and annealing steps common sources of overlay issues. Then, together, wed carry out a feasibility study to see which of our many overlay improvement products could help you address your issues. This could include a scatterometry mark design tailored to your layer stack and a custom sampling scheme that helps you balance performance and metrology time. Finally, we would work with your team to integrate all these elements into your flow with minimal disruption to production.

19

Wave hello to larger process windows


By Angelique Nachtwein, Product Manager FlexWave, and Hans Bakker, Product Manager PG Applications
Abstract | FlexWave is a new lens control option that takes flexible optics to the next level. It allows you to create almost any wave front you like in the projection lens of your TWINSCAN system. You can use that to compensate for aberrations and lens heating effects, or to optimize the wave front to suit a specific mask. The end result is better on-product overlay and focus control for larger, more robust process windows. The latest in this line of developments is called FlexWave. It takes lens control to a completely new level allowing you to create almost any wavefront you like in the projection optics of your TWINSCAN scanner. This in turn lets you optimize your on-product overlay and imaging performance. Approaching the perfect lens So how does that flexibility benefit you? We see three key target applications for FlexWave. The first is extended wavefront compensation. In this application, you use FlexWave to reduce the aberration fingerprint of your projections lens. The more flexibility and control your scanner offers, the larger your potential process window and the better the yields you can achieve. Thats why ASML is continually developing new features and options to increase the flexibility and control our lithography systems deliver. FlexWave features a new lens manipulator that fits into the projection optics column of your TWINSCAN scanner. This manipulator offers significantly more flexibility than previous solutions: FlexWave can correct wavefronts with a spatial resolution equivalent to the first 64 Zernikes. See Fig. 1

20

ASML Images, Winter Edition 2011

Reduce the aberration fingerprint of your projections lens

Because you have access to a wider range of Zernike polynomials, you can achieve a much greater level of compensation. This brings your system closer to the theoretical perfect lens. Particularly useful when printing the smallest features, extended wavefront
Fig. 1: Wavefronts for individual Zernikes

21

compensation helps minimize distortion differences between illumination modes and so improves your on-product overlay. See Fig. 2
Rms Z5-Z64 [nm] 1.0 0.8 0.6 0.4 0.2 0 Standard ALC-R ALC-XY FlexWave Average simulation result cold lens

Taking the heat A second application is dynamic throughlot wavefront control. With throughputs extending towards 200 wafers per hour and the increasing use of extreme illumination modes that concentrate much of the laser light into small areas, todays manufacturing increases the risks of localized lens heating. Dynamic through-lot wavefront control with FlexWave gives you much greater flexibility to compensate for the effects of lens heating. You can program different exposure. Again, because you have access to all Zernike polynomials up to Z64, you have much greater potential for aberration control. Moreover, unlike with other lens control options, you can control both rotated and XY aberrations at the same time. As well as offering better lens heating control, this reduces the overhead when switching lots as you dont need to make any hardware changes to account for the different lens heating conditions of different recipes. See Fig. 3 Tailor-made wavefronts Both extended wavefront compensation and dynamic through-lot wavefront control use FlexWave to compensate for lens aberrations. The third application, known as application-specific wavefront targets, uses FlexWave to address maskrelated issue in particular so-called mask 3D effects. In semiconductor manufacturing today, it is quite common to print features that are smaller than the wavelength of the light used to expose the pattern. Under these conditions, the standard two-dimensional model of diffraction at the mask breaks down due to interactions between the light beam and the three-dimensional mask stack. This causes the so-called 3D
Fig. 3 Fig. 2

Spherical

Coma

Astigm atism

3-foil

Odd

Even

Total

5.00 4.00
RMS-value [nm]

Total RMS
Standard ALC-R ALC-XY FlexWave

FlexWave settings for each wafer or

3.00 2.00 1.00 0.00


30 mJ/cm2 , 30% transmission

Dipole 35X, 1.35 NA, XY

38 mJ/cm 2, 20% transmission

Dipole 35X, 1.2 NA, XY

30 mJ/cm2 , 30% transmission

Dipole 35Y, 1.35 NA, XY

26.2 mJ/cm2 , 20% transmission

Dipole 35X, 1.2 NA, R

- 230 wafers per hour - Simulation based

effects, which show up as Bossung tilts, best focus offsets between features and reduced process window. If you know what these effects are for a particular layer, you can use FlexWave to compensate for them in the scanner by creating a tailored wavefront with an appropriate aberration profile. In this way, you can introduce pitch- and / or orientation-dependent best focus offsets that counteract those arising from 3D effects. In a typical application, this can lead to depth of focus (DoF) improvements of around 15%. See Fig. 4 / 5 The power of computation The wavefronts needed to compensate for 3D effects can be very complicated. Moreover, a wavefront target will impact the printing of all features on the mask,

not just those that are affected by 3D effects. Consequently, determining the optimal wavefront target for a given layer design is an extremely challenging task. Optimizing the wavefront manually would require an iterative procedure that could take several weeks. To speed up the process, ASML and its subsidiary Brion Technologies are developing new computational lithography tools that handle the hard work for you. These tools are based on highly accurate and detailed models of ASML scanners. Through those models and powerful simulations, the tools will optimize the wavefront target for a chosen set of features. That could potentially speed up the turnaround time for optimizing the wavefront significantly. It would also allow you to carry out many more iterations so

22

ASML Images, Winter Edition 2011

15 10 5 Best Focus (nm) 0 -5


anchor pattern

Measured without wavefront target

FlexWave

Measured with wavefront target

13nm

-10 -15 -20


Measured focus offsets containing core and periphery features (NAND case)
45 P90H 45 P112.5H 45 45 45 90 P135H P270H +AF P315H +AF P207V 90 P270V SG WL1 WL2 WL7

31nm

ASML test reticle pitches used for optimization

Fig. 4

standard

Exposure Latitude versus Depth of Focus 8 6 EL vs DoF 4 2 EL [%] 0 0 DoF [nm] 20 40 60 80 100

Reduce the aberration fingerprint of your projections lens

without wavefront target with wavefront target

you can explore the possibilities much


Fig. 5

more fully and be sure of finding the optimal solution. See Fig. 6 In addition, the computational lithography tools being developed could help with the
22nm, SRAM, contact
mf18 mf21

verification of printed devices. For example,


-20 -15 -10 -5 0 5 10 15 20

they could be capable of identifying which features it would be best to measure with CD-SEM or even recommending suitable YieldStar markers that will allow you to monitor the wave fronts stability over time. Opening the window

22nm, SRAM, contact


mf18 mf21

Correcting Bossung tilt

-20 -15 -10 -5 0 5 10 15 20

Wavefront Target

Flattening CD to focus/dose responses

FlexWave will be available in the first half of 2011. Together with our FlexRay programmable illuminator and the computation lithography tools in development, it will provide the maximum flexibility in setting up the optical column of your TWINSCAN scanner. That flexibility helps you maintain process windows large enough for profitable manufacturing at smaller feature sizes, keeping your shrink roadmap on track.

Correcting Bossung tilt

Wavefront Target

Flattening CD to focus/dose responses

Fig. 6 optimized bossung curves resulting in an improved process window

23

Stellar metrology accuracy boosts on-product overlay


By Kaustuve Bhattacharyya, Senior Product Manager for Metrology, and Arie den Boef, Research Fellow
Abstract | YieldStar, ASMLs unique 3-in-1 post-patterning metrology solution, delivers excellent overlay measurement accuracy to match its precision and speed. Thanks to its Process Asymmetry Indicator, it helps you monitor several key aspects of your process. So you can be sure measurements are credible and as insensitive as possible to process changes. That extra robustness, plus the higher sampling densities that YieldStar enables, can help you make significant on-product overlay improvements. YieldStar is a unique 3-in-1 metrology tool that can measure CD uniformity, overlay and focus in a single wafer pass. As weve explained in previous issues of Images, YieldStar is capable of making thousands of measurements per hour with proven precision down to 0.25 nm. But did you know that YieldStar also offers unrivalled overlay measurement accuracy to support the most stringent on-product overlay requirements? That accuracy is due mainly to two features that are unique to YieldStar. Firstly, it is the only scatterometry-based metrology solution to make use of higher 24 The PAI is possible because, unlike other metrology options, YieldStar uses a number of different light wavelengths and Guaranteed credibility However, no matter how well designed the target is, process drifts can become large enough to introduce asymmetry in the target. In extreme cases, measurement accuracy may therefore be compromised. To avoid this situation, YieldStar features a unique Process Asymmetry Indicator (PAI). Speed, precision and accuracy form a golden triangle for postpatterning metrology. Speed reduces cost of ownership, precision ensures measurements are reproducible and accuracy means you really know what is being printed. In YieldStar, youll find a metrology solution that shines on all three fronts. diffraction orders. This means it has access to more information to deliver more accurate results. Secondly, the robust design of the YieldStar scatterometry target ensures low sensitivity to aberrations, closely mimicking the behavior of product structures.

Unrivalled overlay measurement accuracy

ASML Images, Winter Edition 2011

Implement higher-order process control


polarization states for each measurement. If the results from all these light states are consistent, then you can be confident that the target has been printed properly and the metrology results are accurate. See Fig. 1 By contrast, a distorted target will scatter the various light states differently, leading to a spread in the individual results. But even in this case, YieldStar can still deliver accurate overlay results thanks to some smart software that determines the best wavelength / polarization combination to use for that measurement.
Process Asymmetry Indicator (PAI)

YieldStar is available as a standalone metrology system and as an integrated module. This latter version is ideal for incorporation into your litho cluster. Besides potentially saving you several hours per layer in metrology cycle time, it allows you to implement denser overlay sampling and higher-order process control further reducing process variation and hence on-product overlay. See Fig 2.

PAI as process monitor

More robust processes If there is a spread in the results for individual wavelength / polarization combinations, the PAI flag is triggered. This gives you an early indication that something has drifted within your process and allows you to monitor how that drift is trending. In fact, it is the only tool in the fab that has the sensitivity to detect asymmetry changes down to the subnanometer scale. Whats more, you can take measurements on every die on a wafer if you choose, so you can get a very good indication of the wafer-wide fingerprint of your process issue. And because issues in different process steps tend to have very different fingerprints, YieldStar can help you track down the root cause of process drift faster, helping you improve your process robustness. Improving on-product overlay With its combination of speed, precision and accuracy, YieldStar can actually help you improve your on-product overlay performance. In a typical process, around 50% of the on-product overlay budget comes from process variation. YieldStars PAI and trending data directly help you identify and reduce that variation.
Fig. 2 Fig. 1

PAI exceeded limit: indicates process issues on these lots

Pre-set limit

Production lots through time

Integrated metrology and cycle time saving


tin tstocker
Stocker

tout tCD

tin

tstocker
Stocker

tout tOV
Overlay measure

tqueue
Coat Expose Develop

tqueue

Litho Cluster

CD measure

Stand alone metrology configuration

tin

Cycle time saving (several hrs / layer)


Potential gains Total cycle time reduction Reduction of APC reaction time Dense sampling for accurate OV, Dose and Focus control Lower WIP

IM Coat Expose Develop

Litho Cluster Integrated metrology

25

PAS 5500 steppers bring to the LED market


By Rutger Voets, Product Manager
Abstract | The LED market is enjoying a period of growth that will be amplified by growing green efforts. LED manufacturers will need reduction lithography, such as ASMLs PAS 5500 steppers to replace current 1x printing to meet technology requirements and maximize value of ownership. By exploiting the optical phenomenon of electroluminescence, the first LEDs (Light Emitting Diodes) were made nearly a century ago. Beginning about 40 years ago LED research and development, from companies such as Monsanto and Fairchild Semiconductor, enabled mass produced LEDs for application in watches, calculators and other alphanumeric indicators. Today LEDs have replaced more traditional lighting technologies in myriad applications. Newer HB-LEDs (High Brightness) may see broader adoption into more mainstream applications currently dominated by incandescent and fluorescent lighting, triggering a period of high-growth for the LED market. However, before that happens, newer more sophisticated LEDs need to be developed. One possibility is the incorporation of photonic crystals which increases both the internal quantum 26 A new light, a new life To meet these requirements LED manufacturers are turning to reduction lithography steppers. ASML has entered the LED manufacturing equipment market with its proven PAS 5500 systems. These factory refurbished systems were once Currently, nearly all LEDs are manufactured using contact printers or other 1x technologies which image the entire wafer at once. This type of pattern transfer is limited and faces several challenges in meeting emerging LED manufacturing requirements including smaller design features, tighter CD control and die-by-die leveling. efficiency and the amount of light extracted. This type of device will require more complex manufacturing technologies and increased yields to drive more competitive pricing.

ASML Images, Winter Edition 2011

new benefits
employed in advanced semiconductor manufacturing and now are finding a second life after being refurbished, retrofitted and resold into the LED and other markets. This dedicated wafer handling package provides manufactures the opportunity to use ASML PAS 5500 systems for the production of LEDs. Also, the ability to level the wafer die-by-die is becoming increasingly important to mitigate localized nonflatness resulting in better CD control, allowing higher yield. LED resolution, while not at the same scale as advanced semiconductors, is nonetheless shrinking in its own right. Within two to three years, photonic crystal LEDs are expected to come to market and will require a substantial shrink from ASMLs PAS 5500 systems are renowned for their modularity and productivity. Once the leading edge in semiconductor lithography, these systems are now finding second lives on the pre-owned market for which ASML offers a complete factory refurbishment. In the case of the LED market ASML leveraged the modular nature of the PAS platform to incorporate an LED-specific wafer handling solution to address the issue of bowed wafers. ASML PAS 5500 systems provide higher productivity and enable tighter design requirements compared to current imaging solutions. As LED manufacturing moves into higher volume production, the PAS 5500 platforms productivity lowers manufacturing cost while being comparably priced in terms of capital outlay. At 150-170 wafers per hour, ASML PAS systems are 2.5 to 3 times as productive as typical 1x tools. todays relatively large geometries. These photonic crystal LEDs may see critical dimensions of 0.18 um which will require deep-ultraviolet (DUV) lithography only available in reduction litho steppers and scanners. LED manufacturers who adopt reduction lithography now for benefits such as die-by-die leveling will further increase their return on investment once the industry moves to DUV, as they will make the transition faster and more smoothly. 27

Reduction lithography systems enable high productivity and device shrink

www.asml.com
Corporate Headquarters De Run 6501 5504 DR Veldhoven The Netherlands Phone +31 40 268 30 00

U.S. Main Office 8555 South River Parkway Tempe, AZ 85284 USA Phone +1 480 383 4422

Asia Main Office Suite 1702-3 17th Floor 100 Queens Road Central Hong Kong, SAR tel: +852 2295 1168

You might also like