Download as pdf or txt
Download as pdf or txt
You are on page 1of 21

943/U0280

Physical Design for Nanometer ICs


Yao-Wen Chang ywchang@cc.ee.ntu.edu.tw http://cc.ee.ntu.edu.tw/~ywchang Graduate Institute of Electronics Engineering Department of Electrical Engineering National Taiwan University Spring 2012

Administrative Matters
Time/Location: Tuesdays 2:20pm--5:30pm; BL-114 Instructor: Yao-Wen Chang E-mail: ywchang@cc.ee.ntu.edu.tw URL: http://cc ee ntu edu tw/~ywchang http://cc.ee.ntu.edu.tw/ ywchang Office: BL-401. (Tel) 3366-3412/3366-3556; (Fax) 2364-1972 Office Hours: Thursdays 5:306:30pm; other times by appointment Teaching Assistant: Shao-Yun Fang (yuko703@eda.ee.ntu.edu.tw) Prerequisites: data structures, algorithms & logic design Required Text: Either of the following two books:

Wang, Chang, Wang Chang and Cheng (Ed ) Electronic Design Automation: (Ed.), Synthesis, Verification, and Test, Morgan Kaufmann, 2009 Sait and Youssef, VLSI Physical Design Automation: Theory and Practice, World Scientific Publishing Co., 1999

References: Selected reading materials from recent publications


Unit 1
Y.-W. Chang

Teaching Assistant

Shao-Yun Fang
( ( GIEE ) ) yuko703@eda.ee.ntu.edu.tw Office: BL-406 Tel: 23635251 # 6406 Office hours: 12:30-1:30 pm Mondays 3rd -year Ph.D. student

Unit 1

Y.-W. Chang

Course Objectives

Study techniques/algorithms for physical design

(converting a circuit description into a geometric description) and their comparisons Study nanometer process/electrical effects and their impacts on the development of physical design tools Study problem-solving (-finding) techniques!!! solution S1 S2 S3 S4 S5 P1 P2 P3 P4
Unit 1
Y.-W. Chang

P5 P6

problem
4

Course Contents
VLSI design flow/styles and technology roadmap Physical design processes

Partitioning Floorplanning Placement Routing (global, detailed, clock, and power/ground routing) Post-layout optimization

Signal/power integrity: crosstalk, IR drop Timing issues: timing modeling & optimization,
performance-driven design

Design methodology: large-scale design, interconnectcentric design flow buffer/wiring planning. flow, planning

Design for manufacturability & reliability: process

variation, antenna effect, redundant via, optical proximity correction (OPC), chemical mechanical polishing (CMP), multiple pattering, e-beam, EUV, electromigration, thermal issues, etc.
Y.-W. Chang

Unit 1

Grading Policy

Grading:

Homework assignments + quizzes: 25% Programming assignments + lab: 25% One in class open book open note exam: 30% (June 19) in-class open-book, open-note Final project + presentation + demo: 20% (due June 26) A 1-page project proposal is due in-class on May 22 Could be research work, implementation, and/or literature survey Teamwork is permitted (1--3 persons; preferably 2 persons) Bonus for class participation p p

Homework: 20% per day penalty for late submission WWW: http://cc.ee.ntu.edu.tw/~ywchang/Courses/PD/pd.html Academic Honesty: Avoiding cheating at all cost
Unit 1
Y.-W. Chang

Unit 1: Introduction

Course contents:

Introduction to VLSI design flow/styles Introduction to physical design automation Semiconductor technology roadmap W&C&C: Chapter 1 S&Y: Chapter 1

Readings

Unit 1

Y.-W. Chang

IC Design & Manufacturing Process

Unit 1

Y.-W. Chang

From Wafer to Chip

8-inch vs. 1-inch ignot

Apple A4 die with dual ARM cores

8-inch wafer

Wafer dicing

Wire bonding

Unit 1

chips
Y.-W. Chang

IC Design Considerations

Several conflicting considerations:



Unit 1

Complexity: large number of devices/transistors Power: low-power consumption Performance: hi h P f high-speed requirements d i t Cost: die area, packaging, testing, etc. Time-to-market: about a 15% gain for early birds Others: reliability, manufacturability, testability, etc.
Y.-W. Chang

10

Moores Law: Driving Technology Advances


Logic capacity doubles per IC at a regular interval (say, 18 months).

G. Moore: Logic capacity doubles per IC every two years (1975). D. House: Computer performance doubles every 18 months (1975)
4Gb
Itanium 2

Intel uP

4004

8086

80386

PentiumPro

Pentium 4

Itanium 2

Unit 1

Y.-W. Chang

11

Design Productivity Crisis


10,000M 1,000M 100M 10M 1M 0.1M 0.01M 1980 1985 1990 1995 100,000K 10,000K

Human factors may limit design more than technology. y g gy Keys to solve the productivity crisis: CAD (tool &
methodology), hierarchical design, abstraction, IP reuse, platform-based design, etc.
12

Unit 1

Logic transis stors per chip

Productivity in transistors y per sta aff-month

58%/yr compound p y growth rate complexity g

Complexity limiter

1,000K 100K 10K

21%/yr compound 1K productivity growth rate


2000 2005 2010

0.1K

Y.-W. Chang

Old (1997) Technology Roadmap for Semiconductors

Source: International Technology Roadmap for Semiconductors (easier to


see the past & trend with the older version; for more recent update, see http://www.itrs.net/). Deep submicron technology: node (feature size) < 0.25 m. Nanometer Technology: node < 100 nm. 28 nm technology was in production in 2011.

Unit 1

Y.-W. Chang

13

Nanometer Design Challenges

Intel Core i7-2600: feature size 32 nm, P frequency

3.4 GHz, die size 81 mm2, P transistor count per chip 382M, wiring level 10+ layers, supply voltage 1.3 V, power consumption 95 W (full load)

Feature size : sub-wavelength lithography (impacts of process variation)? reliability? noise? wire coupling? Frequency , dimension : interconnect delay? electromagnetic field effects? timing closure? Chip complexity : large-scale system design et odo ogy methodology? Supply voltage : signal integrity (noise, IR drop, etc)? Wiring level : manufacturability? yield? 3D layout? Power consumption/density : power & thermal issues?
14

Unit 1

Y.-W. Chang

Design Complexity Increases Dramatically!!


Mixed-size Placement

Routing & interconnect

Unit 1

Y.-W. Chang

15

Power/Thermal Is Another Big Problem!!

Power density increases exponentially!


1000

Power doubles every 4 years 5-year projection: 200W total, 125 W/cm2 !
Nuclear Reactor
Pentium 4

Rocket Nozzle

Watts/cm 2

100

Hot plate
10

Pentium III Pentium II

i386 i486
1
1.5 1 0.7

Pentium Pro Pentium

P=VI: 75W @ 1.5V = 50 A!


0.18 0.13 0.1 0.07

0.5

0.35

0.25

Fred Pollack, New Microarchitecture Challenges in the Coming Generations of CMOS Process Technologies, 1999 Micro32 Conference keynote. Courtesy Avi Mendelson, Intel.
Unit 1
Y.-W. Chang

16

Interconnect Dominates Circuit Performance!!


70 60 50

Worst-case interconnect delay due to crosstalk

Delay (ps)

40 30 20 10

Interconnect delay

Gate delay
650 500 350 250 180 150 100 70 (nm)

Technology Node

Source: Synopsys

In 0.18m wire-to-wire capacitance dominates (CW >>CS)


Unit 1
Y.-W. Chang

CS

CW
17

Lithography System

Immersion

R: resolution; k1: resolution constant; : wavelength NA: numerical aperture = f(lens, refraction index)
Unit 1
Y.-W. Chang

R = k1/NA

18

Sub-wavelength Lithography Causes Problems!!


Printed feature size is smaller than the wavelength of the light
shining through the mask

ArF (Argon Floride)

157nm is not feasible!

Numerical T h l i N i l Technologies

227nm @ 0.85NA 136nm


Unit 1

114nm
Y.-W. Chang

91nm

68nm 19

Reliability Becomes a 1st-Order Effect!!


Manufacturability and reliability with 10-layer metal?
m5
m4

+ ++ + +++

m3
m2 m1

sgd

Si substrate

sgd

Unit 1

Y.-W. Chang

20

10

Traditional VLSI Design Cycles


1. 2. 3. 4. 4 5. 6. 7.
System specification Functional design Logic synthesis Circuit design Ci it d i Physical design Fabrication Packaging Other tasks involved: verification, simulation, testing, etc. Design metrics: area, speed, power dissipation, manufacturability, reliability, testability, design time, etc. Design revolution: interconnect (not gate) delay dominates circuit performance in deep submicron era.

Interconnects are determined in physical design. Shall consider interconnections in early design stages.
Y.-W. Chang

Unit 1

21

Traditional VLSI Design Cycle

& verification

& verification

& simulation

Unit 1

Y.-W. Chang

22

11

Traditional VLSI Design Flow (Cont'd)

design

Unit 1

Y.-W. Chang

23

Physical Design (PD)


physical design

fabrication

PD converts a circuit description into a geometric description. The description is used to manufacture a chip. Physical design cycle:
1. Partitioning 2. Floorplanning 3. Placement 4. Routing (clock, power/ground, signal nets) 5. Post-layout optimization (buffering, sizing, etc.) Others: circuit extraction, timing verification and design rule checking
Y.-W. Chang

Unit 1

24

12

Physical Design Flow

B*-tree based floorplanning system

A routing system
Unit 1
Y.-W. Chang

25

Floorplan Examples
Pentium 4

PowerPC 604

Intel Pentium 4

A floorplan with interconnections

Unit 1

Y.-W. Chang

26

13

Placement Examples
ISPD98 ibm01

842K movable cells 646 fixed macros 868K nets


Unit 1
Y.-W. Chang

12,752 cells, 247 macros Amax/Amin = 8416


27

Routing Example
0.18um technology, two layers, pitch = 1 um, 8109 nets.

Unit 1

Y.-W. Chang

28

14

Design Styles

Power

Others

Structure ASIC

FPGA SPLD

Unit 1

Y.-W. Chang

29

SSI/SPLD Design Style

Unit 1

Y.-W. Chang

30

15

Full-Custom Design Style

Designers can control the shape of all mask patterns.


transistors.

Designers can specify the design up to the level of individual

Unit 1

Y.-W. Chang

31

Terminology

Cell: a logic block used to build larger circuits. Pin: a wire (metal or polysilicon) to which another
external wire can be connected. N Nets: a collection of pins which must b electrically ll i f i hi h be l i ll connected. Netlist: a list of all nets in a circuit.
nets

pin

cells

Unit 1

Y.-W. Chang

32

16

Standard-Cell Design Style

Selects pre-designed p g
cells (typically, of the same height) to implement logic

Over-the-cell routing is pervasive in modern designs

Unit 1

Y.-W. Chang

33

Standard Cell Example

Courtesy of Newton/Pister, UC-Berkeley

Unit 1

Y.-W. Chang

34

17

Gate Array Design Style

Prefabricates a transistor array Needs wiring customization to implement logic

Unit 1

Y.-W. Chang

35

Structured ASIC
A structured ASIC consists of predefined metal and via layers, as
well as a few of them for customization.

The predefined layers support power distribution and local


communications among the building blocks of the device. Advantages: fewer masks (lower cost); easier physical extraction and analysis. Popular for engineering change orders (ECOs)

A structured ASIC (M5 & M6 can be customized)


Unit 1
Y.-W. Chang

Faradays 3MPCA structured ASIC (M4--M6 can be customized)


36

18

FPGA Design Style

Logic and
interconnects are both prefabricated.

Illustrated by a
symmetric arraybased fieldprogrammable bl gate array (FPGA)

Unit 1

Y.-W. Chang

37

Array-Based FPGA Examples


Lucent Technologies 15K ORCA FPGA, 1995 0.5 um 3LM CMOS 2 45 M Transistors 2.45 1600 Flip-flops 25K bit user RAM 320 I/Os

Fujitsus Dynamically Programmable Gate Array (DPGA), 2002

Unit 1

Y.-W. Chang

38

19

FPGA Design Process

Illustrated by a symmetric array-based FPGA No fabrication is needed

Unit 1

Y.-W. Chang

39

Comparisons of Design Styles


Full custom Cell size Cell type Cell placement Interconnection variable variable variable variable Standard Cell fixed height variable in row variable Full custom Fabrication time Packing density Unit cost (large quantity) Unit cost (small quantity) Easy design & simulation Easy design change Timing simulation accuracy Chip speed
Unit 1

Gate array fixed fixed fixed variable Standard Cell

Structure ASIC fixed fixed fixed variable/fixed Gate array

FPGA fixed programmable fixed programmable FPGA

Structure ASIC

--+++ +++

-++ ++

+ + +

++ -

+++ -----

-------+++

---++
Y.-W. Chang

+ + + + -

+++ ++ +++ ++ --40

20

Design Style Trade-offs


10
4

full custom semicustom

10 Turnaround Time (Days) 2 10

10 SSI 1 1 10

SPLD

CPLD

FPGA

optimal solution 10
2

10

10

10

10

10

10

Logic capacity (Gates)

Unit 1

Y.-W. Chang

41

21

You might also like