EETimes July-August 2012

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 56

european business press

www.electronics-eetimes.com

July/August 2012

Defining the building blocks of tomorrows photonics

Special focus: Special focus: Medical Electronics Programmable Logic

ON ORDERS OVER 65! DIGIKEY.COM/EUROPE

SHIPPING

FREE

CONTENTS
oPinion
4 Uncommon Market: Four reasons why its game over for foreign chip firms in China Last Word: New EU Data Directive will drive turning point for security

July/auguST 2012

32

50

Designing a MEMS driver ASIC for a novel contact lens sensor Medical applications often have particular needs for ultralow power consumption, high integration, small size and novel powering techniques. Choosing the right EMS partner for medical applications Q&A with Kontron: The trends in the medical embedded market - PROGRAMMABLE LOGIC

neWs & tecHnoLoGY


5 Nanosys teams with 3M film to yield less-costly LCDs Cubic silicon carbide could be twice as good as silicon for solar cells 6 8 10 A peek at innovation from Minalogic Displayport and HDMI in battle for mobile link dominance On the photonics roadmap: chip-to-chip and intra-chip interconnects The CEA-LETI annual review that took place late June was an opportunity to catch up with the state-of-the-art in photonics. In the crystal ball: fast networks for smart manufacturing lines

34

35

38

Developing a 200Gbit/s line card with 22nm FPGAs Two key developments are shaking up the FPGA world: the advent of 22nm programmable devices and the availability of devices strongly targeted towards specific markets through the use of hardened IP. Expanding system control with PLDs and low overhead serial buses OpenCL emerges for FPGA high level design In the debate about high-level design, a new standard is emerging for FPGA designers to use alongside VHDL, Verilog and System C to bridge the gap. ReadeR offeR

40 42

14

desiGn & PRoducts


SPECIAL FOCUS: - POWER SUPPLIES 16 20 No magnetics required - charge pumps can handle the Volts! Comparing uninterruptible power supply topologies - MEDICAL ELECTRONICS 28 Wafer-scale CMOS X-ray imaging for medical applications There is an increasing interest in the use of solid-state based X-ray medical imaging and detection systems in the replacement of conventional diagnostic imaging techniques. One of these technologies is wafer-scale CMOSsensor based imaging. Ultra-low power radio drives continuous medical monitoring with low-cost batteries

46

This month, Elliptec is offering EETimes Europes readers the chance to win an advanced evaluation kit worth 999 Euros for its high precision rotatory and linear positioning devices.

49 distRibution coRneR WHite PaPeRs 51 A leading reference resource for electronics engineers, EE Times Europes White Paper library includes over 600 white papers, application notes, technical articles, books and case studies, all downloadable free of charge

30

3 Electronic Engineering Times Europe July/August 2012

www.electronics-eetimes.com

UNCOMMON MARKET

Four reasons why its game over for foreign chip firms in China
By Junko Yoshida
be ready when market demand spikes. speed is the key. You Multinational seMiconductor coMpanies are no need to be able to live with the ups and downs on the chinese longer able to compete with chinas fabless chips vendors in market, he said. the consumer electronics ic business, according to Vincent tai, ceo of rda Microelectronics inc. its game over for them, tai asserted in a recent interview with ee times here. Ground truth rda Microelectronics, founded here in 2004 and listed on rule #3: chip makers must survive on lower gross margins. the nasdaq exchange since november 2010, is a leading chiMany local chip companies can live with a 35 percent gross nese fabless ic vendor supplying rF and mixed-signal chips for margin in order to achieve a 20 percent operating margin, said cellular and broadcast communications used by china handset tai. But for most multinational chip companies to achieve the manufacturers. same 20 percent operating margin, they need a 50 to 55 perrda is a major supplier to the chinese mobile handset cent gross margin. thats no match with the locals. market. tai, quoting iHs isuppli estimates, claimed rda already has rule #4: system vendors in the leading market share in power china are less technical. Hence, amplifiers, Bluetooth, FM tuners and they require more hand-holding. dVB-s tuners for the domestic white the success of taiwans Medilabel market. atek here can be attributed to the still, rda has a long way to go to turnkey solutions it offers Chinese compete with the likes of Broadcom system companies. in the global semiconductor market. still, according to tai, being a leader tai said multinational companies in the chinese market is a good place retain a model that requires 100 to be. engineers to develop a new system rdas enviable position foreshadevery six months. We are seeing ows a growing trend here for comchinese system guys pump out a panies like rda to dominate global new product every three months electronics markets, tai noted. as with just five to 10 people. Tai said, evidence, he cited the fact that multhats very disruptive. tinationals such as analog devices and texas instruments backed out Foreign companies are not only of chinas baseband chip business. slow to upgrade their products While technically not chinese combut also are slow to respond to panies, Mediatek and Mstar, two taicustomer complaints. i can send wanese giants, grabbed that market someone to my customers site by leveraging their chinese ties. right away and do quick diagnosindeed, tai boldly predicts that the tics, he said. a multinationals days for multinational chip compacore r&d team is still in the united nies are numbered, especially in the states, and it takes more than a Chip makers must survive on lower gross few e-mails back and forth to solve chinese mobile handset and set-top box markets. its because the supply problems. margins to compete in China chain in china cant allow you to have a 50 percent gross margin, he explained. Many in the West focus on the cost advantages of chinese When the entire ecosystem of foundries, design houses companies. instead, they should be focusing on the agility of along with packaging and system oeMs resides here, You chinese chip vendors and system companies in their domesneed to be a local to play the game, said tai. tic market. as tai noted, i am local. i have a core r&d team the rda chief described four rules for surviving in the chihere, and I have field application engineers here. I have a huge nese market: advantage over multinationals. rule #1: the cycle time for chinese handset manufacturrda increased its annual revenue in 2011 by 51.1 percent ers is extremely short. While it takes six months (or a year in the to a record $288.9 million, compared to $191.2 million in the case of nokia) to design a new mobile handset outside china, previous year. the companys gross margin was 34.5 percent chinese cellphone makers are spinning out new models every compared to 29.8 percent in 2010. In the first quarter in 2012, three months. rdas revenues totaled $72 million, with a gross margin of at rule #2: chinese handset vendors provide chip suppliers will 35.9 percent and a 20 percent operating margin. the company little information about market demand. therefore, chip supplihas $143 million in cash and no debt. it currently employs 320 ers need to be in touch with the market, said tai, so they can workers. 4 Electronic Engineering Times Europe July/August 2012 www.electronics-eetimes.com

MATERIAL SCIENCES

Nanosys teams with 3M film to yield less-costly LCDs


By Nicolas Mokhoff
Nanosys and the Optical Systems Division of 3M are collaborating to commercialize Nanosys Quantum Dot Enhancement Film color LCD technology, which could result in delivering OLED performance to LCDs, at a fraction of the cost and with better energy efficiency. 3M and Nanosys will work together to commercialize Nanosys QDEF technology, a drop-in film that LCD manufacturers can integrate with existing production processes. It utilizes the light emitting properties of quantum dots to create a backlight for LCDs. Combining the world class-technology and materials expertise of Nanosys with the engineering, design and supply chain capabilities of 3M will unlock a powerful new color viewing experience for consumers, said Jim Bauman, Vice President of the Optical Systems Division at 3M, here at the Society for Information Display venue. Current LCDs are limited to displaying 35 percent or less of the visible color spectrum. We are working together to improve an area of display performance that has been largely neglected for the last decade, said Jason Hartlove, President and CEO of Nanosys. Working together with 3M and utilizing their outstanding design and supply chain capabilities will allow our QDEF technology to be widely deployed across all product segments and will ensure availability to all customers. A quantum dot emits light at a very precise wavelength. The ability to control the spectral output of a quantum dot allows QDEF to create an ideal white backlight specifically designed for LCDs. Trillions of these quantum dots are packaged into a thin film that fits inside an LCD backlight unit. QDEF replaces a similar film already found inside LCD backlights, which means that adding QDEF to manufacturing processes requires no new equipment or process changes for the LCD manufacturer. The Society of Information Display awarded Nanosys with the 2012 Display Industry Awards Gold Display Component of the Year for its QDEF.

Cubic silicon carbide could be twice as good as silicon for solar cells
By Julien Happich
growth rate, at 1mm/hour. The group applies hexagonal silicon According to Swedish researchers at the Linkping carbide as substrate, and the material is transformed to the University, cubic silicon carbide may be capable of collecting cubic structure during initial stage of growth. The advantage of more than twice the solar energy than silicon based solar cells. using hexagonal substrate is the perfect matching. Structural The cubic silicon carbide is considered a perfect material for measurements have showed a similar quality impurity (intermediate bandgap) solar cell. For like in hexagonal commercial material. The key boron doped cubic SiC, the dopant band of B in parameter in showing off the quality is the carrier the bandgap of 3CSiC leads to an efficient use lifetime. Previously this had a lifetime of about of sun light so that an efficiency up to 4860% 0.1 s, while the new record value is 8.2s in as could be achieved depending on theoretical grown material, an increase of almost two orders models. But so far, cubic silicon carbide has of magnitude. In comparison, this is even slightly shown to be the black sheep of the silicon better than that in hexagonal silicon carbide. carbide family, explains Mikael Syvjrvi, associTodays silicon solar cells have an efficiency ate professor at the Linkping University. While of 20%. In order to increase the efficiency of sohexagonal silicon carbide types have been commercialized for many years, cubic silicon carbide Cubic silicon carbide grown on lar cells, multi-junction (thin film) solar cells with different bandgaps is one of the most promising has faced too many challenges, such as being hexagonal silicon carbide. approaches. The best efficiency of such solar metastable, meaning that it does not really want cells demonstrated on the research scale is 43.5%. However, to form. One has to decrease the growth temperature to make the challenges in fabrication of multi-junction solar cells lie in it form, but at the same time the growth rate is decreased. The the growth of multistacked material and balance of junction common approach is to use silicon as substrate, but the lattice currents. Cubic silicon carbide in a single material which is and thermal mismatch causes defects and stress. doped during growth, having a high growth rate such as 1 mm/ The Swedish researchers have applied a bulk growth aphour, could pave the way for more efficient solar cell concepts. proach like used in production of hexagonal silicon carbide. These results on the growth of cubic silicon carbide were pubAccording to Syvjrvi, the trick is to lower the growth temlished in the Applied Physics Letter of June 18. perature while adjusting other parameters to maintain a high www.electronics-eetimes.com Electronic Engineering Times Europe July/August 2012 5

NEWS & TECHNOLOGY

INNOVATION CLUSTER

A peek at innovation from Minalogic


By Julien Happich
line sources, multi-line absorption, or Created in 2005 to become a global competican be used in laser spectroscopy with tive cluster in the Grenoble region (France), one or more laser sources for materiMinalogic - www.minalogic.org - gathers over als analysis. In his research, Le Coarer 200 members all benefiting from the clusters presented several papers on the use of collaborative projects in the field of microgold nanowires to probe a Lippmann technology and embedded software. EETimes interferogram within a waveguide, efEurope was invited to take a peek at some of the fectively relying on near-field detection to clusters recent projects, mature enough to find sample the evanescent standing wave in commercial applications. the waveguide. The researcher was able Among several demos set up for the day, to demonstrate that small gold wires CyXplus was exhibiting its breakthrough X-ray Fig. 1: CyXplus offers productiondeposited along the waveguide surface tomography solution, developed as part of line capable 3D X-ray tomography can both scatter the light and sample the Minalogics Delpix project. CyXplus was spun off interferogram, allowing its detection by a the Cybernetix Group in 2009 and as its technical for production part inspection and numerical archiving. simple CCD sensor. In 2007, the proof of director Olivier Franois explained us, it is only concept was obtained with a waveguide through the combination of faster X-ray sensors, about 0.5mm long. In further research custom data transfer protocols and improved not made public, the aim was to replace image reconstruction algorithms that the comthe gold nanowires with specially pany was able to deliver production-line capable designed detectors set every quarter of 3d-imaging. the standing wavelength to enlarge the The company uses new software and a special spectral bandwidth of the fully intedata transfer protocol to yield a synchronized, grated spectrometer. Resolution Spectra real-time 3d image reconstruction as the X-ray Systems now has two products on offer scans are being acquired, effectively transferring (shown in figure 2), the linear Zoom data directly for volume reconstruction, crossSpectra and the rectangular shaped Masection by cross-section with no data storage delays. With this approach, CyXplus claims a 20x Fig. 2: A SWIFT unit, the 30mm-long Zoom trix Zoom. The Zoom Spectra uses the same demonstrated FTS principle but on speed improvement over previous generation 3D Spectra waveguide and the rectangular shaped Matrix Zoom spectrometer from a 30mm-long waveguide, whereas the X-ray scanners. This means that a production rectangular shaped Matrix Zoom compart that would take several minutes to inspect Resolution Spectra Systems. bines 256 Zoom Spectra waveguides can now be fully reconstructed and analysed cleverly arranged side by side to augment the spectrometers in only a few seconds. In the past, because it was taking too overall spectral bandwidth. Such micro-spectrometers could find long to reconstruct the object in 3D, manufacturers would only use in space-borne spectrometry, chemical sensors and parallel use X-ray tomography to characterize and validate a production spectral imaging to name a few applications. process, based on one successful part. Now, each part on the Intriguing transparent sapphire wafers were on display at the production line can be scanned and fully inspected internally, Arnano booth. Directly inspired by the microform process, each with automated quality inspection and defect detection com200mm wafer can store up to 10,000 pages of A4 documents, mented Franois. This also means that a complete 3D digital micro-etched from a layer of titanium nitride (TiN) cast between proof of every produced part can be kept for future reference. In two atomically sealed plates of synthetic sapphire. So why would case of insurance claims, a manufacturer could prove that a part you convert your digital data into analogue frames physically was shipped defect-free he added. The 3D image resolution is in etched onto an optical substrate? For durability and reliability, the 0.1mm range. Delivering a spectrum analysis with a resolution of 10pm over a wide portion of the visible and NIR range, from 630 to 1100nm, Resolution Spectra Systems claims that its SWIFTS (Stationary Wave Integrated Fourier Transform Spectrometer) is 100 times more powerful than existing mini-spectrometers and 2,000 times smaller than high-end spectrometers or monochromators offering a similar level of performance. Combining integrated optics, nanotechnology, microelectronics and embedded software, the new instrument is described by Etienne Le Coarer, technical director of the Institute of Planetology and AstroFig. 3: Under the project Nanoform, Arnano high density analog storage physics of Grenoble (IPAG), as an ultra-compact consists of 200mm atomically sealed glass or sapphire wafers enclosing static Fourier Transform Spectrometer (FTS) that can micro-etched data. The Nanoviewer optical scanner eases data retrieval in a operate over a wide wavelength range. The SWIFTS numerical format. is capable of measuring wideband spectra or multi6 Electronic Engineering Times Europe July/August 2012 www.electronics-eetimes.com

a real Broadside!

low ohmic precision and power resisTors


Top performance on small surface areas wiTh low-ohmic precision resisTors
By reversal of the length to width ratio, our Vlx series resistors have larger soldering and contact pads, giving them: _ better heat dissipation, rthi < 20K/w _ higher power rating: 2 w for size 1020, 1 w for size 0612 _ significant increase in mechanical stability

Innovation by Tradition

isabellenhtte heusler Gmbh & co. KG eibacher weg 35 35683 dillenburg Telephone 0049 02771 934-0 fax 0049 02771 23030 sales.components@isabellenhuette.de www.isabellenhuette.de

NEWS & TECHNOLOGY


optical tools, or using the nanoviewer, an answers Anarnos Director Alain Rey, optical scanner that eases data retrieval explaining that very important documents in a numerical format. like nuclear site information or strategic The NOMAD (Navigation on Mobile defence archives, but also civil or land Objects and 3D Access) project, lead registers for administrations, cannot mainly by the Myriad Group on ST-Ericsafely be left to the evasive and unreliable ssons hardware together with Moveas realm of digital storage. Ever since we MEMS sensor fusion algorithm soluhave entered the digital age, digital file tions, is about enabling richer and more formats keep evolving rapidly and current intuitive user interfaces for mobile users digital storage solutions still require their to navigate content in 3D. The four-year content to be regularly refreshed, either collaborative R&D project yielded new transferred to a new support or converted human-machine interaction techniques into new formats so they can still be read by current PCs. All this implies recurring Fig. 4: Myriad enables service providers to offer for future smartphones or tablets, an immersive, customizable and contextualized adapted to more complex usages and costs just to keep that data accessible user experience with 3D dynamic updates from sophisticated applications. The deliverand readable. social-networks or news streams. ables include an Interaction software Reys argument is that no current toolbox that allows the creation of innovative user interfaces with digital data storage solution is guaranteed beyond 5 to 10 years, integrated 2D and 3D graphics rendering. It is not just buttons, and important territorial or administrative documents that need but even dynamic text and contextual menus can be rendered to be stored reliably and accessed by several generations of in 3D, offering a richer interface than the traditional window, administrators should not be put at risk of loss by lack of funds or icon, menu and pointing-device interfaces. A motion software by data format obsolescence. Currently, it costs administrations toolbox was developed to uses motion sensors (accelerometers, between 10 and 50 Euro cents per page to store documents on magnetometers, gyroscopes) for implementing new in-air curmicroforms estimates Rey. These documents must be stored sor control and gesture-based media content navigation. This at controlled humidity and temperature levels to ensure centurywas complemented by a new hardware and software platform long data conservation. Yet, that type of archiving is not immune (Linux & Android) and the Snowball development kit (jointly to fire incidents, flooding, excessive light or even pests. If you launched by Calao Systems and ST-Ericsson) accompanied by look at the total cost of ownership, our solution costs from 35 the igloo open- source web community - www.igloocommunity. cents to 1 euros per archived page, but then, there are no recurorg. The Myriad Group developed demonstration systems for ring costs explains Rey. Once archived, you dont need to worusing smartphones and tablets as home remote controls, and for ry about your documents accessibility or preservation. Imposthe 3D visualization of Twitter message streams. CEA-Leti and sible to counterfeit, scratch-resistant and maintenance-free, the Movea established a joint lab where they continue to co-develop premium sapphire solution can withstand up to 1200C and will low-cost, energy efficient motion-sensor applications. last several millennia. Reading can be done with any magnifying

Displayport and HDMI in battle for mobile link dominance


By Rick Merritt
A NEW BATTLE has broken out over how to bring high definition video to mobile devices. The Video Electronics Standards Association (VESA) formally announced Mobility DisplayPort (MyDP) today, a new competitor for Silicon Images MHL high-definition video interconnect. Analogix has discrete chips for the spec, STMicroelectronics is said to be planning them and vendors of mobile applications processors are expected to integrate the technology in future SoCs. MyDP is based on DisplayPort 1.2, a 5.4 Gbit/s max link now used in a wide range of products to support up to 1920x1080-progressive resolution video at 60 Hz with 24 bit color. It also supports the 1.1a version at 2.7 Gbits/s that delivers 720p video. The link supports power so phones can charge while sending video. It uses the existing micro USB connectors on handsets. Analogix has developed a discrete bridge chip supporting MyDP and linking to HDMI interfaces in existing mobile apps processors. It delivers 1080p video while consuming less than 300 milliwatts for both the transmitter and bridge and adds less than two dollars to the bill of materials, said Andre Bouwer, vice 8 Electronic Engineering Times Europe July/August 2012 president of marketing at Analogix. Bouwer expects MyDP will find its way into handsets, TVs, notebooks and - using a Mbit/s sideband channel - links to keyboards and mice as handsets become used as mobile PC replacements in the next several years. It also supports audio and stereo 3-D for 1080p at 30 Hz per eye as well as adapters to connect to existing DVI and VGA interfaces. VESA created an online video demonstrating MyDP at the Consumer Electronics Show. The new link arrives at a time when Silicon Image claims its MHL, a mobile variant of HDMI, is already enabled in 50 million devices including handsets from more than a dozen vendors including HTC, LG and Samsung and TVs from LG, Samsung, Sharp and Toshiba. The MHL group which includes chip makers such as Mediatek, Mstar, Texas Instruments and Qualcomm expects more than 100 million MHL-enabled devices will ship this year. In May, Silicon Image announced MHL 2.0 supporting full 1080p video at 60Hz at as little as 30mWatts. The new link also supports power and micro USB connectors. www.electronics-eetimes.com

Agilent Agilent

Our Distributor Network Our Distributor Network


Right Instrument. Right Expertise. Delivered Right Now.

Agilent Basic Instruments


June August 2012

ChoosINg AN osCIllosCopE
how to anticipate your bandwidth needs

NEWS & TECHNOLOGY

CEA-LETI ANNUAL REVIEW

On the photonics roadmap: chip-to-chip and intra-chip interconnects


By Julien Happich
tHe cea-leti annual review that took place late June was an opportunity to catch up with the state-of-the-art in microsystems and microelectronics. among the many lectures running in parallel, my bet was that a whole afternoon session on photonics would fill-up my curiosity on the topic. The different presenters all acknowledged that copper alone would not suffice to match the speed and data density required by future generations of consumer devices, with data streams expected in the teraFlOPS range (1000 Giga Floating Operations per Second). the general view was that the future of highbandwidth chip interconnection lays in photonics, at different component levels. Dr. H. Yonekura from Shinko electric industries disclosed an optical connection via a polymer waveguide integrated into the PcB layout of multi-chip modules. Hence the package would also act as the optical layer, with integrated mirrors receiving the signal from mounted vcSels (vertical-cavity surface-emitting lasers) or transmitting it to photodiode arrays see figure 1. The company tested a transmitter module at over 10Gbps with little signal degradation in the waveguide, stated Yonekura. a receiver module was also tested to 10Gbps/channel. Shinko electric industries has also prototyped a 10cm2 electrical and optical multi-chip module bearing several optical channels with the waveguide layer buried into the PcB both in linear and curved patterns to bypass the electrical devices mounting ports. this laminate is currently in trial production whilst the company collects information about the next generation of packages and is in talk with optical interconnection industry players. Koturas ceO & President, Jean-louis Malinge, presented a wavelength division multiplexing (wDM) approach to optical interconnects. already a recognized provider of silicon optoelectronic integrated circuits, the company is shipping variable optical attenuators (vOas) and wDMs supporting 100Gb/s (4 wavelengths x25Gb/s). Koturas technology platform supports flip-chip bonding of lasers for transceivers and its WDM solutions are scalable, meaning they could achieve 40 wavelengths x25Gb/s to enable data transfers of 1 Terabit on a single fiber, boasted Malinge. recently, the company has demonstrated a wDM receiver measuring only 16x11.5mm, with integrated Germanium-based detectors only 3mm wide directly coupled to the silicon waveguides through a tapered section. it has also developed Ge photodiodes and micro-scale modulators to be embedded into the silicon dies where the multiplexers and waveguides are etched. For chip to chip optical interconnects, Malinge proposed an interesting solution, interlayer couplers that could be used in 3D module architectures see figure 2. the interlayer couplers consist of a tapered mode transformer, a grating, and etched waveguides each terminated by mirror couplers. Dr. Bert-Jan Offrein, manager of photonics at IBMs Zurich research lab is also a proponent of silicon photonics for optical interconnects in computing applications. according to Offrein, we must move the optical link deeper inside the processing chain to increase the communication bandwidth at all levels of computing systems, from inter-rack, to backplane, to 10 Electronic Engineering Times Europe July/August 2012

Fig. 1: A package acting as the optical layer, with integrated mirrors receiving or transmitting the signal from mounted VCSELs and photodiode arrays.

Fig. 2: Interlayer couplers consisting of a tapered mode transformer, a grating, and etched waveguides each terminated by mirror couplers.

Fig. 3: Moving the optical link deeper inside the processing chain.

Fig. 4: Integrated electrical and optical interconnects on the chip carrier and the board. cards and ultimately into chips see figure 3. Currently, optical connections are mostly used at the boards edge. Moving the optical signal chain deeper means additional assembly efforts are required by the many optical components (transceivers) and high-precision assembly steps with all the reliability and cost issues that go with them. Here, photonics chips could combine the modulators, drivers, detectors, amplifiers, WDM filters and cMOS electronics on one die. though, the chip-level assembly www.electronics-eetimes.com

PROMOTIONS

The worlds best selection of quality measurement productsat your fingertips with the responsive local support of Agilent distribution partners.

Get free WaveGen and DVM options with any new InfiniiVision X-Series scope
PROMO

Free Bluetooth adapter with the purchase of a handheld digital multimeter


PROMO

Turn your new InfiniiVision X-series scope into a multi-function measurement machine with a free Wavegen built function/arbitrary waveform generator option and a free DVM 3-digit integrated voltmeter option. offer available through Aug 31, 2012. For more information, ask about promotion code 5.866.

Turn your Android smartphone or tablet into a remote measurement, control, and reporting device. With the purchase of a new Agilent handheld digital multimeter receive a free bluetooth adapter. offer available through Aug 31, 2012. For more information, ask about promotion code 5.865

You face increasing technical and operational complexity. Agilent measurement and application expertise helps you anticipate these growing complexities so you can accelerate your ability to achieve both engineering and business goals.

Agilent

Our Distributor Network


Right Instrument. Right Expertise. Delivered Right Now.

Agilent and our network of Agilent Authorised Distributors have teamed up to provide fast, easy access to the worlds largest selection of off-the-shelf T&M instruments. Its the best of both worlds: Agilents measurement expertise and product breadth combined with speed, convenience and same-day shipping from our distribution partners. Its never been easier to get the right instrument in the right hands, right away.

NEWS & TECHNOLOGY


of silicon photonics dies is not yet available and todays photonics solutions need to be packaged into a transceiver housing for later assembly on boards. On iBMs roadmap is the assembly of silicon photonics chips into the processor package, directly next to the processor chip. this approach would provide a much higher bandwidth density while simplifying the assembly process. the solution to interconnect the optical signals would be to build all the chiplevel functions (cMOS logic and Si photonics) with integrated electrical and optical interconnects (both on the chip carrier and the board as shown in figure 4). This integration would allow one-step mating of all electrical and optical connections. this also means that carrier and board-level waveguides must be embedded into the substrates to distribute the optical signals. the FP7 project FireFlY started at the end of last year goes into that direction, looking at 3D nano-structured materials and components for the efficient guiding of light. IBM has already demonstrated ultra-high density (62.5mm channel pitch) waveguides on top of PcBs and optical couplings between polymer waveguides and silicon photonics through the use of 45 mirrors and low loss (0.4dB) lateral lenses with good assembly tolerances (20mm lateral alignment for 1dB additional loss and 100mm lens-to-lens distance tolerance for 1dB additional loss). Further in the future, Offrein would like to see a photonics layer sitting on top of stacked memory and logic dies, acting as an on-chip optical network capable of connecting various cores but also able to route the data traffic. in his presentation on 3D integration and silicon photonics, head of design programs and research director at cea-leti Dr. ahmed Jerraya emphasized that while 3D interconnects can increase bandwidth in a single chip, off-chip I/Os will soon be the bottleneck, calling for chip-to-chip but also on-chip (silicon board) Si-photonics to the rescue. this in order to reach data transfers in the 1tFlOP/s range at acceptable power levels. Data transfer density and energy efficiency in Watts consumed per GFlOPs must be increased by at least a factor of 50 if we are to achieve the objective of 1tFlOP/w by 2016, said Jerraya. whereas electrical interconnects hit an i/O density limit, silicon photonics could still be efficient for distances less than a few centimetres as commonly found on Socs, explained the researcher. this could be achieved through the use of large double-sided photonics interposers capable of interconnecting multiple dies. Such interposers could be developed independently (as for memories and processors) and should be driven by ic design to minimise costs and power consumption see figure 5. Maurizio Zuffada, the spokesperson for STMicroelectronics research on the topic, described Si photonics as a fundamental technology to the companys process roadmap. in fact, in partnership with luxtera, St has already started development of its first generation of photonics devices on 300mm Si wafers. The first results are expected for mid-Q4 of 2012 with full characterization before the end of the year. Zuffada also hinted at a hybrid solution with a photonics die linking the i/Os to logic through copper pillars after opto-electrical signal conversion see figure 6. The first generation devices will target 25Gbps/link with bandwidths over 100Gbps on chips smaller than 160mm3. Head of the cMOS Photonics lab at cea-leti, Sylvie Menezo discussed the need for integration of both passive and active optical functions, including light emission such as laser sources and e/O or O/e conversion through modulators and photodetectors. this view was comforted by her colleague from the leti silicon platform, Jean-Marc Fedeli who unveiled the various building blocks of what could be tomorrows photon12 Electronic Engineering Times Europe July/August 2012

CEA-LETI ANNUAL REVIEW

Fig. 5: Large double-sided photonics interposers capable of interconnecting multiple dies.

Fig. 6: A photonics die linking to logic through copper pillars after opto-electrical signal conversion.

Fig. 7: In direct wafer bonding, InP dies are bonded on a CMOS wafer before substrate removal. ics as crafted and characterized in the lab. to solve the chip interconnect issue, Fedeli proposed a direct wafer integration whereby a photonics inP wafer would be bonded to an electronic SOi wafer, meaning again that such a 3D optical integration would not depend on the specific node used to produce the electronic wafer see figure 7. www.electronics-eetimes.com

SPOTLIGHT

Can You Meet Todays Budget Requirements While Anticipating Tomorrows Bandwidth Requirements?

Its the never-ending dilemma: trying to

keep your equipment costs as low as possible in the short term, knowing that your next generation of designs will probably require faster and moreadvanced test and measurement capabilities.

But as you can see in Figure 1, a 500 MHz scope essentially turns your digital bit stream into what appears to be an overlay of sinusoids, obscuring vital signal details. Clearly, a bandwidth of only 500 MHz cant capture and measure these signals accurately. Figure 2, made on the same signal with a 1 GHz scope, gives a much more realistic representation of the bit stream, thereby accelerating your search for the insights you need.

Deciding how much to buy and when to upgrade


Oscilloscopes, for instance, present a fairly linear tradeoff between price and bandwidth, a key performance specification. More bandwidth is great to have, but budget pressures often limit you to buying only as much as you need for your immediate projects. In addition to the price/performance question, its vital to have a clear understanding of how much bandwidth your currentand futureapplications really require. As an example, lets say your last embedded design used DDR1 memory (which clocks data into and out of memory on both the rising and falling edges of the clock signal) with data transfer rates in 200 Mbps range. If you used a scope with 500 MHz bandwidth to capture and verify critical timing parameters, this instrument probably offered sufficient measurement accuracy. However, your next design will be based on higher speed DDR2 technology. Will that 500 MHz bandwidth still do the job? The eye diagram, which provides a composite picture of signal quality by overlaying high and low bits to determine when data is valid, is a common measurement performed on read and write data signals of DDR memory. Figure 1 shows an example of an eye-diagram measurement using a 500 MHz scope on a data signal representative of DDR2-667 memory. While the clocking rate of DDR2-667 memory is 667 MHz, both the clock signal and the maximum toggle rate of the data signal are only 333 MHz. One might assume, then, that a 500 MHz scope would provide sufficient bandwidth to capture and measure these signals.

Moving up without starting over


The Agilent InfiniiVision 3000 X-Series oscilloscopes are built on the premise that you need to manage your budgets carefully today and anticipate performance requirements on future projects, too. The newest 1 GHz model starts at the lowest price in the industry. And if you plan to buy or already own a lower bandwidth 3000 X-Series scope, your investment is protectedthey are all fully upgradable to the new 1 GHz level. Buy as much performance as you need for todays designs, then easily upgrade to meet tomorrows requirements. To learn more about how to identify the necessary bandwidth for your specific measurement applications, visit www.agilent.com/find/evaluatingbandwidth and download Evaluating Oscilloscope Bandwidths for your Application.

Figure 1

A 500 MHz scope proves inadequate for this DDR2-667 signal; the eye diagram presents what appears to be an overlay of sinusoids but should really be a digital bit stream.

Figure 2

Heres that same DDR2-667 signal measured with a 1 GHz scope; the eye diagram now clearly displays the overlaid digital bit stream.

InfiniiVision 3000 X-Series oscilloscopes


New! 100 MHz to 1 GHz bandwidth, up to 4 Mpts memory, DSO and MSO models 8.5-inch WVGA display is 50% larger and 3x the resolution of competitive scopes Hardware-based measurement applications including serial decode Up to 1,000,000 waveform updates/second with MegaZoom technology Fully upgradablebandwidth, MSO, memory up to 4 Mpts, measurement applications, WaveGen built-in 20 MHz function and arbitrary waveform generator, and integrated digital voltmeter

www.agilent.com/find/quick-quote

Get a quick quote today at

NEWS & TECHNOLOGY

IEEE TECHNOLOGY TIME MACHINE

In the crystal ball: fast networks for smart manufacturing lines


By Christoph Hammerschmidt
From ColleCtive intelligenCe to smart power and from cyber physical systems to future mobile network technologies, the ieee technology time machine conference which took place end of may in Dresden spanned across a wide range of topics. the promise of this annual conference on the future of technology was to provide a vision of the technologies beyond 2020. However the presenters, scientists and engineers, preferred not to look too deep into the crystal ball but instead gave their views on the relatively near term future. In his plenary presentation, Infineon CEO Peter Bauer highlighted the role of the semiconductors for energy efficiency in general. though not really a new topic, Bauer drew an interesting timeline until 2020 and beyond for efficiency gains in energy generation and distribution as well as in specific automotive application segments. Since 1973, the worlds electric energy consumption has more than tripled and the demand is continuing to rise at increasing speed. Against this background, energy efficiency indeed seems an urgent topic for industrial as well as for private consumers. the highest energy savings potential lies in lighting, appliances electronics, motor systems, and residential HvAC systems, Bauer explained. interestingly, in these application segments the development of the electronic systems required to drive efficiency higher quickly remunerates as the development is cheaper than the energy savings to be achieved. Progress in power electronics will accompany the development as an enabler for higher power density and lower losses. the power density of devices such as inverters or power drives will continue to increase until 2020 to about 120 kW/cm2, Bauer predicted. While todays wide-bandgap semiconductors such as SiC are perceived as innovations which drive the efficiency of, for instance, solar inverters to a level of 98%, future yet unknown semiconductor technologies could drive the efficiency to 99 percent and even beyond. in wind power generation, the power level per generator could rise to 10mW from todays 6mW, accompanied by much higher reliability and service life. in automotive electronics, semiconductors enable the design of new safety assistant systems such as radar-based cruise control. While Sige will continue to prevail as the semiconductor technology of choice, new functions such as electronic beam forming and integration of analog functionality will enable smarter driver assistant systems with more functionality, Bauer predicted. Several presentations and discussions addressed the future of manufacturing. in this context, cyber physical systems is currently the ubiquitous buzzword. Cyber physical systems (CPS) are huge, complex agglomerations of networked, intelligent entities such as smart cities or digitally controlled factories. these smart entities could take over production in segments already characterized by a high degree of automation such as the semiconductor industry. Dirk Wristers, Vice President Technology R&D for chipmaker globalfoundries already propagated his vision of a lights-out operation, a fab where the lights can be switched off since no human beings are working in the production anymore. Besides the extremely high degree of automation associated to CPS, 14 Electronic Engineering Times Europe July/August 2012

From left to right: William Tonti, IEEE Future Directions Committee; Roberto Boisson de Marca, Future Directions Committee Chair, Maurizio Dcina, General Chair of the IEEE TTM 2012, and Prof. Gerhard Fettweis, Program Chair of TTM 2012. Wristers sketched the future for the semiconductor industry with mega-fabs, cranking out more than a million wafers per year 450mm wafers, of course. the glue that keeps smart cities and smart factories together is communications - wireless, in most cases. gerhard Fettweis, professor at the Dresden technical university and serial entrepreneur, held a surprise presentation, replacing Siavash Alamouti from Vodafone R&D. Fettweis did not only link CPS and next-generation mobile communications technology, he also provided a glimpse in the technology that could enable this extremely agile communication scheme. From his perspective, next-generation mobile network does not refer to lte though this technology is still far from being readily available across europe but to what he calls 5g networks. For applications such as industrial controls we need roundtrip delays in the vicinity of 1 millisecond, he said. Up to now, all wireless networks are designed for communication applications. the fast networks of the future will be designed for control applications instead. For traffic control, cars equipped with these networks could be guided through intersections without traffic light - the realtime data exchange between all the road users will enable the vehicles to steer through the densest traffic without human interaction. this will do away with waiting in front of the red light, Fettweis said. the g5 network will also go beyond todays car-to-infrastructure communication approaches, based on a variation of the known WiFi technology. this technology has been designed to warn cars of accidents of bad road conditions ahead, Fettweis explained. For applications such as intersection control, their latency is much too slow. the same holds true for industrial automation. g5 networks could form the missing link for an automation approach currently developed dubbed industry 4.0 for the high degree of machine autonomy and flexibility intended. This approach provides for materials and semi-finished products communicating with tool machines and autonomously finding their way through the production line. this process requires many data exchange processes - an ideal application field for Fettweis real-time networks. of course there are challenges. one is the bandwidth required: Fettweis estimated that though the entities using this communication schemes will only exchange relatively short data packets, the bandwidth requirements will increase by a factor of 100 to 1000. impossible? At the level of physics, there is no show stopper in sight, Fettweis said. www.electronics-eetimes.com

FREQUENCY COUNTERS FUNCTION/ARBITRARY WAVEFORM GENERATORS

DATA ACQUISITION/SWITCH UNITS GPIB CONNECTIVITY

Achieve deep insights quickly with histograms, trend charts and statistics
RF and universal frequency counters
Up to 20 ps single-shot time interval measurements Histograms, trending, data logging, and built-in math and statistics functions give greater insights into system behavior Frequency, frequency ratio, time interval, rise/fall time, phase, and much more Continuous, gap-free measurements, with time stamps on signal edges (53230A only); pulse/burst microwave measurements (53230A only) Optional 6 GHz or 15 GHz RF Channel USB, GPIB and LAN (LXI-C) connectivity

Modular flexibility and universal channels for a wide range of measurements with no external signal conditioning
34970A/72A data acquisition switch unit, 6 digit
34972A offers LAN built-in web interface for easy control and interface 3 slot mainframe, built-in signal conditioning for 11 types of input signals Free BenchLink Data Logger software eases setup and documentation, 34830A BenchLink Data Logger Pro software also available GPIB and RS-232 connectivity (34970A), USB and LAN (LXI-C) connectivity (34972A)

34970A/72A plug-in modules


Model 34901A/02A/08A multiplexers 34903A GP switch 34904A matrix 34905A/06A RF switches 34907A multi-function Key specifications Up to 300 V, 16, 20, or 40 channel 300 V, 20 actuator channel 4x8 matrix 300 V 2 GHz dual, 50 and 75 DIO, DAC, totalizer

Model 53210A 53220A 53230A

Description 350 MHz RF Frequency Counter, 10 digits/s 350 MHz Universal Frequency Counter/Timer, 12 digits/s, 100 ps 350 MHz Universal Frequency Counter/Timer, 12 digits/s, 20 ps

Validate your most challenging designs with realistic and robust test signals: true point-by-point arbs, variable BW noise and PRBS
Function/ arbitrary waveform generators
Highest signal fidelity and true point-topoint arbs let you model real-world signals more accurately than ever Sine waves, square, ramp, triangle, noise, pulse generation with variable edge, DC waveforms, AM, FM, and more Linear and logarithmic sweeps and burst operation modes
Connectivity

Easily control instruments and exchange data 82350B PCI with maximum throughput high-performance Built-in buffering for speeds up to 900 KB/s GPIB interface IEEE-488 interface connects up to 14 instruments

82357B USB/GPIB High-speed USB 2.0 with fast, easy, plug-andplay connection and auto configuration interface
GPIB transfer rate of up to 1.15 MB/s Direct PC (USB) to GPIB instrument connection

Model 33521A 33522A

Description

1-Channel function/arbitrary waveform generator 2-Channel function/arbitrary waveform generator 16 bits, 250 MSa/s, 1 M points, with 30 MHz UsB, lAN (lXI-C), pulse, and less than 40 ps jitter optional gpIB UsB, gpIB, and lAN (lXI-C) UsB, gpIB, and lAN (lXI-C) GPIB, RS-232 UsB, lAN (lXI-C)

33210A 14-bits, 50 MSa/s, 8 K points, 10 MHz (with Option 002), with 5 MHz edge pulse 33220A 14-bits, 50 MSa/s, 64 K points 20 MHz with 5 MHz pulse 33250A 12-bits, 200 MSa/s, 64 K points 80 MHz with 50 MHz pulse 33502A Isolated amplifier, dual channel, 50 Vpp 33503A BenchLink Waveform Builder Pro software

Function/arbitrary waveform generators can produce a variety of pulse-width modulation (PWM) signals to emulate analog control signals. Two options are varying the duty cycle of a square wave and creating an arbitrary waveform. However, the most versatile solution is to use a function generator with built-in PWM capabilities, such as the Agilent 33200 or 33500 Series, which gives you quick access to all PWM parameters. To learn more, visit

hINT
s U R E M E N
agilent.com/find/33521A
Download a free copy of 10 Hints for Getting More from Your Function Generator

DESIGN & PRODUCTS

POwER SUPPlIES

No magnetics required charge pumps can handle the Volts!


By Steve Knoth
A chArge pump, or switched capacitor voltage converter, uses capacitors as energy storage elements to generate an output voltage. For example, one basic charge pump circuit, the doubler, doubles the input voltage, using a single flying capacitor and four internal switches driven from a twophase clock. In the first phase of the clock, a pair of switches charges the flying capacitor to Table 1: LDOs vs. charge pumps vs. switching regulator performance the input voltage (VIN). In the second phase of the clock, a third and usually have lower output current capability. however, they have many benefits over LDOs such as higher efficiency, switch connects the negative terminal of the capacitor to VIN efgood thermal management due to switching architecture and fectively generating 2*VIN at the positive terminal of the capacihave more flexibility to step a voltage up as well as down, or tor. The fourth switch connects the positive terminal of the flying generate negative voltages. When compared to conventional capacitor to the output capacitor. under no load conditions, switching regulators, a charge pumps output current capability charge will transfer to the output capacitor on each cycle until and efficiency are lower. However, they are simpler to design the output charges to 2*VIN thus doubling the input voltage. and do not require an inductor. Furthermore, advancements When an output load is present, the output capacitor proin process technology have enabled an expansion of charge vides the load current during the first phase, while the flying pump input voltage range compared to previous generations. capacitor provides the load current and charges the output Table 1 provides a comparison of key performance parameters capacitor during the second phase. For charge transfer to ocbetween topologies. cur, the output will regulate at a voltage slightly lower than 2*VIN. The charging and discharging of the output capacitor in the two phases of the clock generates an output ripple that is a function Design & application challenges for charge of the output capacitor value, the clock frequency and output pumps load current. There are several industrial environments that have single-endAll other charge pump circuit implementations follow from ed, higher voltage power supplies readily available. however, this basic scheme by adding/changing switches and capacitors these supplies are not suitable for driving op amps and other as well as the number of phases of the clock. charge pumps circuits that require bipolar power supplies such as powercan double voltages, triple voltages, halve voltages, invert ing dual-rail, low noise high voltage op amps, requiring 15V voltages, fractionally multiply or scale voltages such as x3/2, rails from a single +24V supply. Op amps driven close to their x4/3, x2/3, etcetera, and generate arbitrary voltages, depending negative rail have very poor distortion. Therefore, it is desirable on the controller and circuit topology. The efficiency of charge to have a negative rail that is lower than the lowest signal level pumps can be quite good when near their ideal charge ratio. in order to provide the lowest distortion at the op amp output. In the doubler example above, the input supply will be equal to The right type of charge pump may service this need and lotwo times the output load current such that input power equals cally generate an inverting power supply to drive the rails of the output power in the ideal case. In reality the efficiency will be op amp or other noise sensitive circuitry using low noise post slightly lower than ideal due to quiescent operating current and regulators. other losses. In reality, the efficiency will be slightly lower than many modern communication devices use sensitive rF ideal due to operating current and other losses. The versatility receivers, but the combination of noise generators (switchof charge pumps enables their usage in a wide variety of aping power supplies) and noise-sensitive circuitry can create plications and market segments. the potential for interference. The traditional solution has been to keep noise generating circuits away from noise sensitive Charge pumps fill a niche in the performance spectrum circuitry. however, in modern handheld products, everything is between LDOs and switching regulators and offer a nice so tightly packaged that this is no longer possible. Shielding is alternative to designs that may be inductor-averse. compared not practical for both cost and size reasons. Traditional switchto LDOs, charge pumps require an additional capacitor (a ing power supplies concentrate noise energy into narrow-band fly cap) to operate but do not require inductors, which are harmonics. Yet, if one of these harmonics happens to coincide generally slightly more costly, have higher output noise levels with a sensitive frequency (a receivers intermediate frequency or IF passband, for instance), interference is likely to result. Steve Knoth is Senior product marketing engineer in the power Charge pumps offer sufficiently low noise thresholds and can fill products group at Linear Technology corporation this void. www.linear.com 16 Electronic Engineering Times Europe July/August 2012 www.electronics-eetimes.com

OSCILLOSCOPES

HANDHELD OSCILLOSCOPES MODULAR INSTRUMENTS

Breakthrough scope technology lets you see more, do more and get more for your money
InfiniiVision 2000 X-Series oscilloscopes
8.5-inch WVGA display offers 2x the viewing area and 5x the resolution of competitive scopes 70 to 200 MHz bandwidth, 100 kpts memory, DSO and MSO models Up to 50,000 waveform updates/second Fully upgradablebandwidth, MSO, measurement applications, WaveGen built-in 20 MHz function generator, and integrated digital voltmeter 8.5-inch WVGA display is 50% larger and 3x the resolution of competitive scopes 100 MHz to 1 GHz bandwidth, up to 4 Mpts memory, DSO and MSO models NEW Hardware-based measurement applications including serial decode Up to 1,000,000 waveform updates/second Fully upgradablebandwidth, MSO, memory, measurement applications, WaveGen built-in 20 MHz arb/function generator, and integrated digital voltmeter 12.1-inch displaynearly 40% larger than competitive scopes 100 MHz to 1 GHz bandwidth, 8 Mpts memory, DSO and MSO models Hardware-based measurement applications including serial decode Up to 100,000 waveform updates/second Upgradable MSO and measurement applications

Maximum versatility to troubleshoot todays challenges and anticipate tomorrows needs


U1600 Series Handheld Scopes
NEW

PROMO ge 2
pa

see

5.7-inch VGA TFT LCD display with indoor, outdoor, and night-vision viewing modes 3-in-1 instrument: scope, DMM, and data logger Two independent, isolated channels (100 MHz - U1610A or 200 MHz - U1620A) Up to 2 GSa/s sample rate and up to 2 Mpts deep memory to zoom in on critical details Benchtop-like dual window zoom for more detailed waveform ananlysis

PROMO ge 2
pa

see

InfiniiVision 3000 X-Series oscilloscopes

Anticipate every new challenge with reconfigurable portable test systems


USB modular instruments
Mix and match the USB modular instruments, DAQ modules or switching I/O units to meet your measurement needs Instrument and DAQ modules can be used standalone or integrated together in the USB modular chassis Hi-speed USB 2.0 interfaces for easy setup, plug-and-play, and hot swappable connectivity U2781A USB modular product chassis can host up to six modules and synchronize multiple instruments Bundled Agilent Measurement Manager lets you configure and control a system with no programming

Now le availab z to 1 GH th bandwid

InfiniiVision 7000B Series oscilloscopes

USB modular instruments


U2701A/02A 100/200 MHz oscilloscope U2722A/23A 3-channel source measure unit U2741A 5 digit digital multimeter (DMM) U2751A 4x8 switch matrix U2761A 20 MHz function generator U2781A Modular chassis

Gain greater insight with powerful applications


DsoX2MAsK DsoX3MAsK Mask/waveform limit testing DsoX2sgM DsoX3sgM Segmented memory DsoX2WAVEgEN WaveGen function generator DsoX3WAVEgEN WaveGen arb/function generator DsoXDVM DsoXDVM NEW Integrated 3-digit voltmeter DsoXEDK DsoXEDK Education training kit DsoX2Mso DsoX3Mso DSO to MSO upgrade kit DsoX3EMBD I2C/SPI trigger and decode DsoX3CoMp RS232/UART trigger and decode DsoX3AUTo CAN/LIN trigger and decode DsoX3AUDIo I2S trigger and decode Mil Std 1553 trigger and decode DsoX3AERo 1553/429 trigger and decode DsoX3FlEX FlexRay trigger and decode DsoX3ADVMATh Advanced Math DsoX3VID HDTV video analysis DsoX3pWR Power measurement and analysis Xilinx FPGA dynamic probe Altera FPGA dynamic probe

Description

2000 X-Series 3000 X-Series 7000B Series


N5455A N5454A

USB modular data acquisition


U2300A Series USB modular multi-function DAQ devices U2500A Series USB modular simultaneoussampling multi-function DAQ devices U2100A Series USB digital I/O devices U2600A Series USB modular isolated digital I/O devices U2121A-based RF switch driver U2802A 31-channel thermocouple input module

see note1 N5423A2 N5457A2 N5424A2 N5468A2 N5469A2 N5432C2

U1881A N5406A3 N5434A3

Get a FREE 14-Day Trial License for any of the above! For details see www.agilent.com/find/14daytrial
1. Order N2741A for DSO701xB, N2735A for DSO703xB, N2736A for DSO705xB, and N2737A for DSO710xB. 2. Available on 4 channel DSO models and 4+16 channel MSO models only. 3. Available on MSO models only.

www.agilent.com/find/quick-quote

Get a quick quote today at

DESIGN & PRODUCTS

POwER SUPPlIES

instrumentation low noise bias generators, portable medical equipment and automotive infotainment systems. The LTC3260 is available in a low-profile (0.75mm) 3x4mm 14-lead DFN package and a 16-lead mSOp package, both with a backside thermal pad. The LTc3261 is available in a 12-lead mSOp package with backside thermal pad. Operating junction temperature for either device is -40c to +125c.

Low output ripple

Fig. 1. LTC3260 application circuit.

The LTc3260s design inherently provides low noise performance. The devices high operating frequency leads to a low output ripple. The LTc3260 LDOs further reject this ripple as shown in Figure 3 to deliver very low noise outputs <1mVp-p that are ideal for noise sensitive applications such as operational amplifiers and ADC drivers.

Protection circuitry

Fig. 2. LTC3261 application circuit. Any solution to satisfy the charge pump Ic design constraints outlined above would combine an efficient high voltage charge pump with regulated outputs and low output noise. Linear Technology has developed simple, yet sophisticated, high voltage inverting monolithic charge pump Ics for these applications. The LTc3260 and LTc3261 are versatile charge pumps. The LTc3261 is a high voltage inverting charge pump that can deliver up to 100mA of output current. Whereas the LTc3260 includes an inverting charge pump plus both positive and negative LDO regulators that can source up to 50mA output current each with low dropout voltage operation. The negative LDO post regulator is powered from the inverting charge pump output. The positive and negative LDO output voltages can be adjusted down to 1.2V and -1.2V, respectively, using external resistor dividers. Both devices operate over a wide 4.5V to 32V input voltage range. See figures 1 and 2 for details. The internal charge pump of both the LTc3260 and LTc3261 functions in either low quiescent current Burst mode operation or low noise constant frequency mode at up to 88% efficiency. In Burst mode operation, the charge pump output regulates to 0.94 VIN. Also, in Burst mode operation, the LTc3261 draws only 60A of quiescent current, while the LTC3260 draws only 100uA with both LDOs enabled. constant frequency operation offers low input and output ripple; in this mode the charge pump produces an output equal to VIN and operates at a fixed 500khz or to a programmed value between 50khz to 500khz, using an external resistor. Other Ic features include low external parts count with ceramic capacitor stability, soft-start circuitry to prevent excessive current flow during startup, plus short circuit and thermal protection. The LTc3260 and LTc3261 are well-suited for a variety of applications such as low noise bipolar/inverting supplies from a high voltage input, industrial/ 18 Electronic Engineering Times Europe July/August 2012

The LTc3260 has built-in short-circuit current limit as well as over temperature protection. During a short-circuit condition, the part automatically limits its output current to approximately 160mA. If the junction temperature exceeds approximately 175C the thermal shutdown circuitry disables current delivery to the output. Once the junction temperature drops back to approximately 165c current delivery to the output is resumed. When thermal protection is active the junction temperature is beyond the specified operating range. Thermal protection is intended for momentary overload conditions outside normal operation. Continuous operation above the specified maximum operating junction temperature may impair device reliability.

Conclusion

A new and simple solution

The charge pump in some ways has been nearly forgotten due to limited voltage range and historical performance that placed it somewhere in between an LDO and a switching regulator. Fortunately, the high voltage LTc3260 and LTc3261 charge pumps address these needs. The 150mA LTC3260 offers a number of useful features in a small footprint, reducing overall solution size and in turn enabling more compact, simpler designs. The LTc3261 is a subset of the LTc3260 and provides a 100mA high voltage inverted output. So, for those designers who do not like to use inductors, there are simple, high voltage charge pumps to be used instead.

Fig. 3. LTC3260 low output ripple performance. www.electronics-eetimes.com

POWER SUPPLIES

Power Products
More than 200 models: Find the perfect match for your needs
Agilent offers more perfect-fit power products than anyone in the industry, from high-value DC supplies that deliver clean, dependable power to high-performance and specialized supplies with speed, accuracy, built-in measurement functions and advanced programmability. The free Agilent Power Product Selection Guide helps you choose your instrument by the number of outputs, output power characteristics, packaging, special features, and application specific solutions. Available at: www.agilent.com/find/powerbrochuredisty or, download the latest app from iTunes at: www.agilent.com/find/itunes-dcpower

High performance
Anticipate new demands with modular versatility, deep accuracy, and high speed
N6700 low-profile modular power system
The most versatile power you can pack into a 1U rack-mount system Only system that lets you mix and match performance levels in a single mainframe 400, 600, and 1200 W mainframes 50, 100, and 300 W basic DC modules 50, 100, 300, and 500 W highperformance auto-ranging modules 50, 100, 300, and 500 W precision (mA and A) modules
USB, GPIB and LAN (LXI-C) connectivity

7 new s module up to 500 W!

N6705B DC power analyzer

High value
Solid performance and robust features help you achieve more on lower budgets
N5700 and N8700 Series system DC power
Compact, high-power, single output power supplies USB, GPIB and LAN (LXI-C) connectivity 45 affordable models in compact 1U (750 and 1500 W) and 2U (3.3 and 5 kW) packages Easy front-panel operation Up to 600 V or up to 400 A Output noise as low as 1 mVp-p/0.2 mVrms Tight 0.01% load and line regulation Fast load transient response time (<50 s) 30 to 200 W outputs

Get deep insights into DUT power consumptionwithout assembling a complex test system Integrate up to four advanced power supplies with DMM, scope, arb, and data logger features Four-slot mainframe holds up to 600 W of total power and up to four modules Uses same modules as N6700 low-profile modular power system

Fast, low-noise outputs improve 6600 Series measurement accuracy and test throughout high-performance DC supplies 40 to 6600 W, 1 to 4 outputs, up to 120 V, and up to 875 A Built-in measurements and automation features simplify your test system design

E3600 Series DC power

Autoranging to do the job of multiple 6030 Series power supplies basic autoranging Get maximum power at a variety of DC supplies

operating voltages 240 to 1200 W outputs, up to 500 V and up to 120 A Programmability and built-in V & I measurements simplify test setups Full protection from over voltage and over current Stable and accurate: these loads are easy to integrate into your test system Automated command list execution reduces workload on system controller 1800 W mainframe accepts up to six 150 to 600 W modules for simultaneous testing Control and read-back through a single GPIB address Maximum inputs up to 240 V and 120 A

U8000 Series DC power supplies

Output sequencing (for U803x Series) Low output noise (as low as 1 mVrms) minimizes interference into your deviceunder-test (DUT) Fast load transient response time (< 50 s) reduces test time and manufacturing cost Excellent 0.01% load and line regulation for steady output power levels Total power of 375 W at three outputs (for U803x Series)

N3300 DC electronic load mainframe

DESIGN & PRODUCTS

POwER SUPPlIES

Comparing uninterruptible power supply topologies


By Mike Elms
Today, uninTErrupTiBlE powEr supply (upS) systems are typically employed to support data centres, communications hubs and other applications using sensitive iCT equipment. These installations continuous availability is usually critical to their owners survival, so a clean, uninterrupted power supply becomes a business-critical requirement. under these circumstances, on line upSs are understandably the most popular choice. They deliver processed, clean power under all conditions and transfer to battery without power interruption if the mains fails. However there are still many applications with less critical requirements; these can benefit from the lower costs of an off line UPS solution. This article therefore compares on line and off line UPS topologies, together with a third variant line interactive topology. as rising energy costs sustain the demand for better energy efficiency, this article also reviews Eco mode upS operation and its contribution to improved puE. applications that use upSs vary greatly in size as well as nature. Small desk top or instrumentation devices may run from a portable unit with a capacity of up to 250 VA, whereas a large data centre serving a significant enterprise could call for multiple units delivering up to 5 MVa or more.

On line UPS topology and advantages

irrespective of their size or topology, all upSs depend on a battery, a means of charging it and a method of converting its dC

Fig. 2: Off line UPS topology.


output to aC for the critical load during a mains failure. an on line upS performs these functions with a series of power blocks between the mains supply and the critical load - see figure 1. The first of these is a rectifier/charger which float charges the battery while the mains is present. it also supplies a stable dC voltage to the second block - an inverter which feeds the critical load. During a mains failure the floating battery seamlessly takes over the task of supplying dC to the inverter, to which it is permanently connected. Therefore, the event remains truly invisible to the critical load unless the battery becomes discharged. This seamless power continuity is a key attraction for critical applications that may not be capable of riding out a switching delay. another major advantage arises from the on line upSs dual conversion topology. The input rectifier removes all the incoming mains spikes, transients and noise by converting it into dC. The inverter then feeds the load with a clean new aC waveform which is tightly regulated by the UPS. The rectifier also provides power factor correction, which reduces high frequency harmonics and the current drawn from the mains. The on line upS acts as a true power firewall, protecting sensitive instrumentation and ICT equipment from mains-borne problems while improving the load presented to the mains.

Off line topology

Fig. 1: On line UPS operation. Mike Elms is Technical Sales Manager for uninterruptible power Supplies limited - www.upspower.co.uk he can be reached at sales@upspower.co.uk 20 Electronic Engineering Times Europe July/August 2012

By contrast an off line UPS, during normal operation, feeds raw mains to the critical load through a bypass line and static switch - see figure 2. The load is therefore exposed to poor voltage regulation and possibly noise and transients from the mains. if the mains transgresses preset limits, the static switch transfers the load to the upSs inverter and battery a changeover break that can last from 2ms to 10ms. Many operators of data centres and other sensitive equipment consider off line UPS systems www.electronics-eetimes.com

HANDHELD INSTRUMENTS

DIGITAL MULTIMETERS

Retool your expectations of handheld test tools

Accomplish even more with higher speeds, greater capability, and better value
Basic accuracy: 0.0035% DC, 0.06% AC 34401A digital multimeter, 12 measurement functions, plus limit testing and statistics give meaningful answers 6 digits in less time 1,000 readings/s in ASCII format across the GPIB interface

Add Bluetooth adapter U1177A to turn your handheld DMM into a remotely-enabled test tool!
PROMO U1230, ge 2
pa see

NEW
U1177A details pg 8

U1240 and U1250 Series handheld DMMs

New U1230 Series

Work faster and safer with LED flashlight, Vsense non-contact voltage detection, flashing backlight display for visual feedback in noisy areas, and more 6,000-count display IR-to-USB connectivity for easy data transfer

U1240 Series

34410A/11A enhanced performance multimeter, 6 digits

Dig deeper with low A and high M ranges, harmonic ratio measurements in AC supplies, and dual/differential temperature measurements 10,000-count display 0.09% basic DCV accuracy

Upgrade to a faster, more accurate multimeter with additional functions 10,000 readings/s at 5 digits (34410A), 50,000 readings/s at 4 digits (34411A) 14 measurement functions; built-in data logging 50,000 reading non-volatile memory USB, GPIB, and LAN (LXI-C) connectivity

U1250 Series

Find the details that matter with 0.025% basic DCV accuracy, accurate true-RMS AC measurements, and high-contrast OLED display (U1253B) 50,000-count dual display CAT III 1000 V and CAT IV 600 V safety rating

34405A digital multimeter, 5 digits

Simultaneous reading of DC and AC measurements on dual display 5 digits, 120,000 counts resolution 16 measurement functions including temperature and capacitance 0.025% DC voltage accuracy USB connectivity

U1210 Series handheld clamp meters

Wide-range metering lets you measure up to 1000 A and still see 10 mA Large two-inch clamp opening CAT III 1000 V / CAT IV 600 V safety rating Includes full featured DMM with resistance, capacitance, frequency, and temperature functions Budget-priced meters with multiple safety and convenience features 400 -600 A average responding or true RMS, AC or AC/DC LED light, wire separator, and hook help you find, get, and grab the right wire Flash alert for continuity and hazard conditions Vsense non-contact voltage detection Save time --calibrate while you measure with simultaneous source and measure capabilities 50,000-count dual display Full span DMM capability

U3400 Series digital multimeters, 4 and 5 digits

Low-cost basic dual display DMMs for tight budgets 120,000 counts resolution (50,000 count for U3401A) Up to 0.012% DC voltage accuracy 11 basic measurements including DC and True RMS AC and AC+DC voltage and current, selectable 2- or 4-wire resistance (2-wire only for U3401A)

U1190 Series clamp meter

U3606A multimeter/DC power supply

Convenient combination DMM and power supply DMM: 120,000 counts resolution with DC voltage accuracy 0.025% Power supply: Dual range 30 V / 1 A or 8 V / 3 A output with OVP and OCP protection USB TMC 488.2 and GPIB connectivity

U1401B handheld multi-function calibrator/ meter

www.agilent.com/find/quick-quote

Get a quick quote today at

DESIGN & PRODUCTS

POwER SUPPlIES

switches on, effectively creating an on line UPS solution. with todays rising energy prices, pressure to improve energy efficiency and data centre PUE has renewed interest in eco mode operation of on line upSs. This mode allows users to route raw mains directly to their critical load during normal operation to save the energy that would otherwise be lost through the upSs inefficiencies. However, in exchange for this energy gain of perhaps 3%, the critical load is being exposed to mains disturbances and transfer events, as with an off line UPS. Some users will accept this trade-off, especially as they can choose to operate the UPS in on line rather than Eco mode during periods of increased utility grid disturbances.

The bigger picture

Fig. 3: Line interactive UPS with buck/boost transformer. to be unsuitable for their applications because of these power quality and changeover break factors. Another disadvantage of a basic off line system is that, unlike an on line design, it cannot compensate for mains supply voltage limit transgressions. instead it must respond immediately by switching between bypass and the inverter for every transgression event. This can happen frequently if the mains supply is unstable or the critical load has a tight voltage tolerance. apart from subjecting the load to frequent supply interruptions, the battery may have its life reduced or be insufficiently charged as a result. However applications which can tolerate these disadvantages enjoy two key cost benefits from using off line topology. Firstly, an off line UPS reduces capital costs compared with an on line equivalent through using lower-rated components and dispensing with a power rectifier. Secondly, running costs are lower because the charger and inverter, with their inevitable inefficiencies, are not on line during normal operation.

Line-interactive topology choices

Some UPS manufacturers also offer line-interactive UPS systems. These use off line topology, but address the voltage transgression problems of off line systems mentioned earlier. They do so by providing voltage regulation in the raw mains line which supplies the load during normal operation see figure 3. This voltage regulation, by reducing the number of out of limit voltage events reaching the load, lowers the frequency of mains battery transfers. apart from subjecting the load to fewer power interruptions, this design offers slightly better running cost efficiency and less battery wear than off line systems. Line-interactive systems are usually implemented with buck/ boost transformers or ferro-resonant transformers. Buck/boost transformers will maintain their load voltage over an input voltage range of +20% to -30%, however their switching involves tap changes which impose step voltage changes on the load. line interactive implementations based on ferro-resonant transformers can typically supply a load voltage within 3% of nominal over a raw supply range of +20% to -40%. They also provide power conditioning and protection from disturbances such as electrical line noise. However, their key advantage is that they store enough electrical energy to support most pC loads while the upS inverter 22 Electronic Engineering Times Europe July/August 2012

overall, operators responsible for data centres and other critical or sensitive loads prefer the processed, no break power that only on line upSs can provide. However to make the most of the topology and its potential for protection, the upSs should be installed as part of a power scheme that caters for all eventualities. For example, suppose the UPS rectifier and bypass line are fed from a common power source, which at some time suffers a power failure period exceeding the autonomy of the UPS battery. The upS has no further options, so power to the critical load is lost. in some applications this may be acceptable, because the upS battery autonomy provides enough time for the critical load to be shut down systematically and safely. in others, this will not be enough; any loss of service is unacceptable. One solution is to use a configuration known as a split bypass system, in which the bypass line and UPS rectifier are connected to different mains supplies. This means that if the UPSs supply fails and its battery becomes exhausted, the UPS can switch to the still-healthy bypass line to deliver continued although unprotected - power to the load. another widely used solution is to connect a standby generator as an alternative feed to the upS. if the upS detects a mains failure which is more than a transient problem, it can send a start-up signal to the generator. This can then run up to speed and stabilise within the upS battery autonomy, so the load enjoys continued clean power without interruption. as well as protecting the load from power supply problems, the upS must also manage problems caused by the load itself. The upSs response to an overload may be to transfer it to bypass but if the bypass supply is not available, this will simply deprive the load of power. a better alternative can be to allow the upS to supply the overload for a set period; enough for automatic disconnection by a protection fuse or circuit breaker. during this period, the upS itself can be protected by being operated in current-limited, reduced voltage mode, which is preferable to a total loss of power. in summary we can say that for critical applications or those involving sensitive equipment, on line upS topology is the only real solution, due to its delivery of conditioned power and seamless transfer to battery. For less critical applications, off line topology may be suitable, and is attractive due to its reduced capital and operating costs. Line interactive systems offer an improvement on off line topology, with better power conditioning and regulation, and reduced battery wear. Ferro-resonant transformer line interactive solutions also offer seamless load transfer. Pressure to reduce puE has increased interest in Eco mode operation, but this shares most of the characteristics and disadvantages of off line topology. The best possible power quality and availability results come from on line upS systems designed into an overall power protection strategy.

www.electronics-eetimes.com

Adding Bluetooth wireless connectivity NEW to handheld digital multimeters can improve productivity and safety in numerous ways.
You can now: View measurements made by up to three DMMs simultaneously Work from up to 10 meters away from hazardous or inaccessible measurement sites, Monitor and log data using your smartphone or tablet Share data via email or SMS. To enable these wireless capabilities, simply attach the Agilent U1177A Infrared (IR)-to-Bluetooth adapter to the IR port of any Agilent handheld DMM and install the free Agilent Mobile Meter and Mobile logger apps for Android devices. To learn more, visit:
Current country type approval allows the U1177A to be shipped only to the following countries: Australia, Brazil, Canada, China, Colombia, El Salvador, European Union, Guatemala, Hong Kong, Iceland, India, Israel, Japan, Korea, Liechtenstein, Malaysia, Mexico, New Zealand, Norway, Panama, Singapore, Switzerland, Taiwan, Thailand, United States. See page 7

www.agilent.com/find/hhgowireless-bluetooth

Agilent Advantage service pack*

Add a premium warranty to your instrument order and receive the most comprehensive coverage in the industry. Easy to buy, easy to register, the Advantage pack provides no worry coverage for you and your business. peace of mind and absolute confidence in your test measurements.

www.agilent.com/find/advantagepack
* Advantage Pack is available in the United States, Canada and the 27 member states of the European Union.

Bluetooth and the Bluetooth logos are trademarks owned by Bluetooth sIg, Inc., U.s.A. and licensed to Agilent Technologies, Inc. Technical data and pricing subject to change without notice.

NEW
The U1600 series handheld scopes get even more versatile, and the 3000 X-Series gets a bandwidth boost to 1 GHz. See page 5.

Data Source: Agilent Technologies customer database published in spain, June 1, 2012 Agilent Technologies, Inc. 2012 5991-0059EEE

DESIGN & PRODUCTS


Rugged AC/DC power unit targets railway applications with 400w output

POwER SUPPlIES

Absopulse Electronics has introduced the POL 400R Series railway quality, ac/dc switch mode power supplies. The units meet relevant sections of EN50155 for electronic equipment used on railway rolling stock. The devices also comply with EN50121-3-2 and EN55022 Class B conducted and radiated EMI and applicable EN61000 standards. The 400 W power supplies operate from input sources of 115 Vac (97132 Vac range) or 230 Vac (195-264 V) and deliver outputs of 12 Vdc, 24 Vdc, 36 Vdc, 48 Vdc or 110 Vdc. Efficiency is between 80 and 90%, depending on the input/output configuration required. The POL 400R Series has comprehensive protection features including 3000Vdc input/output isolation, overload protection and current limiting. An optional output redundancy diode allows for parallel connection to achieve higher output power or N+1 redundancy. Other options include an output fail alarm and an LED adapter can be installed on the terminal block to indicate an output ON condition. The POL 400R units are conduction cooled and operate in a -40 to +70C cold plate temperature range for full specification. Housed in rugged, compact 131x66x232mm enclosures, the power supplies are fully encapsulated in a thermally conductive MIL-grade silicon rubber compound, which protects against high levels of shock and vibration (EN61373/IEC61373), moisture, dust and other contaminants. ABSOPULSE Electronics www.absopulse.com

Open frame 40w, AC/DC power supplies on a 102x51mm PCB

Available now from MicroPower Direct, the MPO-40S series is a family of high performance 40W open frame AC/DC power supplies fabricated on a compact 102x51mm PC board. They offer the small size, robust performance and economy required by a wide variety of OEM applications. Eight standard models operate from a universal input of 90 to 264 VAC, providing tightly regulated single outputs of 3.3, 5, 9, 12, 13.8, 15, 24, or 48 VDC. Standard features include filtering to EN55022 Class B, compliance to EN 61000-4, and tight line/load regulation. All models are protected for over load, and short circuit faults. The MTBF of the MPO-40S (per MIL HDBK 217F) is greater than 400 khours. All models are approved to EN 60950. Each model is rated for operation over the wide operating temperature range of -10C to +70C (ambient). MicroPower Direct www.micropowerdirect.com

300-w 3U CompactPCI power supplies target ruggedised industrial and marine applications

3U form-factor PSU delivers 400w of power configurable to five DC outputs

Pulse Electronics 3U form-factor PSU for VPX applications is now available with optimized Positronic P47 connections and can be configured to deliver more than 400 W of power plus provide an additional high power 28 V protected output. Pulse Electronics 3U form-factor power supply unit (PSU) is now available with optimised Positronic P47 connections allowing delivery of more than 400 W of power. The PSU, which is intended for military and aerospace VPX-based applications, comprises a number of Pulse Electronics high-reliability, planar technology power modules and can be configured to have up to five DC outputs. For example, one configuration might be: +12 V, -12 V, +5 V, +3.3 V and an auxiliary +3.3 V; all referenced to a common 0 V. Of these output voltages, +12 V tends to be the one of which the highest power and current are now demanded, rather than the historic high current outputs for either the 5 V or 3V3, in light of the trend to down-regulate closer to processors. Through the use of optimised P47 connections, and feeding out on up to four pins rather than the single pin, some 25 A (i.e. 300 W) of peak power can be provided on the +12 V output. The use of P47 connections also means the PSU can be configured to provide a 28 V protected output (rated up to 320 W). Pulse Electronics www.pulse-electronics.co.uk

Gresham Power Electronics has launched ruggedised versions of the companys 300 W, 3U cPCI power supply. Available in 24 VDC, 48 VDC and universal AC input versions the ruggedised power supply range has a conformal coating for extreme industrial, MIL/COTS and marine applications. Fully compliant to the PICMG 2.11 Power Interface Specification the AC 3U 300R provides 300W maximum power in standard 3U x 8HP shelf space with interconnection via a Positronic 47 pin connector. The new cPCI power supplies are hot-swap and parallel redundant enabled. Extra-high power density allows up to 40 A to be drawn from the +3.3 VDC output and 30A from the +5 VDC output at 55C. The two main outputs feature remote sense and OR-ing diodes allowing single wire current sharing for increasing output power or n+1 redundant operation. The power supply has control signals for overtemperature, over voltage and over current protection as well as power-good and inhibit for systems integration. Input good, power fail and over temperature front panel LED indicators are also fitted. The new Gresham ruggedised CompactPCI power supplies are industry standard size, 3U x 8HP, and have the customary +5 VDC, +3.3 VDC and 12 VDC outputs. The AC input model has a universal input from 90264 VAC and active power factor correction. Very high efficiency and power density are achieved by employing independent parallel converter topology and state of the art synchronous rectification, low profile magnetics and a high degree of surface mount assembly. Gresham Power offer a very wide range of 24 VDC, 48 VDC and AC input cPCI products including the market leading CPCIAC-6U-650 6U 650 W output model. The DC input versions of cPCI power supplies are suitable for vehicle and ground station installations while the AC models meet conventional rack based requirements. Gresham Power Electronics www.greshampower.com www.electronics-eetimes.com

24 Electronic Engineering Times Europe July/August 2012

Small footprint power supplies are extended to 3-kV

UltraVolts has increased the voltage levels of its V Series and M Series of microsize, micropower products by up to 100%. V Series and M Series modules are now offered at 0 to 2kV, 0 to 2.5 kV, and 0 to 3 kV with 5, 12, and 24 V inputs. These new extended voltages also come with an Enhanced Interface (EI) Option, which adds a current monitor and enable pins. V Series and M Series modules offer programmable regulated output, high accuracy, and low ripple (0.01% peak to peak). The volume for the V Series is just 13.8cm3, and the volume for the

M Series is 20.9cm3. The V Series and M Series are optimal for handheld devices and lightweight systems. Typical applications include avalanche photo diodes (APD), photomultiplier tubes (PMT), X-ray imaging sensors, Pockels cell, q-switches, and micro-channel plates. UltraVolt www.ultravolt.com

150 and 200 watt IP67rated lED power supplies

Excelsys Technologies LDB 150 LED and LDB 200 power supplies provide 150 watts and 200 Watts of

Ecient POWER
from the wall to the point of load

SOLUTIONS

TM

constant current/constant voltage power respectively in an IP67 rated, low profile, aluminium case. Measuring only 198x63x35mm with the UL8750 and CE markings, the LDB150 and LDB 200 series each feature two models offering 24V or 48V nominal output voltages. The units offer constant voltage operation up to their full load capability and then constant current operation down to 50% of their nominal output voltage. An extended universal AC input range of 90-305VAC with active power factor correction with high efficiency operation up to 92.5% make these units ideal for green lighting applications. Additional features include -30 to +70C operating temperature, built-in over-current protection, over-voltage protection, over temperature protection and shortcircuit protection high line and load regulation, an MTBF of 1,000,000 hours per Telecordia SR-33 and both models are backed by a 5 year warranty. Custom output voltage and output current set-points are available for OEM applications. Excelsys Technologies www.excelsys.com

10 W~400 W
Intermediate Bus l Point of Load

Digital Power Modules

0.25 W~600 W
Dc-Dc Converters
Board Mount l Chassis Mount

1 W~2400 W
Open Frame l Chassis Mount l External

Ac-Dc Power Supplies

With a continuous focus on eciency, density, and system optimization, we keep our customers on the leading-edge of the power curve.

www.cui.com/power

www.electronics-eetimes.com

Electronic Engineering Times Europe July/August 2012 25

Exhibitors (Extract, Status June 2012)


ACCRETECH (Europe) GmbH acp-IT (advanced clean production Information Technology) AG Advanced Vacuum Europe AB AES motomation GmbH AG Semiconductor Service Altatech Semiconductor Amcoss GmbH Applied Materials Arias GmbH Asahi Diamond Industrial Co. ATM Vision AG / ATM Group ATT Systems GmbH ATV Technologie GmbH Swagelok Berliner Glas KGaA Herbert Kubatz GmbH Bosch RexRoth AG Brooks Automation camLine Datensysteme GmbH Camstar Systems Centrotherm Thermal Solutions GmbH cleanpart Dresden GmbH COLANDIS GmbH Compugraphics Jena GmbH Comvat AG CWE Economic Development Corporation City of Chemnitz Dainippon Screen (Deutschland) GmbH Dalau DAS Environmental Expert GmbH DELTA DISCO HI-TEC EUROPE GmbH DMS (Dynamic Micro Systems) GmbH Draeger Safety AG DTU Danchip Ebara Precision Machinery Europe GmbH ePAK International Equip-Test GmbH ERS Electronic GmbH ESL Elektronik GmbH E-Tech Solution Euris GmbH EV Group Europe & Asia/Pacic GmbH Evatec AG Faeth GmbH Feinmetall GmbH Ferrotec GmbH Fraunhofer ENAS- Institute for Electronic Nano Systems Fraunhofer IZFP Dresden FUJIFILM Electronic Materials (Europe) N.V. GCE GmbH Gold Tech Industries Hager+Elsaesser GmbH HAP GmbH Dresden Heateex Corporation HORIBA Europe GmbH H-Square Corporation HTT, High Tech Trade GmbH INFICON GmbH Inneon Technologies Dresden GmbH Innolas Semiconductor GmbH Isel Germany AG John P. Kummer GmbH JSR Micro NV KITZ SCT Corp. KoCoS Automation GmbH Kurt J. Lesker Company Leica Microsystems Semiconductor GmbH Levitronix GmbH Leybold Optics GmbH Logitech M+W Group Maicom-Quarz GmbH MCRT Micro CleanRoom Technology GmbH Micro Resist Technology GmbH MicroChem Corp. MicroChemicals GmbH Microcontrol Electronic SRL Moog Industrial Group MueTec GmbH MULTI-LAB Quartz TEC Neaspec GmbH OC Oerlikon Balzers Oxford Instruments Plasma Technology Pall GmbH Peter Wolters GmbH Photon Control Photronics MZD GmbH Physik Instrumente (PI) GmbH POSAS GmbH PVA TePla AG PVA TePla Analytical Systems GmbH QuantumClean Quarld - Quartz World Ramgraber Semiconductor Equipment GmbH Roth & Rau - Ortner GmbH SAMCO Saxony Schenker AG NMI SEMI Europe Grenoble Office Semilab Semiconductor Physics Laboratory Co. SemiQuarz GmbH SEMPA Systems GmbH SENTECH Instruments GmbH Servometer Precision Manufacturing Group Siconnex customized solutions GmbH Silicon Saxony e.V. Solar Semi Engineering solarsemi GmbH Solid State Equipment SPEA GmbH SPS-Europe B.V. SPTS Technologies Surplusglobal SYNOVA SA. Systema GmbH Technic France TELTEC Tempress Systems, B.V. Thales Communications and Security VAT Deutschland GmbH Vistec Electron Beam GmbH VON ARDENNE Anlagentechnik GmbH Watlow GmbH Yole Developpement znt Zentren fuer Neue Technologien GmbH

SEMICON Europa is the place to se

technologies, and people driving t

nanoelectronics design and manuf MEMS 3D IC Technology Advanced Packaging and Test Solid State Lighting / LED Semiconductor Manufacturing Fab Automation / Enhancement Lithography / EUV 450mm Secondary Equipment Research (Science Park)

Plastic, Organic and Large Area Electro

The Power

For more information please con SEMI Europe Helmholtzstrasse 2-9 10587 Berlin, Germany

Te

se

ww

Co-located with:

Event Sponsors:

www.plastic-electronics.org

UROP
911 October
Messe Dresden, Germany

EUV LED/SSL 450mm

ee the leading companies,

the future of micro- and

facturing.

The Power of [Europe]


More than Moore 3D IC MEMS Fab Automation Equipment

onics

INFORMATION
350+ Exhibiting Companies 41 Programs and Events

r of [Europe]

ntact:

Plan your trip now and register online!

el: +49 30 3030 8077 0

emiconeuropa@semi.org

www.semiconeuropa.org

ww.semiconeuropa.org

Co-located with:

www.plastic-electronics.org

www.semiconeuropa.org

Event Schedule
Programs and Events: 8-11 October 2012 Exhibits: 9-11 October 2012 Messe Dresden, Germany
Exhibition
Register now online!

TechARENA 1
Free Participation - Hall 1

TechARENA 2
Free Participation - Hall 2

Conferenc

MEMS, Test, Pack

Monday 8 October

International MEMS Industry Forum New Dynamics in th Industry

Tuesday 9 October

Exhibition 10:00 17:00 Show Floor Highlights: - Science Park - Secondary Equipment and Services Pavilion - MEMS, Test and Packaging Pavilion - PE2012 Exhibition

New Materials Session

Packaging Session Exhibitor Presentations PE 2012 Exhibitor Presentations

International MEMS Industry Forum New Dynamics in th Industry

MEMS Exhibitor Presentations Advanced Process Control (APC) and Manufacturing

Secondary Equipment and Technology Session

Advanced Packaging Manufacturing Confe Packaging Solution New Technologies

Wednesday 10 October

Exhibition 10:00 17:00 Show Floor Highlights: - Science Park - Secondary Equipment and Services Pavilion - MEMS, Test and Packaging Pavilion - PE2012 Exhibition

Test Exhibitor Presentations Market Briefing

Workshop on Equipment Assessment & Equipment Performance Improvements

Advanced Packaging Manufacturing Confe Packaging Solution New Technologies

Metrology, Process Control, Automation and Software

14th European Manuf Test Conference (EM Overcoming New Te Challenges through Cooperation and Inn

Thursday 11 October

Exhibition 10:00 16:00 Show Floor Highlights: - Science Park - Secondary Equipment and Services Pavilion - MEMS, Test and Packaging Pavilion - PE2012 Exhibition

3D IC Session

Lithography Session

14th European Manuf Test Conference (EM Overcoming New Te Challenges through Cooperation and Inn

LED / SSL Session

Event Sponsor

ces
Semiconductors

Exec. Programs
Business & Market
www.plastic-electronics.org

Standards
Free Participation

kaging

S/MST

16th Fab Managers Forum Networking Evening

PV Equipment Interface PV Wafer Traceability PV Automation

he MEMS

S/MST

he MEMS

Plenary Session

g erence ns for the

6th Executive Summit and Reception SAXONY! - Get Together

Plastic Electronics Conference Parallel Sessions - OLEDs for Displays and Lighting - Flexible and Organic PV - Integrated Smart Systems

Photovoltaic Materials Equipment Automation

g erence ns for the

SPECTARIS-Forum Semiconductor Production Technology (organized by SPECTRARIS)

Third European Cluster Forum

Plastic Electronics Conference Plenary Session

Equipment Automation Silicon Wafer Gases Chemicals

facturing MTC) est h novation

Plastic Electronics Conference Parallel Sessions - OLEDs for Displays and Lighting - Flexible and Organic PV - Integrated Smart Systems

Silicon Wafer Gases Chemicals

450mm Session Progress in 450mm

facturing MTC) est h novation

450mm Session Progress in 450mm

SEMI Members and Exhibitors Breakfast

Plastic Electronics Conference Plenary Session Parallel Sessions - OLEDs for Displays and Lighting - Flexible and Organic PV - Integrated Smart Systems

Compound Semiconductor Materials Silicon Wafer Environmental Health & Safety

rs:

Compound Semiconductor Materials HB-LED

Courses organized by CEI www.cei.se | www.semiconeuropa.org/courses

16th Fab Managers Forum Conference

Press Conference

Plastic Electronics Conference

PV Ribbon PV Silicon Materials

CEI-Europe Courses in Advanced Technology

DESIGN & PRODUCTS


AC/DC lED driver powers multiple luminaires
Power electronics manufacturer Phihong has developed a 72W constant voltage LED driver designed to power area, wash, and architectural lighting in harsh environments. Designated the PDA072B-24VG, the driver has a Class 2 output of 24VDC at 3A and is compliant with the Energy Star specifications regarding solidstate lighting luminaires. According to the vendor, the new outdoor-compatible driver is suited for powering multiple LED lamps in parallel, eliminating the need for individual drivers. By combining a constant voltage driver with individual driver ICs, users enjoy greater flexibility when de-

POwER SUPPlIES

signing custom lighting projects. Designed for use with separate driver ICs to power several luminaires from a single source, the PDA072B may be used in a wide range of environments, both damp and dry. The fully potted, water-resistant driver has an ingress protection rating of 65 and is operable in temperatures ranging from -40C to +60C. The unit also features power factor correction greater than 0.9, per Energy Star specifications for power supplies in SSL luminaires, and bears safety approval markings from UL and CE. Fully equipped with over-voltage, over-current, short-circuit, and open circuit protections, the 72W power supplys minimum average efficiency rating has been measured at 84% for 120VAC input and 88% for 277VAC input. It also features a measured lifespan of 50,000 hours at maximum load. The PDA072B-24VG carries a standard 5-year warranty, measures 241x43x30.5mm, and weighs 750 grams. Phihong www.phihong.com/LED grammable by resistance, external voltage or optional PMBus compatible IC communication interface. Output current is external voltage or optional PM bus programmable. The HFE2500-12, -24 and -48 power supplies, with dimensions of 325x107x41mm, can be used individually or up to four units can be mounted into a dedicated 1U rack delivering 10 kW. A total of 8 units can be configured in parallel to form a hot swap N+1 redundant power system with single wire current sharing. A keying system prevents mis-insertion of modules into adjacent racks of differing voltage. Each power supply has variable speed, temperature-controlled cooling fans and can operate in temperatures ranging from -10 to +70C. Over voltage, over current and over temperature are standard protection features. For system monitoring, there are signal returns for DC OK, AC-fail, over temperature and PS exist. Remote ON/OFF control and remote sense are included. TDK-Lambda www.uk.tdk-lambda.com

2.5-kw 1U hot swap, front end power supplies support distributed power architectures

TDK-Lambda Germany, a group company of the TDK Corporation, expands the HFE series of 1U high, single output AC-DC hot swap, front end power supplies with the addition of 2.5 kW models. Offering an industry leading power density of 29 W/in3, the HFE2500 power supply is equally well-suited for 2.5 kW bulk power and Distributed Power Architecture (DPA) applications. All HFE2500 models feature full current-sharing capabilities and internal ORing MOSFET for isolation to support hot-swap and redundancy application. Operating from a universal 85 to 265 Vac input, the HFE2500 features high efficiency of up to 93%. Up to 20% output voltage adjustment is possible. Output voltage is pro-

Trojan battery expands industrial line with new 2-V designs to enhance transport applications

Trojan Battery Company has expanded its Industrial line offering of deep-cycle batteries for renewable energy and backup power applications to include two new sizes. The new 2-volt additions are designed to make transport and installation of the batteries easier as well as offer more design flexibility for a variety of photovoltaic (PV) applications. The new Industrial batteries include the IND27-2V model featuring a 1457Ah capacity at C20, and the IND33-2V version that offers a 1794 Ah capacity at C20. The Industrial line is designed to support large daily loads where the batteries are cycled regularly in a range of PV systems including micro-grids, off-grid resorts, rural telecom applications and more. To enhance safety, the new Industrial batteries also feature customizable terminal protectors which increase protection against shock or short circuiting and terminal corrosion. The protectors feature perforated cut-outs along the sides and top enabling them to be customized to fit the specific cable configuration required by customer. Trojans Industrial line is specifically designed for deep-cycle use and optimized for deep discharge and recharge cycles characteristic of renewable energy systems. It is engineered for high-energy requirements delivering 1,500 cycles at 80 percent depth-of-discharge, and features advanced battery technologies that provide optimum performance. The 26 Electronic Engineering Times Europe July/August 2012

Industrial line features the following unique design elements. The 2-volt cells of the new Industrial line additions are assembled in a rugged polypropylene housing designed to protect the internal plates from damage that may occur during transport and installation. The cells are enclosed in a larger polyethylene outer case that protects against damage caused by harsh environmental conditions such as moisture and dirt buildup, as well as safeguards against potential acid leaks. For added protection the thick-walled case features a lattice-design that reinforces the outer cases structural integrity. This dual container construction provides added protection against extreme temperatures. Engineered for high-energy requirements, the Industrial line features the following advanced battery technologies that provide optimum performance. Trojans Alpha Plus Paste is a proprietary, high-density paste formulation precisely engineered to deliver outstanding battery performance. This high-density paste optimizes porosity development in the active material utilizing the active material more effectively resulting in sustained battery performance over a longer period of time. Trojans T2 Technology features a patent-pending T2 metal agent which is incorporated into Trojans Alpha Plus Paste further strengthening the electrochemical processing capabilities of the paste. Trojan Battery Company www.trojanbatteryRE.com www.electronics-eetimes.com

60w DC/DC converters packaged in a 25.4x25.4mm case

Available immediately from MicroPower Direct, the C6000RU series is a family of 60W DC/DC converters packaged in a compact, 25.4x25.4x12.7mm metal case. Eight models operate from wide 4:1 inputs of 9 to 36 or 18 to 75 VDC; providing single outputs of 3.3, 5, 12, or 15 VDC. Standard features include efficiency to 90%; a line/ load regulation of 0.5% maximum; I/O isolation of 1,500 VDC; and an output trim capability. All models have output over voltage protection and continuous short circuit protection (with autorecovery). Also standard is a remote On/Off control input. The MTBF (per MIL HDBK 217F) of the C6000RU series is greater than 888,000 hours. The pin-out is industry standard and each model is specified for full power operation over the wide temperature range of -40 to +40C ambient with the optional heatsink attached (15 VDC output models operate to +50C). MicroPower Direct www.micropowerdirect.com

Superior Solutions for

Industrial.

li-ion battery protection ICs target E-Bikes, power tools and electrical vehicles

Rohm Semiconductor released a broad line-up of ICs providing all functions needed for controlling, monitoring and protecting systems using multiple-cell series-connected Li-ion battery packs. The ML5207, ML5208, ML5235 and ML5237 Li-ion battery management ICs are low consumption current protector ICs compatible with multiple-cell series-connected Li-ion battery packs (five to ten respectively 13 cells), detecting the over-charge or over-discharge and over-current of each cell. They also integrate the Gate driver for an automatic On/Off control of the external PMOS-FET or N-ch FET (depending on the version) for the charge and discharge. Based on the results of the voltage control of each cell or the entire battery pack, as well as the monitoring of the charge and discharge current, an external MCU can perform corresponding actions to protect the batteries and to prevent the system to get into an irregular state. For a complete protection solution, Rohm also offers the MCU ML5227 which can be used in combination with the battery monitoring IC. The monitoring IC can supply 3.3V power supply to the MCU so that no external voltage regulator is needed. The MCU is an 8bit high-performance controller based on the low power Microcontroller series ML610Q4xx with integrated Flash memory to store the register settings. Another chip, the ML5218 is a Li-ion battery monitoring IC for packs of four to 14 cells especially designed for the use in automotive applications. It features a highly accurate voltage measurement function to detect overcharge/over-discharge, passive cell balancing and the possibility of four temperature measurements with externally connected sensors. ROHM Semiconductor www.rohm.com/eu

Aluminum electrolytic capacitors for high ripple currents PFC products for energy saving and power quality EMC and sine-wave filters for currents up to 8 kA X and Y capacitors for EMI suppression

Common-mode chokes for high currents Varistors and surge arresters for overvoltage protection Power electronic capacitors with high reliability High-current chokes for power supplies

MLCC mega caps with high ripple current capability Rare earth and ferrite magnets

SMT power inductors with high reliability Large ferrite cores

www.tdk.eu www.epcos.com

www.electronics-eetimes.com

Electronic Engineering Times Europe July/August 2012 27

DESIGN & PRODUCTS

MEDICal ElECTRONICS

Wafer-scale CMOS X-ray imaging for medical applications


By Paul Double and Dr. Renato Turchetta
TheRe is an incReasing interest in the use of solid-statebased X-ray medical imaging and detection systems in the replacement of conventional diagnostic imaging techniques. One of these technologies is wafer-scale cMOs-sensor based imaging, which can bring key advantages in terms of performance such as high resolution, high dynamic range and lownoise capabilities. Additionally, it can offer significant system cost advantages for X-ray imaging applications, although it can come with an initial penalty in terms of design complexity in the development of the cMOs sensor. quirements for mammography applications. additionally, any 2xn sensor arrangements are possible, thus making the device ideal for applications that demand even larger area coverage, such as chest imaging or security Fig. 1: A three-sided buttable sensor design scans. with readout circuitry designed on one single Traditionally edge allows for the sensors to be tiled cMOs imagtogether in a 2x2 arrangement. ers have the required electronic circuitry implemented on two sides of an imaging array to address the individual sensor pixels. To achieve this three-side buttable design, the design group developed innovative electronic circuitry intellectual property to implement the necessary pixel readout and row-addressing driver functions on just one edge of each sensor see figure 1 - with extra circuitry embedded in the actual pixel array; while, crucially, also maintaining a high degree of image quality.

Image sensor target area

Because of the absence of a lens for cMOs-imaging-based X-ray applications, the size of an image sensor has to match the size of the target area. a sensor measuring 139x120mm, for example, is usually adequate for some medical applications such as extra-oral panoramic dental imaging. however it is not sufficient for most medical applications such as mammography, which requires a sensor that is approximately 290x240mm in size. For chest radiography or other applications such as full body scanning for security purposes, an even more extensive sensor area is likely to be necessary. Therefore imaging applications such as X-rays will require a field of view in excess of that covered by a single-wafer based imager even if the sensor is manufactured using 300mm wafers, the largest wafer size commercially available today. Therefore, several sensors need to be tiled to meet application needs. although this approach can generate dead or low-sensitivity lines, such single-line defects can be tolerated in most applications. at the edge of the wafers, the goal is to lose not more than one line of pixels, so that tiled versions will still generate high-quality images.

Design flexibility and binning

A three-sided buttable sensor design

Based at the science and Technology Facilities councils (sTFc) Rutherford appleton Laboratory at harwell in the UK, the cMOs sensor Design group has been designing full-custom image sensors for scientific applications since the 1990s. It has developed a high-resolution and radiation-hard waferscale digital cMOs image sensor prototype aimed at use in X-ray medical imaging and more specifically mammography and digital tomosynthesis, the advanced diagnostic technique that is used to generate 3D representations of patients or other scanned objects. a unique feature of this sensor is that it has sensing pixels right up to the edges on three sides. This allows multiple sensors, manufactured on cost-effective 200mm silicon wafers, to be butted or tiled together in a 2x2 arrangement to form a significantly larger imaging area and to meet the rePaul Double is Managing Director of eDa solutions www.eda-solutions.com he can be reached at pauldouble@eda-solutions.com Dr. Renato Turchetta is cMOs sensor Design group Leader in the Rutherford appleton Laboratory, science and Technology Facilities council (sTFc) - www.stfc.ac.uk he can be reached at renato.turchetta@stfc.ac.uk 28 Electronic Engineering Times Europe July/August 2012

The full-custom-design sensor, which offers a focal plane of 139.2x120mm, features 6.7-million (2800x2400) pixels on a 50-micron pitch and 32 analogue outputs. it also features low noise, a high dynamic range and a programmable regionof-interest readout. each pixel is constructed from a basic three-transistor (3T) base with a low-noise partially pinned photodiode, offering charge-binning capability to deliver its high signal-to-noise characteristics. Pixel binning essentially combines a cluster of adjacent pixels into a single pixel. For example, in 2x2 binning, an array of four pixels becomes a single larger pixel, reducing the overall number of pixels available on the sensor. The sensor can offer a very high frame rate of 40 frames per second at full resolution and binned images can be read at an increasingly faster rate. The high frame rate makes the sensor suitable for applications, such as digital tomosynthesis, that demand fast acquisition of multiple images. The design has a high degree of built-in flexibility: binning can be achieved on the sensor in both directions in steps of two or four. Binning also changes the effective pitch of the image to 100 or 200 microns from the fundamental 50-micron pixel pitch. Binning in the row direction also increases speed by at least a factor proportional to the binning factor. additionally, Region-Of-interest (ROi) readout can be implemented. The ROis can be programmed and the position of up to six ROis can be stored on the sensor. high dynamic range can be achieved by reading the sensor multiple times. The timing information is also www.electronics-eetimes.com

programmed and stored in the sensor before the start of a new image acquisition. clearly, as the overall end-application sensor is stitched, it is fairly straightforward to generate a sensor with different numFig. 2: A truly wafer-scale sensor, each unit requires a full 200mm wafer for its production. ber of pixels in either direction. and of course, the image sensor can be further redesigned with the modification of key parameters, depending on application demands.

the design group worked with TowerJazz which provided the 120x145mm image sensors (each using an entire 200mm silicon wafer for their production) based on its cutting-edge and highyield specialty 180/350-nanometer dual-gate cMOs image sensor (cis) process technology. This cis technology process enables the customization of pixels, according to project needs for many digital imaging applications, and offers excellent dark current, low noise and dynamic range performance characteristics. importantly, the teams from the sTFc, Tanner/eDa solutions and TowerJazz have managed to achieve a first-right-time design with no prerequisite for any initial prototype design. Testing and full characterisation of the sensor is now in progress.

How can you get your idea to market rst?

Performance comparisons

Typical conventional si-TFT (Thin-Film Transistor) is the current dominant technology used in digital-based X-ray imaging applications, which clearly has significantly fewer limitations in terms of panel size, but only offers limited performance characteristics including a frame rate of only a few frames per second maximum. also, in comparison to the sTFc sensor, other wafer-scale cMOs imagers currently being developed offer highly competitive readout performances, but in most cases do not come close in terms of frame rate. Overall, the STFC sensor offers a highly competitive combination of readout speed versus spatial resolution; both of these factors are crucial for digital tomosynthesis mammography. in addition to these advantages, the sensor provides noise significantly below 100 e-rms, whereas competitive imagers offer noise figures of well above 100 e-rms, some delivering more than 1000 e-rms.

On the road to innovation, speed wins.


Accelerate your R&D and beat the competition to market. Instant access to over 3 million top-cited technology research documents can save hoursand keep your ideas in the fast lane.

Analogue design and manufacturing

For the design of the sensor, the sTFc group worked very closely with eDa solutions, the sole representative for Tanner eDa in europe. The designers used Tanner Tools Pro, in conjunction with Tanners hiPer Verify tool. The Tanner tools were extremely well suited for the complexity of the analogue architecture in the design, and especially for the development of the innovative pixel-addressing iP, which was almost entirely analogue circuitry with only a small amount of on-chip digital logic. concerning the sensors production, www.electronics-eetimes.com

IEEE Xplore Digital Library


Discover a smarter research experience

Request a Free Trial


www.ieee.org/tryieeexplore

11-PIM-0420i_Xplore_Market_5x7.875_Final.indd 1

Electronic Engineering Times Europe July/August 2012 29

8/26/11 10:46 AM

DESIGN & PRODUCTS

MEDICal ElECTRONICS

Ultra-low power radio drives continuous medical monitoring with low-cost batteries
By Reghu Rajan
The advenT of wiReless personal area networks (wPans) and wireless body area networks (wBans) has created the need for sensing and monitoring solutions that can support continuous data streaming with extremely low power consumption. Todays wearable medical systems are targeted at applications including on-site and remote patient monitoring, mobility therapies and the management of diseases such as sleep apnea, and are used in environments where frequent battery replacement would be difficult and expensive. While solutions for these short-range applications previously required aa or aaa batteries, they can now be powered by a new generation of micropower batteries as long as power efficiency is optimized. Now, the advent of ultra-low-power short-range radio transceivers is enabling low-cost button cell or small lithium ion batteries to support continuous data streaming in wPans and wBans for up to two weeks before replacement. wPans occupy a network space around an individual that covers the living or working space nearby (typically up to ten meters), and are implemented with protocols such as Bluetooth and Zigbee. wBans occupy a smaller wireless space of approximately one meter around a person and are used for sensor communication associated with the human body. applications have expanded from heavily duty-cycled spot measurement to more data intense continuous links. There are a variety of uses for this technology in hospital and clinical facilities, clinical home monitoring and ambulatory applications, and consumer health and fitness - see figure 1. Many issues must be considered when selecting a shortrange radio transceiver capable of optimizing power efficiency in these networks. among these, power supply voltage is particularly important. Most sensors run on a single battery cell depending on chemistry, so sub-2 volt supply voltages are preferable. This means that short-range radio transceivers must be designed for low-voltage operation ideally, down to 1.1 V in order to optimize design flexibility and reduce power management constraints. in contrast, radios that operate at 2.5v consume twice as much power as those with the same current consumption operating at 1.25V. Operating at higher voltage is only required when output power in excess of 5dBm is needed. in short-range applications, output power rarely exceeds 0dBm. other key power supply considerations include the ability to maintain transceiver and receiver performance, and the use of a current profile without excessive peaks to fit supply impedance. another key issue is peak current. almost all wireless-based sensor networks rely on some level of duty-cycling to save power and restrict the usage of radio space, which generates peaks in the current consumption profile of the sensor. Low peak current consumption in the radio transceiver reduces constraints on the wireless sensors power supply. output impedance is also important, as it has a major effect on power amplifier (PA) power consumption. Most radios have output impedance below 100 Ohms. Low impedance is only required for Reghu Rajan is Technical Marketing Manager in the wireless Machine to Machine group at Microsemi - www.microsemi.com 30 Electronic Engineering Times Europe July/August 2012

Fig. 1: External sensing use cases and technology requirements. high-output-power, long-range applications, however, and results in up to five times higher current consumption than higheroutput impedance options that are more suited for short-reach wireless interconnect applications. overall, assuming a similar receiver sensitivity and PA efficiency, a high impedance 900MHz radio would use only 1mW in its PA to achieve the same range as a 50-Ohm 2.4GHz radio using 25mW to 40mW of power. The choice of carrier frequency also influences power consumption. The two available options within the medical (isM) radio band are 2.4GHz or sub-GHz frequencies. The most prevalent 2.4GHz protocols are Wi-Fi, Bluetooth and ZigBee. in low-power and lower-data-rate wireless medical monitoring applications, however, sub-GHz wireless systems offer several advantages, including reduced power consumption, as well as longer range for given power. The Friis Equation quantifies the superior propagation characteristics of a sub-GHz radio, showing that path loss at 2.4GHz is 8.5dB higher than at 900MHz. This translates into a 2.67 times longer range for a 900MHz radio since range approximately doubles with every 6dB increase in power. To match the range of a 900MHz radio, a 2.4GHz solution would need greater than 8.5dB additional power. Another benefit of sub-GHz carrier frequencies is that they reduce the risk of interference from airways that are crowded with colliding 2.4GHz Wi-Fi, Bluetooth and ZigBee signals used in in everything from wireless hubs and computers to cellphones and microwave ovens. Sub-GHz isM bands are mostly used for proprietary low-duty-cycle links and are not as likely to interfere with each other. The quieter spectrum means easier transmissions and fewer retries, which is more efficient and saves battery power. Furthermore, the narrower sub-GHz bandwidth creates higher receiver sensitivity and allows efficient operation at lower transmission rates. For example, at 300MHz, if the transmitter and receiver crystal errors (XTAL inaccuracies) are both 10 ppm (parts per million), the error is 3kHz for each. For the application to efficiently transmit and receive, the minimum channel bandwidth is two times the error rate, or 6kHz, which is ideal for narrowband applications. The same scenario at 2.4GHz requires a minimum channel bandwidth of 48kHz, which wastes bandwidth for narrowband applications and requires substantially more operating power. Carrier frequency also has a major impact on the average power budget at the network level. Zigbee and Bluetooth offer highly sophisticated link and network layers, but these stacks www.electronics-eetimes.com

account for up to 50 to 75 percent of the radio power consumption, with larger overheads. for ultra-lowpower systems, the one size fits all standardized option is rarely the optimum solution. instead, designers developing solutions for ultra-low-power applications should consider using the protocol best suited for their need. finally, link data rate is one of the most important factors influencing power consumption in duty-cycled wireless links. The average power is Fig. 2: Block diagram of a typical wireless sensor based on the ZL70250. almost inversely proportional to the link data rate; for 2x3mm, it has standard 2-wire and sPi interfaces for control instance, a 100kbps radio will consume almost half the power and data transfer using any standard microcontroller. The of a 50kbps radio for the same payload. when comparing Rf microcontrollers analog-to-digital converter (adC) connects to transceivers, energy per bit is a better indicator of power efthe ultra-low-power analog front-end device. Combined with ficiency than current consumption. But high data rate radios are the Zl70250 transceiver, the resulting solution can be used to often those with the higher peak currents, and these are highly develop a wireless eCG solution that can run continuously from undesirable for most small batteries as they result in large, a CR series coin cell for up to a week. Similar power efficiency leaky, storage capacitors. each of the aforementioned factors can be achieved with such devices as a 3-axis accelerometer or is critical for applications where power is at a premium and pulse-oximeter for patient respiration measurement, as well as a payload is greater than 10 bits/sec. Whereas previous bodyvariety of other wearable health monitoring platforms. worn wireless sensors could only be used for slowly varying The advent of micro-power batteries along with advances parameters, new Rf technologies can be used to help observe in ultra-low-power transceiver technology are making it posmore rapidly changing physiological parameters, such as heart sible to build smart, flexible and smart wireless sensors. Proper and brain electrical activity or blood oxygenation, that require transceiver selection is critical for addressing a variety of key data rates on the order of 0.5 to 5 kbit/s to extract meaningful design issues so that wearable wireless medical devices can waveforms. one example of a solution that delivers this level perform continuous monitoring of bio-signals for long periods of performance is the Zl70250 transceiver from Microsemi using a single, low-cost battery. see figure 2. Housed in a chip-scale package measuring about

Get a New Picture of PCB-Prototyping and Production on Demand

High speed laser structuring: LPKF ProtoLaser S Enjoy a clean process with all types of PCB materials. Minimal line/space width of 50/25 m on ceramics. www.protolaser.com
LPKF Laser & Electronics AG Phone +49 (0) 5131-7095-0 info@lpkf.com www.lpkf.com

www.electronics-eetimes.com

Electronic Engineering Times Europe July/August 2012 31

DESIGN & PRODUCTS

MEDICal ElECTRONICS

Designing a MEMS driver ASIC for a novel contact lens sensor


By Stephen Ellwood
anSEm iS in thE BuSinESS of translating real world problems into aSiC solutions by matching up Rf, analog and digital processing elements to transform real world inputs into the required outputs. medical applications often have particular needs for ultra-low power consumption, high integration, small size and novel powering techniques. the choice of process is often make or break for such a project which is why anSem works with a number of leading fabs in order to support its customers. Sensimed aG, a Swiss company specialized in design, development and commercialization of integrated micro-systems for medical devices has developed a soft silicone single use contact lens called SENSIMED Triggerfish for Glaucoma management and treatment see figure 1. Glaucoma is an eye disease affecting about 4% of the population over 40 years of age Fig. 1: The SENSIMED which can lead to blindness Triggerfish is a soft silicone unless treated early. one of the single-use contact lens for symptoms of glaucoma is an Glaucoma management and increased intraocular pressure. treatment. it has long been recognized that, since intraocular pressure varies during the day, continuous monitoring of glaucoma patients is needed. the static measurements currently performed by ophthalmologists during office hours are not able to detect pressure peak variations. Passive and active strain gauges embedded in the SEnSIMED Triggerfish silicone lens monitor fluctuations in intraocular pressure through variations in diameter of the eye. the patient wears the Sensimed Triggerfish for up to 24 hours and undertakes normal activities including sleep periods. When the patient returns to his doctor, the data is transferred from the recorder to the practitioners computer via Bluetooth technology for immediate analysis see figure 2. anSem was contracted to design the tiny data acquisition aSiC embedded within the lens. the aDC at the heart of the aSiC had to so sensitive as to detect the change in eye pressure caused by the patients heart beat (ocular pulsation). Since there is no room for a battery within the structure of the lens, the system must power itself entirely from a localized magnetic field emitted by an RF antenna worn around the eye and connected to a portable data recorder through a thin flexible Data Cable see figures 3 and 4. The RF field provides both power to the sensor and the data back channel to the recorder. the aSiC was designed to mount directly within the contact lens. Other than the RF antenna; a coil of wire with a specified inductance, and the strain gauge, there are no other electrical Stephen Ellwood is VP of Engineering at anSem www.ansem.com he can be reached at business@ansem.com 32 Electronic Engineering Times Europe July/August 2012 Fig. 2: The lens monitors the fluctuations in intraocular pressure through variations in diameter of the eye. www.electronics-eetimes.com components in the system. the bumped die is attached directly onto copper traces within the lens during manufacture, connecting it to the antenna and mEmS array. Being powered from an Rf source meant that the device had to first bridge rectify the aC signal coming from the antenna. The obvious rectification method using schottky diodes would heavily constrain the process choice. normal Pn diodes on the other hand would exhibit too much power loss. Instead AnSem designed a patent pending Rf speed, voltage multiplying, low dropout active rectifier with superior dropout performance even than schottky diodes and that could be implemented on virtually any mainstream 5v tolerant process. Power supply decoupling had to be achieved using only on-chip capacitance. This capacitance consumed a significant fraction of the silicon area. Behind this capacitance multiple voltage domains were established using internal LDos, again using only internal capacitance to ensure stability. By choosing ON Semiconductors I3T50 0.35 m process, anSem was able to incorporate power capture and conditioning, Rf signaling, high linearity aDC and digital control functionality on a single die within the meager power budget and at low cost. Sensimed also benefits from the security of supply afforded by the automotive qualified process, which is so important to companies operating in the medical electronics field. As the lenses are single-use devices, cost was also an important factor. the aSiC digitizes the mEmS sensor reading and transmits the measurements back to the recorder via the same Rf link used to power the device using load modulation techniques. For maximum RF power coupling an internal tuning capacitor had to be matched to the antenna inductance.

The +5% tolerance of this capacitor was an important parameter when selecting the process. The I3T50 process is well controlled and we were able to work closely Fig. 3: The patch antenna with on Semiconductor to transmits RF power to the lens. meet this requirement. the actual coupling between the lens antenna and the patch antenna can vary by a factor of 3 or more depending upon the relative orientation of the two coils. this wide variation in input power meant that the circuit needed to operate reliably and safely over a large input power range. the low dropout of the active rectifier helped minimize losses at low power levels, while a current shunt safety circuit avoided overvoltage within the device when high power levels were received. as power levels were in the W range there was no danger of any self-heating related discomfort in the eye. the signals coming from the strain gauge are very small such that the LSB of the aDC is at the microvolt level. Keeping the switching noise of the rectifier away from the ADC was a problem both at circuit level and at layout level. noise coupling through the substrate had to be mitigated through clever use of the high voltage pockets available in the I3T50 process. in this application the die is mounted directly onto a transparent lens structure. Care had to be taken with light sensitivity of the circuitry. the back die had to be metal coated to prevent light penetration. Meeting specifications over temperature is

usually a large part of our design work. it is well known that transistor parameters vary widely over temperature. thankfully in this application the patients eye maintains the device at around 34C (temperature of the surface of the eye). this saved both in design time and simulation time as we were able to run simulations with a much reduced Fig. 4: The portable data recorder corner set. anSem connects to the patch antenna through will now manage the a thin flexible data cable and gathers the complete aSiC life measurements transmitted by the lens. cycle for Sensimed, including industrialization and supply chain for volume production, leaving Sensimed to concentrate on serving their market. for the medical market the most important thing is to translate the customers requirements into a complete and physically realizable specification.

We provide innovative analog solutions to the most challenging applications in sensor and sensor interfaces, power management, and wireless.

www.electronics-eetimes.com

Electronic Engineering Times Europe July/August 2012 33

DESIGN & PRODUCTS

MEDICal ElECTRONICS

Choosing the right EMS partner for medical applications


By Willie MacKinnon
fierce coMpetition, tightening regulations and increasing pressure to produce a differentiated product: these are the challenges of the fast paced medical electronics market that oeMs have to tackle to reduce the lead-time from initial concept to order fulfilment. As a result, the demand for support from an electronics manufacturing services (eMS) partner is on the increase, especially in the sophisticated category of high complexity, low volume medical electronic devices. their solutions to device companies. Today, some eMS companies provide a wider array of services from conceptual design to system assembly and configuration / shipping devices to the end user, but not every medical oeM will require that level of integration with an EMS partner. The first question to address is the volume and complexity of the medical electronic device. There are companies that specialise at different levels of volume and product complexity and it is, therefore, essential to choose an eMS partner with core specialisations that complement its internal capabilities.

its a tough time to be a medical oeM the current economic uncertainties challenge all organisations to streamline their Consider the level of collaboWillie MacKinnon, VP Customer business, whilst reducing costs, improving ration required Management EMEA with Plexus There Some medical oeMs will prefer to work efficiency and ensuring optimised use of is a growing trend, within medical internal resources. Deciding to work with with an eMS partner that can help drive an eMS company can help a medical oeM OEMs to work with an EMS company solutions and support with medical device to respond better to its evolving challenges as a single, integrated partner which design, but there are others that simply while improving its return on investment on adds value throughout the design and need assistance at a specific stage, such resources deployed in the design and manu- manufacturing process. as pcB assembly, higher level assembly facturing process. Whereas before an EMS or product configuration. There is a growwas engaged to fulfil a set function at a critical stage of the ing trend, however, within medical oeMs to work with an eMS manufacturing process, today an oeM should select an eMS company as a single, integrated partner which adds value company who can add value at every stage of the product realthroughout design and manufacturing process, freeing the oeM ization process and is a trusted integrated partner from design to focus its attention on core technology, intellectual property through to manufacturing and after-market solutions. So when differentiators and marketing. This makes selection of an EMS it comes to deciding on an eMS partner what should oeMs ask partner critically important. before making a decision?

In-house capabilities and resources

Rules and regulations

prior to consulting an eMS company, a medical oeM needs to assess its own internal strengths and capabilities. An internal audit enables the company to evaluate and determine their key differentiators as their focus areas. Then it will be able to identify significant areas where an EMS partner will add most value. this will vary depending on the core strength of the medical OEM. Many companies choose to focus on their core technology to maximise the value and differentiation of their intellectual property, such as a unique sensor technology. These OEMs are looking for an eMS partner that can support them with both the design and manufacture of the equipment around this core technology. By dividing areas of the design and development process dependent on their key focuses, oeMs can gain the most value from working with an eMS partner who can reduce time to revenue whilst controlling fixed costs.

Pick a partner with the right fit and right size for your business
eMS companies continue to increase the scale and extent of Willie MacKinnon is Vice president customer Management EMEA with Plexus - www.plexus.com 34 Electronic Engineering Times Europe July/August 2012

An experienced and trusted EMS partner will also be invaluable at providing assistance when meeting international regulations such as the roHS recast, an existing eU directive tightened up in June 2011 to regulate large amounts of toxic electronic waste. Medical devices must comply with all RoHS recast regulations and the transition to a roHS recast compliant medical device can affect the overall performance of the product. this means that testing may be required to ensure reliability, verification and validation. Medical designs are renowned for long product life cycles and medical oeMs often have legacy designs that require sustaining support for the original design. this can be a huge challenge for the customer, especially if that design features a significant number of end-of-life components. In order to meet the new RoHS compliance requirements, medical oeMs need to take the opportunity to update legacy designs. The replacements can range from simple direct replacement to complex redesign for example to replace design functionality with FPGAs . An EMS partner will support, and in certain cases lead, medical oeMs with the redesign and transition of these products to ensure that they meet all current regulations. It will look to its supply chain to provide an effective unit cost solution. This refreshes a successful product to give it a greater lifecycle that www.electronics-eetimes.com

is compliant to the roHS recast and minimises the potential for revenue loss. It also enables the medical OEM to develop new roHS recast compliant prototypes with eMS support through testing and verification processes, enabling it to make secure business decisions for future product development.

Looking ahead

With the on-going trend to outsource medical device development, defining Some EMS companies provide a wider individual core differentiators is key array of services from conceptual design when looking at the development of to system assembly and configuration / the next generation of medical deshipping devices to the end user. vices. In this way, it becomes evident to medical OEMs which areas are worth outsourcing to streamline their operations. Some will retain an in-house design and engineering team where others will outsource these activities and focus on the marketing of products. An experienced EMS partner will complement and enhance this activity. outsourcing to an eMS partner can seem a challenge but having a partner onside that can design early on in the process will optimise the process at every stage of the process from design through to manufacture. over the next ten years more and more medical oeMs will become reliant on eMS partners. The medical device market will become increasingly complex, more specialised and lastly, even more heavily regulated. Savvy OEMs will break through these obstacles, drive their business to the next level by adopting clever strategies and grow their operations through careful selection of an eMS partner capable of adding value at every stage of the product realization process.

Q&A: The trends in the medical embedded market


By Jens Unrath
Q: What trends do you see in the medical market? A: There are many different trends in the medical market. Looking at the high-end systems we see continuous improvements in image processing for diagnostics and surgery. The time savings due to faster image processing leads to broader use of image based diagnostics as well as reduced cost per patient. At the same time the image quality is improved from generation to generation. This constant improvement of the processing performance comes either from the higher processing power of the processors themselves or the implementation of graphic cards for general-purpose computing on graphics processing units (GpGpU) or the use of dedicated FPGA based designs in smaller systems. A second large trend is based on the iPad-Factor. Users expect from future medical devices a similar easy usage model as from their consumer multi-touch devices. This trend

Fig. 1: The Kontron Health eKiosk displays and checks the information stored on the customers health insurance card. It can also issue a new health insurance card. Electronic Engineering Times Europe July/August 2012 35

www.electronics-eetimes.com

DESIGN & PRODUCTS


will be seen mainly in patient monitoring devices with direct user interactions and the professional operator interfaces on larger medical appliances. this trend will also push the demand for mobile medical devices in hospitals using the latest ARM processor implementations in smart mobile devices. This mobility trend will also extend into the growing market for homecare and mobile point of care appliances based on cloud applications. Q: Do you expect that innovation in electronics will help widen these markets?

MEDICal ElECTRONICS

A: Yes, definitely. Cloud computing applications Fig. 3: The Kontron PCIe Medical LAN Card replaces a standard LAN card will open space for the medical electronics marand external insulator with an IEC60601-1 compliant component, which also ket. But all depends also on the money national increases the operational safety as unsafe network connections are eliminated. economies can spend for their health care systems. We see the largest potential in applications based on open and proven standards to ensure easy migration where innovations in electronic appliances can save cost, but or upgrades paths. our products are found in applications throughout the medical industry including image-processing, patient monitoring, Q: Do you have an example of the type of system used in the diagnostics, point of care, therapeutical and surgical systems e-Health market? as well as clinical IT. Our competences are built around the embedded computing core - the smart binary brain - which we A: it is not easy to decide about the most important platforms deliver wherever possible as application ready platforms. These we have for the e-health market between embedded computer platforms include hardware integration services like oS cusboards, system level products and even an e-health kiosk tomization and licensing, driver support, customized hardware system. Lately, we have introduced our first i7 products with design, certifications and obsolescence management. Intels 3rd generation Core-i processor technology. We have One huge and growing market is the home healthcare segment. also strategically entered the market of high-end ARM procesevery patient staying at home instead of the hospital with consor based products. tinuous monitoring and communication of his health parameters And finally, AMD has introduced some very attractive solutions produces by far less cost. The other market can be found in the for high-end embedded graphics processing. So we come fields of the patient data creation and handling/sharing. Nurses along with the latest state of the art processing technologies for can save cost if they spend less time with the administrative patient monitoring systems, diagnostic systems, surgery appliwork on each patient. Thus we see smart mobile devices like ances as well as bedside systems. And our offerings combine tablets coming to the hospital floors and paper records will standard boards and systems together with full custom serbe eliminated more and more. A sub-segment in this area of vices for our OEMs. Our customers pay less for more quality patient oriented electronics devices is the infotainment at the to design extremely energy efficient low power appliances up patients bedside. to high end data processing technologies such as the upcoming 4D medical imaging platforms. Q: What are customers asking for? Many of these platforms are also offered with dedicated certifications for the medical device A: the most important request is market to further reduce the time taken to reach to reduce research and developthe market. ment cost, which are twice as high as in other manufacturing sectors. Q: What does all this mean for Kontron? one way to reduce the oeMs development efforts is to buy A: We have a wide variety of global medical tier standard or semi-standard em1 and tier2 suppliers to the medical health secbedded computing technologies tor as our customers. As we focus on serving as application ready platforms medical oeMs we see a major trend towards from suppliers. This way OEMs even more application ready system implemencan concentrate on their core tations. We have by far more demand in the area competencies: the application of individual system design and manufacturing. development. Another important Custom specific look & feel is here one key aspect due to generally smaller requirement as well as hardware integration serbudgets will be that customers vices such as driver support and OS integration. have a closer look at the systems We also see growing demand for the new ARM Total Cost of Ownership (TCO). Fig. 2: The IEC60601-1 compliant Kontron designs in customized designs with mobile and to reduce tco, systems and the Medi Client IIA 150 offers OEMs a highly low power qualities. For example there is the used components have to be reli- reliable platform with flexible mounting nvidia tegra 2 processor on a pico-itX mothable as well as long term available. options and the longevity required by the erboard or nvidia tega 3 on a mini-itX motherto achieve this, future product will medical industry. board and ULP-COM Module. rely on systems and components 36 Electronic Engineering Times Europe July/August 2012 www.electronics-eetimes.com

20% of wearable wireless devices in healthcare by 2017

A new wave of wearable devices is being launched that will help track and share data from a range of activities and conditions, taking a large share of the wearable wireless devices market, according to ABI Research. These devices will track the pace of someones daily run, recognize a fall that might have injured a senior, report the blood sugar level in a diabetic, and monitor the heart rate of a patient in hospital. Over the next five years, the market for wearable wireless devices will grow to 169.5 million devices in 2017, up from 20.77 million in 2011, a CAGR of 41%. While the bulk of the device shipments will be in the consumeroriented sports, fitness, and wellness market, wearable devices will increasingly be adopted across home monitoring and healthcare service applications as well. Remote patient monitoring and on-site professional healthcare use will represent just over 20 percent of the wearable wireless device market by 2017, up from less than half that in 2011. As the devices can be worn and can upload collected data to the network automatically, collected data can not only be more regularly collected but also shared, analyzed, and acted on quicker. ABI Research www.abiresearch.com

Flexible single-stage and doublestage IEC inlet filter series

Designed for currents from 1 to 10A with a rated voltage up to 250Vac, the single-stage FN9280 and double-stage FN9290 IEC inlet EMC/EMI filter modules from the Schaffner Group come as an ultra-compact switch and fuse holder unit with a versatile flange mount system. Only 46mm high, the combined unit with dual fuse holder provides extra space for a spare fuse. The dual pole power switch disconnects all poles of the device from the mains and can be removed for maintenance thanks to the integration into the combined unit in order to specifically avoid accidental connection and power-on. The IEC inlet filters come with proven fast-on tabs or spring cage terminals for faster and vibrationproof wiring. The new flange mount system with either horizontal or vertical mounting holes provides maximum flexibility for front or back mounting. The FN9280 series is equipped with proven single-stage EMC/EMI filters. The FN9290 series has a double-stage EMC/EMI filter with an attenuation of up to 80dB and is well suited for applications with higher interference levels. The B versions with a minimal leakage current of 5A maximum are suited for use in medical devices. Schaffner www.schaffner.com

Bluetooth Low Energy module

The LBCA2ZZVZE Bluetooth Low Energy (BLE) wireless module from Murata

includes a chip antenna and all the Bluetooth protocol stacks required for lowenergy communication, as well as healthcare protocol profiles. Measuring 20.0x13x2.4mm, the unit has an output power of typically -2 dBm. Current consumption is 100A average for a 500ms connectional interval. Murata www.murata.eu

FPGa solutions
USB 3.0 FMC Board

Up to 270 MBytes/sec Mars PM3 MX1 Kit Mars MX1 FPGA Module Cypress FX3 USB 3.0 Controller FMC LPC Connector p-ITX Form Factor (100 x 72 mm)

ZYNQ ARM+FPGA Module

Designed for Linux Mars ZX3 XILINX ZYNQ EPP DDR3 SDRAM + NAND FLASH Gigabit Ethernet + USB 2.0 OTG SO-DIMM Form Factor (68 x 30 mm)

Drive FMC Card


Up to 756 Watts

www.enclustra.com

FPGA Design Center


www.electronics-eetimes.com Electronic Engineering Times Europe July/August 2012 37

DESIGN & PRODUCTS

PROGRammablE lOGIC

Developing a 200Gbit/s line card with 22nm FPGAs


By Denny Scharf
Two key DevelopmenTS are shaking up the FpGA world: the advent of 22nm programmable devices and the availability of devices strongly targeted towards specific markets through the use of hardened IP. These developments are reflected in a new generation of FpGA devices that use Intels 22nm process and a collection of hard Ip directed at target markets. The most obvious benefit of the 22nm technology is the advantage that comes with any advance to a new process node: higher density with lower cost per logic function); higher performance; and lower power consumption per logic function. In addition to these familiar benefits, this 22nm Intel process brings its radically new transistor design the Tri-Gate or FinFET. In this transistor, the three-dimensional gate-structure surrounds the channel, resulting in greater control than is possible in a conventional planar transistor. A key consequence of this is the ability to turn the transistor off more completely than is possible with a conventional planar transistor due to the greater gate-to-channel surface area. Static power consumption (i.e. leakage) derives from the failure to incompletely turn transistors off, and has evolved over the years into an escalating nightmare - devices burning power even when theyre not doing anything. now the problem can be minimized. Despite the many benefits of FPGAs over ASICs with improved time to market, lower total cost of ownership and field reprogrammability, they still suffer from a Swiss army knife effect adequately addressing a wide range of applications, but excelling in none. By directly focusing on a defined group of target markets with complex hard Ip blocks integrated onto the devices using standard-cell ASIC technology, certain applications are the clear beneficiaries. These hardened Ip blocks include 100G/40G/10G ethernet MACs, Interlaken, PCI Express, and DDR3 memory controllers. These functions are traditionally implemented using the FpGAs programmable fabric a waste of precious core resources, which should be reserved for functions unique to the user, not for functions that are standard. Hardening these blocks provides huge benefits for designs that use them, from reduced design-time with no need to close timing on these blocks as they already work at the required performance level) to reduced power consumption as the ASIC designs are inherently superior in energy efficiency with no programmability overhead). This approach also provides increased performance with no programmability overhead and a reduced area/cost tradeoff. As a final boost there is no need to license the Ip. Clearly, applications using all the embedded blocks benefit the most from these focused Ip blocks. But even applications using none of these blocks still benefit from the 22nm FinFET process. Standard functions that are functionally indistinguishable from one implementation to the next belong in hardened (ASIC) form. Proprietary functions (unique to the implementation) belong in a programmable fabric. The first Speedster22i device, the HD1000, is based on a conventional programDr Denny Scharf is strategic marketing manager for Achronix Semiconductor - www.achronix.com 38 Electronic Engineering Times Europe July/August 2012

The first Speedster22i device - HD1000, based on a conventional programmable fabric with a carefully balanced high-performance clock network mable fabric with a carefully balanced high-performance clock network. Available as an engineering sample in Q4 2012, it has 700,000 look-up tables (LUTs), 86Mbits of embedded RAM and 756 Multiply-accumulate blocks. For communications applications there are 64 SerDes transceiver lanes (from 1 to 12.75 Gbit/s) with two HardIP 100GE MACs that are also configurable as six 40GE or twenty-four 10GE links, as well as two HardIP 100Gbit/s Interlaken interfaces, two HardIP PCI Express Gen 3 interfaces and six HardIP DDR3 72bit 2.133Gbit/s controllers. It is important to note that any unused HardIp block is fully bypassable so that the associated Ios or SerDes lanes are usable in any case. A PCI-Express-pluggable development platform will be available at the same time as samples.

Bandwidth is key

Bandwidth is a key requirement for the target markets. The Speedster22i devices deliver that bandwidth in two forms Iobased and transceiver-based. programmable Ios developed by Intels partners conform to the LVCMOS, HSTL, SSTL, and LVDS standards, among others, and are especially powerful in

Fig. 1: All-digital 12.75 Gbit/s transceiver. www.electronics-eetimes.com

DDR3 interface applications, where 2.133Gbit/s data-rates are supported. This means each 72bit DDR3 interface provides over 150Gbit/s of raw memory bandwidth, giving a total of over 900Gbit/s if all six interfaces are used). primary data bandwidth Fig. 2: The eye diagram for the is supplied by the sixty12.75Gbit/s SerDes port. four SerDes transceivers, which operate over a data-rate range from 1 to 12.75Gbit/s. The all-digital architecture leads to strong superiority over anything else available in the FPGA world. The critical metrics are jitter, noise immunity, and power consumption see figure 1. Three development chip tapeouts have been completed on the 22nm process, including the 12.75Gbit/s SerDes blocks. These show clear eye diagrams at 15Gbit/s, shown on figure 2, and worst case voltage and temperature from a transmit PRBS7 pattern measurement using a 1st order HpF Golden pll. The total jitter is 0.36UI peak-to-peak.

A 200Gbit/s linecard

networking and optical transport are market segments that benefit enormously from this approach. They are power-sensitive, and are heavy users of the functions that Achronix has chosen to harden especially as the convergence trend continues in the direction of universal packet-based communication. ethernet is the clear winner for layer-two system-to-system links. Interlaken serves a similar function at the device-to-device (or board-to-board) level in chassis-based systems, such as core routers. DDR3 is the external memory of choice especially in view of its cost, capacity, and bandwidth advantages. Any application making use of these standard interfaces (and perhaps PCI Express also) will benefit from the use of the device. Figure 3 illustrates such an application the 200G linecard. The dual CFP modules provide the ports to the outside world, each supporting a single 100G ethernet stream. The corresponding system-side interface is represented by the dual Interlaken blocks like Ethernet, a packet-based protocol, ideal for supporting multiple distinct channels or flows. These flows represent differing sources, destinations, and traffic types - and must be differentiated by the system in order to provide the appropriate quality of service to all traffic. The six DDR3 modules shown serve as packet buffers, storing traffic until the scheduling algorithm directs transmission. These functions are hard-wired on the die leaving all 700,000 LUTs for implementation of the traffic-management algorithms. For this reason, an enormous amount of logic and memory is available in the FpGA fabric for implementing the users unique features and technologies. Neither resources nor power are wasted on standard, undifferentiating blocks such as Ethernet or DDR3. Linecard power, in particular, is often required to meet a maximum level (eg, 200-300W), so that wasted Watts are certainly to be avoided. Another key point to note is the balance of data-path and memory bandwidth. Data-path flow is left-to-right (the Receive direction) and right-to-left (the Transmit direction). All such traffic is directed temporarily to (and from) the DDR3 modules. Thus the bandwidths are not independent, and must be balanced. A further boost to memory capacity is provided by the 86Mbits of on-device RAM more than any other FPGA on the www.electronics-eetimes.com

market. In many cases, this abundance of memory obviates the need for external memory providing further bandwidth and power advantages. The Hard Ip blocks used Fig. 3: A 200Gbit/s linecard implemention in this illustration, in aggrewith the HD1000. gate, consume less than 1.5w. In an alternative implementation using FpGA programmable fabric for these functions, at least 10W would be consumed by these functions alone. Two key decisions are at the heart of Achronixs Speedster22i product offering. First is the selection of Intel as a key partner providing the most advanced semiconductor fabrication process in the world, as well as IP, packaging, and engineering support. The second key to success has been the recognition that carefully-selected Ip blocks (appropriate to the target markets) bring huge advantages cost, power consumption, and development time to customers.

Electronic Engineering Times Europe July/August 2012 39

DESIGN & PRODUCTS

PROGRammablE lOGIC

Expanding system control with PLDs and low overhead serial buses
By Peter J. Stinson
develoPing the architecture for a complicated system, the system control aspect of the design rarely gets the lions share of attention from architects and designers. in the best case, system control is the designs second priority. in the worst case, it is forgotten about until the late stages of the design, when there is very little space on the board to accommodate the function, and very little time to re-architect the design to handle it. the designer traditionally has a few options in order to solve these problems: bribe the software designer to somehow put some of the control in software; scatter small Plds all over the board and suffer the wrath of layout for board space and routing congestion; or, sacrifice functionality in the name of schedule. none of these options are attractive. What is needed is an approach that minimizes board space and routing while continuing to minimize microprocessor cycle consumption and maintaining the desired functionality. cPlds and small FPgas are very often the solutions of choice for these situations. using cPlds and FPgas, a designer can sacrifice centralized monitoring and control in favor of control that is more localized and distributed. creating a communication path that minimizes connections between a central processor and distributed Plds comes closest to an ideal solution. luckily, low-overhead communications paths exist in the Serial Peripheral interface (SPi) standard that was originally developed by Motorola, and the inter-integrated circuit (i2c) standard originally developed by Phillips. these standards are not designed to provide the blazing throughputs required by most data paths, but they serve well in monitoring and control applications where latency is not nearly as important. combining the flexibility of FPGAs and CPLDs with these low overhead buses gives the system designer a tremendous amount of system control while minimizing the footprint required. Physically and electrically, SPi and i2c are low overhead bus standards that generally are very easy to understand from a board implementation perspective. i2c only takes two signals to implement: Sda (the data line) and ScK (the clock line). the lines are open-drain and require pull-up termination. these lines can be dropped across multiple masters and slaves, as seen in figure 1. The only additional requirement for the circuit is the inclusion of the appropriate amount of pull up termination to deal with the capacitance on the line. the problem with multiple masters on a two-wire bus is the need to apply arbitration on the bus in order to deal with message collisions. But with a simple dedicated bus structure with one master, this problem can be avoided altogether. SPi is a 4-wire serial bus that eliminates the need for arbitration by only allowing for a single master on the bus. Furthermore, there are two data pins and neither is truly bidirectional. the MoSi (master output, slave input) line is responsible for Peter J. Stinson is Marketing Manager for north america and europe for lattice Semiconductor - www.latticesemi.com 40 Electronic Engineering Times Europe July/August 2012

Fig. 1: Typical I2C setup. driving data to the peripherals and the MiSo (master input, slave output) is responsible for driving data to the master. control for the bus is provided by a clock (SclK) and a slave-select (SS), both of which are driven by the master. While this arrangement eliminates the need for arbitration, it does require more signaling see figure 2. today there are relatively few restrictions with respect to the use of either serial bus. as of 2006, nXP (formerly Phillips) no longer requires licensing feeds to implement the i2c protocol. it should be noted, though, that fees are still required to obtain i2c slave addresses. however, for applications where the peripheral is not communicating with the outside world, this is not necessary. SPi, on the other hand, is a de facto standard with no formal documentation. however, the standard has been implemented on a number of different embedded processors, showing that its de facto status has not hindered its acceptance. the low overhead of both i2c and SPi have made both standards widely accepted and integrated into both microcontrollers and peripherals.

Low speed serial buses

System monitor and control

Monitoring and control on a single-board application is relatively straightforward. resets, interrupt lines and select lines are controlled directly via a microcontroller or localized Pld. however, where control needs to be centralized in a multi-board system, then there is value in keeping the connections to a minimum.

Fig. 2: Typical three slave SPI bus. www.electronics-eetimes.com

this is accomplished by using a low overhead serial bus to communicate to a PLD, as seen in figure 3. the next level of detail needed is a protocol that would require a command and addressing scheme in the data stream going from the microcontroller to the external Pld. For a SPibased implementation, there is not much to worry about. SPi does not require anything in the datastream for addressing, as the SS and SclK signals provide the control addressing in the protocol. therefore, the user has control over the whole payload of the data transmission. the i2c implementation for an external Pld is a little more complex. The PLD first must identify that it is the device being addressed before driving data back onto the bus. this difference illustrates the tradeoffs between the two serial bus architectures: the SPi architecture does not require a command interpreter to address a particular slave, but requires more pins than an i2c architecture. given the relatively low cost of external non-volatile memory and the ease of interfacing to it via a serial bus like i2c or SPi, using external non-volatile memory in conjunction with a Pld can offer the user a cost-effective way to manage remote fault logging in a system. Figure 4 shows a typical system application using not only the io expansion capability highlighted previously, but also shows how an external memory can be architected into the system. in this architecture, the Pld is primarily responsible for monitor, control and communication back to the microcontroller. however, it is also responsible for performing additional analysis on monitor/control lines and logging fault information via a serial bus talking to a non-volatile memory. Failures in voltage monitoring, watchdog timers and other failing conditions on a PcB can be architected to have the Pld write into the non-volatile memory. typically, the states of other monitors in the system, such as temperature and voltages as well as the time are saved after a fault is detected. It should be noted that there is one significant implementation requirement for such a system. if the Pld is a master for the external non-volatile memory, then decisions would have to be made specifically for control and addressing on the serial buses. the decision is very easy for the use of a SPi bus; the designer must implement a slave SPi design for communication with the microcontroller and a separate master SPi design for access to the external memory. For the i2c bus, the designer has a couple of choices. the first choice is to implement the design similar to the SPI requirements, with one slave design talking to the microcontroller and

Fig. 4: Remote fault logging. a master design to access the memory. the second alternative is to use the PLD as both a master and a slave. The benefit of this approach is that there is one serial bus in the system and therefore the microcontroller can access the non-volatile memory directly, as opposed to having the Pld interpret the command and pull information from the memory. however, instead of having a simple slave design in the Pld, the design must now deal with addressing and bus control.

Remote fault logging

Sensor and peripheral aggregation

the number of standard products with serial-based interfaces grows every day. You can find serial interfaces on temperature sensors, pressure sensors, a/d converters, digital potentiometers, real-time clocks and lcd controllers, to name a few. the key to understanding how these can be integrated into a design is to understand which of these peripherals needs to be used in real-time and what real-time means. For instance, temperature is a relatively slow moving phenomenon in a system and could easily be monitored by a serial bus. an a/d converter used for current or voltage sensing may or may not be a real time requirement, depending on what is being measured and how fast it needs to be detected. once you have determined the priority of the peripherals you can then decide to offload the processors responsibility for the lower priority peripherals by placing them behind a Pld, as seen in figure 5. In the above example, the microcontroller is responsible only for communicating with two peripherals as opposed to four. There is one other benefit to this type of arrangement. A sufficiently powerful PLD could also be responsible for preprocessing of the data before being read by the microcontroller. as an example, consider an application where there is an a/d sampling voltage and current measurements from a three-phase

Fig. 3: PLD as a Serial IO expander. www.electronics-eetimes.com Electronic Engineering Times Europe July/August 2012 41

DESIGN & PRODUCTS


electrical system and checking for faults. A PLD with sufficient dSP capability can perform rMS calculations, peak current analysis, phasor calculations and FFts in lieu of having it done in either a dSP or the microcontroller. the sample rate is now limited by the time that the Pld requires to collect samples and process the data. the microcontroller can then read from the complied data and spend more time performing the tasks required for control and reporting. designers should look at the trade-offs between microcontroller processing power, PLD processing, cost and space.

PROGRammablE lOGIC

Next step: integration

given the usefulness of these serial buses when combined with small programmable logic devices, the next step would be to integrate at least one, if not both, of the serial bus standards into a Pld. this integration decreases the cost of a Pld solution as well as the power consumed. in addition, coding the serial bus interface no longer becomes an issue for the designer. the designer only needs to deal with their application and the logic required, as opposed to integrating an open core. the latest CPLD offering from Lattice Semiconductor realizes this benefit. the embedded functional block (eFB) found in the MachXo2 family contains pre-engineered solutions that can be used to implement any of the system control functions described above. the MachXo2 device contains one SPi controller as well as two i2c controllers. all of the serial buses controllers can be configured as either a master or a slave. In addition, the MachXO2

Fig. 5: Sensor aggregation example. also provides designers with a timer/counter block as well as access to a small amount of user-accessible Flash memory (uFM). aside from above mentioned solutions, serial buses combined with PLDs can provide additional system benefits, even if sometimes they come as an afterthought in the architecture process. they are not a panacea for all ills, but their utility in designs is well documented and there are numerous opensource cores and design ideas available to guide designers through different architectures in order to come to the optimal solution.

OpenCL emerges for FPGA high level design


By nick Flaherty
erator blocks on an FPGA. Its like a PC running with a floating in the deBate aBout high level design, a new standard is point maths co-processor. emerging for FPga designers to use alongside vhdl, verilog using opencl for the development can provide a speed up and System c to bridge the gap. oPencl started life at apple of 35x in system performance over a CPU implementation at the to handle the programming of multiple cores and has since same time as reducing the design time by half, he says. thats been adopted by the Khronos organisation which also develops the key thing with opencl, to be able to develop most of the the opengl series of graphics formats. While it can be seen system on the host processor in standard anSi c with a stanas a desktop technology with support from companies such as dard c compiler, then your opencl code, which is c with paralintel, aMd and nvidia, it is also backed by embedded chip delel extensions, gets put across to a device specific compiler for signers such as texas instruments, Broadcom and cambridge the FPGA or GPU. Thats the reason it fits best into processor Silicon radio. based systems, said davis. an increasing number of FPga designs are using multiple the other advantage is that this is essentially the same code, cores, especially if those cores are dSP accelerator blocks. says davis, but you may have to tweak the kernel code for the With the new generation of system on chip FPgas from altera particular architecture. There are different memory architectures and Xilinx that integrate hard macro arM cores, opencl is in a gPu and in an FPga but the fundamentals of the operabecoming an interesting high level design technique for a numtions are the same, its about how you move the data around, ber of applications. opencl is particularly suited to streaming applications such as broadcast, military signal processing, high performance computing OpenCL future directions (hPc) and medical imaging applications, says For future developments, the opencl group is looking at opencl-hlM (high craig davis who is responsible for the roll out level Model), unifying the host and device execution environments through the of opencl across europe for altera. it works language syntax. this would provide increased usability and broader optimizaparticularly well when you are developing your tion and address several of the issues raised by the FPga vendors. the group algorithm in c and instead of going to hdl you is also looking at the long term core roadmap, exploring enhanced memory and can stay in a c-like development environment execution model. at the same time it is exploring a version called Webcl to bring and improve your time to market, he said. parallel computation to the Web through a JavaScript binding to opencl. then the a typical application for opencl to be de- opencl-SPir (Standard Parallel intermediate representation) will explore techployed is where you have a processing system niques to hide code for security applications and to provide a target back-end for on an x86 running the host programme with an alternative high-level languages. interface like Pci express linked to the accel42 Electronic Engineering Times Europe July/August 2012 www.electronics-eetimes.com

he said. there is also a hidden advantage in debugging, he says. the same code can be run on an array of gPus and on an FPga, and if the same bugs appear you know they are in the code rather than in the compiler or quirks of the architecture. altera has already set up an early access programme with stand-alone opencl development tools for certain customers across europe, and the tools are set to be integrated into the Quartus development environment early next year. Xilinx is keeping a close eye on the technology but doesnt believe it is mature enough or portable enough between the different OpenCL allows C code with extensions for platforms. parallel operation to run on both a host CPU i think theres a renewed and an embedded array of cores in an FPGA. interest in high level design (hld) rather than eSl, said tom Feist, senior director of marketing at Xilinx in oregon, just down the road from Khronos. What has happened over time is that people tried it and found that it didnt quite work. high level synthesis works for iP generation and algorithm development, but not for a system. You have to worry about interface synthesis. HDL works with a team that starts with a C specification and turns that to RTL, but its not in a place today where theres code on a processor and you can just push a button to get the design. One of the things that definitely needs to happen is better agreements on what data types to use and perhaps submit back to System c as synthesisable data types, he said But even at the source code level we need to tighten up the standards. We all have the same optimisations for loops etc so ultimately it should be possible to optimise high level synthesis constraints like Synopsys did with timing constraint. one argument is that a new design methodology isnt necessary. if you want to be successful in high level synthesis you have to embrace what the designers are already using such as c, c++ and System c, said dirk Seynhaeve, senior product marketing for high level synthesis at Xilinx. So we are really agnostic. there are parallel threads on System c but we are also automatically generating parallel threads in our tools. We do look very closely at whats going on with opencl and particularly active with Khronos, he said. unfortunately the portability of the code is something we still have to deliver on. its only between cPus and gPus and even there theres only a small intersection. even aMd and nvidia say portability is a bit of a dream. While version 1.2 of OpenCL was released last November, three years after the first full version was released, it wasnt a big enough change, says Xilinx, particularly when it comes to moving the OpenCL code between different platforms. We have very high hopes of the second version of the standard that would finally give an opening for FPgas to be added for portability but not for performance, said Seynhaeve. even if there is portability between platforms the code will always have to be modified to get the best performance. You always have to do some kind of tuning to get the extra performance out of the platform. You can have a soft core processor as the host and create an array of other soft processors, we agree that this is one way of accelerating an algorithm, he said. We certainly believe that until we get to opencl 2.0 the best embedded solution is an FPga with a cPu core and most of the market is c, c++ and Systemc based. opencl2.0 is not in the short term, said Seynhaeve. if you truly want to do full parallelism you need to fully utilise the processor. theres manual vectorisation support in OpenCL1.2 but its still going to be a manual process. Altera is not sanguine on the amount of work to be done. Theres more work we are doing with different ways of moving data around and enhancing the specification for example with a streaming interface, said davis. if you have data driving a Pcie link or other interface like Ethernet it would be very good to extend the specification to handle that. Xilinx is less enthusiastic. the committees take a long time, said Feist so our strategy is not to dictate a design flow but to automate it. With tools emerging for OpenCL in the FPGA design flow and early adopters already using it in key applications, there is potential for this to emerge as a significant new way to quickly develop high performance parallel applications across multiple cores. www.electronics-eetimes.com Electronic Engineering Times Europe July/August 2012 43

DESIGN & PRODUCTS


Integrated block for FPGa PCI Express x8 Gen3x
Xilinx has launched an integrated block for PCI Express x8 Gen3 with DDR3 external memory on its Virtex-7 field programmable gate array (FPGA) family. The block provides developers with all the building blocks needed to get started on PCI Express Gen3-based designs immediately. It support 1866 Mbit/s high speed memory interfaces in midspeed grade devices allow users to design systems that meet high system bandwidth requirements needed in communications, storage, server applications, and more. With a 40 percent performance advantage versus competing memory solutions, this allows users to accelerate productivity by offering maximum memory data rates in its mid-speed grade devices as well as built-in capabilities in its Virtex-7 XT devices for single-root I/O virtualization and multi-function end points to address the emerging needs in Data Center and cloud computing. The Virtex-7 XT and HT FPGAs are the first generation of Xilinx All Programmable devices that integrate hard IP cores for the PCI Express Gen3 standard. Both Kintex-7 and Virtex-7 FPGAs feature1866Mbit/s DDR3 external memory interfaces to further bolster the PCI Express system throughput. Xilinx www.xilinx.com

PROGRammablE lOGIC

USb 3.0 SuperSpeed interface board targets altera FPGas

Cypress Semiconductor and Nuvation Research have released a rapid-prototyping solution that simplifies streaming video, images and other data from Altera FPGAs to a host processor at speeds up to 400 Megabytes per second. This solution includes a SuperSpeed USB 3.0 device interface board that connects to Arrow Electronics BeMicro SDK (Software Development Kit), the popular FPGA evaluation platform featuring an Altera Cyclone IV FPGA. This new USB 3.0 expansion board enables BeMicro users to prototype a simpler, uncompressed, cost-effective alternative to traditional slower interfaces such as USB 2.0 and Gigabit Ethernet. Designed to provide a seamless link out of the box, the new interface board from Cypress and Nuvation is called BeUSB 3.0, and is available exclusively from Arrow Electronics. It uses Cypresss programmable EZUSB FX3 USB 3.0 device controller to implement the SuperSpeed USB 3.0 standard. The interface board attaches to the BeMicro SDK and comes with a standard cable to connect to a USB 3.0 PC host, which provides up to 900 mA for buspower. The EZ-USB FX3 peripheral controller on the BeUSB 3.0 expansion board is equipped with Cypresss second generation configurable General Programmable Interface (GPIF II), enabling the FX3 to interface directly with any processor, ASIC or FPGA by using the GPIF II Designer tool. Cypress Semiconductor www.cypress.com

Software-based gesture recognition solution for programmable imaging and vision

CEVA and eyeSight Mobile Technologies have jointly announced the availability of an optimized software-based gesture recognition solution for the CEVA-MM3101 imaging and vision platform. eyeSights software leverages the CEVAMM3101 to offer substantial competitive advantages over alternative implementations of gesture recognition-based technology. As an example, the combined CEVA-eyeSight solution requires 20x less power consumption compared to an ARM Cortex-A9 based alternative solution, claims the companies. The CEVA-eyeSight solution supports multiple hand gestures as well as palm detection and tracking, supporting multiple users, up to 4.5 meters in distance away from the device and in low light conditions. The entire solution, incorporating eyeSights software and the CEVA-MM3101, requires less than 70MCycles for the complete gesture application, while consuming less than 20mW power in a 28nm process. To enable customers to further differentiate their solution, the fully programmable CEVA-MM3101 engine can be used to perform an array of additional vision-based functions in software, including face detection, eye tracking, 3D map creation, object tracking and image enhancement applications. CEVA www.ceva-dsp.com/ISP

SD and HD video development platform based on low-cost altera FPGa

SingMai Electronics has introduced a range of low cost boards targeted at SD and HD video development, based around an Altera EP3C25 Cyclone III FPGA which has the sufficient resources to run all of the SingMai video IP cores. The DP5-A is also bundled with an unlimited use/time license for the SingMai PT13 compact microprocessor IP core for all Altera FPGAs. The main board can accept up to three add-ons boards. Boards available include analogue and digital interfaces, including NTSC/PAL, YPbPr or RGB analogue component video at either SD or HD resolutions, HDMI/DVI and SDI/HD-SDI interfaces. Each board is also provided with Verilog example code and software drivers for the PT13. Additional boards include a QVGA LCD display panel and interface, a CMOS image sensor interface for both SD and HD sensors and fast ADC and DAC cards for the acquisition and display of nonstandard video. A range of user interfaces for user control is also provided, including an 8x2 character backlit LCD display, a digital encoder, switches and an IR remote control sensor. The development platform is also supported with the SingMai range of video IP cores including analogue video decoders and encoders, 3D video noise reduction, video pattern generators and character overlays and a complete suite of image processing functions for use with the CMOS image sensors. SingMai Electronics www.singmai.com www.electronics-eetimes.com

44 Electronic Engineering Times Europe July/August 2012

INTEGRITY moves onto Xilinx Zynq FPGas


Green Hills Software has ported its real-time operating system (RTOS) platforms, high speed JTAG and trace-enabled processor probes and integrated development environment to the Xilinx Zynq-7000 extensible processing platform (EPP). The Xilinx Zynq-7000 EPP tightly integrates a complete ARM dual-core Cortex-A9 MPCore processor-based system with low-power programmable logic for system architects and embedded software developers to extend, customise, optimise, and differentiate their systems, by adding peripherals and accelerators into the programmable logic. Green Hills Softwares extensive platform support for Zynq-7000 devices ranges from the embedded industrys first multicore IDE, MULTI as well as symmetrical multiprocessing support in its INTEGRITY operating system plus its tightly integrated processor trace debugging support via the TimeMachine debugger and SuperTrace probe. The INTEGRITY real-time OS, MULTI C/C++ compilers, and IDE, along with JTAG and trace-enabled processor probe and TimeMachine debugger support for the Zynq7000 EPP are available now. Green Hills Software www.ghs.com

FPGas and cSoCs that operate at 150 to 200C


Microsemi announced that its field programmable gate arrays (FPGAs) and SmartFusion customizable system-on-chip (cSoC) solutions are now characterized at extreme operating temperatures ranging from 150 to 200C. The devices have already been deployed in down-hole drilling products, space systems, avionics equipment and other applications requiring high performance and the utmost reliability in extreme low and high temperature environments. Components that are capable of highly reliable operation in extreme hot and cold temperatures are essential for oil exploration applications, aerospace and defense equipment, and other products used in harsh operating environments, said Paul Ekas, vice president of marketing for Microsemis SoC product group. Our new extreme temperature solutions demonstrate our continued commitment to delivering consistently high quality solutions that address tough industry challenges. Microsemi www.microsemi.com

low power latticeECP4 FPGas start shipping


Lattice Semiconductor has begun shipping the highest density member of the companys next generation LatticeECP4 FPGA family to select customers. The new LatticeECP4 FPGA family offers the richest portfolio of low cost, low power mid-range devices under 200K LUTs, with high performance innovations such as 6G SERDES in low cost packages, powerful DSP blocks and built-in hard IP-based communication blocks. The highest density device in the family, the LatticeECP4-190, features 183K LUTs, 480 double data rate DSP multipliers (18x18), 5.8 Mbits of memory and twelve 6 Gbps SERDES channels, making it ideally suited for a broad range of cost- and power-sensitive wireless, wireline, video and computing applications. Lattice has released three flipchip packages for the LatticeECP4-190 (676, 900 and 1152 pins) that are well suited for a wide range of applications. The LatticeECP4-190 FPGA offers high-speed CPRI and SRIO 2.1 interfaces and double data rate digital signal processing (DSP) blocks for building heterogeneous wireless networks. The LatticeECP4 FPGAs facilitate rapid construction of the latest 3G/4G metro basestations, small cell stations, pico stations, microwave and millimeter-wave backhaul links. The LatticeECP4-190 FPGA also provides wireline access developers with 36 embedded clock and data recovery circuits to build high port density switches and routers using low power FPGAs. Lattice Semiconductor Corporation www.latticesemi.com www.electronics-eetimes.com Electronic Engineering Times Europe July/August 2012 45

DESIGN & PRODUCTS


20Mpixel CMOS image sensor comes in a 35mm film optical format Win a complete piezo drive systems evaluation kit

Reader O f fer

CMOSIS has launched a 20-Megapixel image sensor, the CMV20000, featuring a 5120x3840 resolution with 6.4um sized square pixels resulting in an active sensor area of 32.8x24.6mm (35mm film optical format). Peak quantum efficiency reaches up to more than 45 percent resulting in a superb responsivity of 8.29 V/ lux.s in combination with an excellent dynamic range of 66 dB. By means of correlated double sampling in global shutter mode, the patented 8-transistor pixel cell architecture reduces any dark noise and FPN non-uniformity of the sensor matrix. At full 20 Megapixel resolution and with a 12-bit ADC resolution the CMV20000 delivers 30 full frames per second. This is achieved by using 16 LVDS outputs running at 480 Mbit/s each. Lower frame rates can be supported by multiplexing to 8 output channels only. Partial read out, windowing and subsamples modes, can be programmed to support higher frame rates. At full resolution and frame rate, the power dissipation is 1.1W. This power consumption can be dynamically controlled when lower frame rates are used. High dynamic range modes, offset and gain programming and power dissipation control is done over a 3-wire read-write SPI control. The monochrome variant of the CMV20000 image sensor is in production today. A color variant, with RGB Bayer CFA filter, will be introduced to the market in Q4 2012. It comes in a ceramic 143-pin PGA package and operates in the -20 to +70C temperature range. CMOSIS www.cmosis.com

A manufacturer of customized and standardized piezo drive systems, Elliptec produces and distributes the associated piezo components and offers a wide range of engineering and development services. This month, the company is offering EETimes Europes readers the chance to win an advanced evaluation kit worth 999 Euros for its high precision rotatory and linear positioning devices. Aimed at familiarizing users with its piezo-based micro motors, the comprehensive package includes one Elliptec MR2K1-06-001 module featuring a high-precision rotatory positioning device capable of registering up to 250.000 steps/ revolution, one Elliptec ML2K1-02-001 module featuring the companys high-precision linear positioning device, with a positioning accuracy of +/-2.5 m, a USB box interface enabling direct communication between a PC and the different modules and an AC adapter that provides power to the kit. The necessary data basis to start evaluating the positioning systems is provided on a CD-ROM shipping with the kit.

Check the reader offer online at www.electronics-eetimes.com


19-inch 1U slimline fan trays operate at up to 70C

Worlds first single-chip, integrated high performance 3-axis industrial gyroscope

InvenSense entered the industrial market with the introduction of the MPU-3300, claimed to be the worlds first single-chip, high performance integrated 3-axis industrial gyroscope. Drastically cutting the size through integration, MPU-3300 is 10X smaller than currently shipping industrial gyroscopes, claims the manufacturer, enabling industrial product designers to easily assemble the single-chip, threeaxis digital gyroscope into their devices with the highest degree of axis alignment vs. assembling three discrete gyroscope devices. The MPU-3300 also offers more than 100% lower noise compared to alternative offerings, providing accurate measurements for critical industrial applications. The MPU-3300, with a bias instability of 15 degrees/hour, is highly suited for a range of industrial applications including Attitude Heading Reference Systems (AHRS) which require extremely stable performance for precisionattitude tracking. AHRS systems are found in aerospace, robotics, and other applications where the orientation of a device must be measured. The MPU-3300 operates at less than 10mW. The MPU-3300 features a factory calibrated, monolithic 3-axis gyroscope in a small 4x4x0.9mm QFN package that simplifies placement, alignment and calibration. It is designed for operation in temperatures ranging from -40C to +105C. InvenSense www.invensense.com

Verotec has introduced new versions of its intelligent and standard fan trays that offer increased airflow and lower noise from the same fans. Updated PSUs and software enhancements enable the units to operate at up to 70C. Designed to provide localised cooling of 19 rack mounted or desktop equipment, all versions are 1U high in two depths: 250mm fitted with three fans and 350mm deep with six fans. The increased airflow and lower noise are the result of a new computer-optimised aperture pattern that provides an increased 75% open area in the protective guard above each fan. Mechanical protection is maintained and the new aperture design reduces noise as the air passes through it. The 48V 170 m3/h DC fan intelligent units are available with autoranging AC and single or dual -48V DC power inputs. They operate at half speed up to 35C, linearly increasing to maximum at 55C, ensuring that sound levels and energy consumption are optimised. If the temperature exceeds 71C, the fans all increase to full speed and an overheat alarm is generated. Warnings are also generated on thermal sensor failure to either open or short circuit and power fail. If one fan in a bank of three fails, the remaining two fans increase to full speed and again an alarm is generated. The standard units are fitted with fans operating from 12, 24 or 48 VDC or 115 or 230 VAC, and each unit is available with or without a replaceable filter. Verotec www.verotec.co.uk

46 Electronic Engineering Times Europe July/August 2012

www.electronics-eetimes.com

Royalty free M2M security and encryption extended to NXPs ARM Cortex-M MCUs

Real Time Logic is extending its SharkSSL cryptographic engine With the addition of a 2cm RTK upgrade, Racelogics VB3iSLto the LPC1000, an NXP ARM Cortex-M-based microcontroller for RTK can be used to validate the latest breed of Advanced machine-to-machine (M2M) designs. Designed to secure comDriver Assistance Systems to a high degree of accuracy. munication and management of embedded devices and dedicated VB3iSL-RTK comes with an external M2M applications, SharkSSL is transport agnostic. While most VBOX Manager to give users control over SSL stacks are tightly coupled to the TCP transport protocol, the setup of the twin antennas. SharkSSL can be used with any other transport protocol, whether Racelogic TCP or a more lightweightand even proprietarystack that www.velocitybox.co.uk an embedded system or sensor network may employ, such as Zigbee, or for common applications, such as email or web encryption, file transfer, voice over IP and wireless security authentication. The SharkSSL library has been optimized for high performance and efficiency in C code, achieving AES encryption at 750 kB/s and RSA encryption in as little as 18ms on a Cortex-M3-based core running at 50 MHz. Implementing SSL 3.0, TSL 1.0, and TLS 1.1 as well as intelligent embedded solutions. encryption algorithms AES, DES, 3DES, ARC4, SHA1, MD5, RSA, and DH, the SharkSSL crypto software library can be optimized for processors with hardware ee encryption engines, partial support, or with no hardware encryption support. e Real Time Logic www.realtimelogic.com

100Hz dual antenna GPS system now with 2cm position accuracy

life needs good solutions. they are on display here.

18GHz USB-powered, SPDT coaxial switch

ee

Agilent Technologies announced a USB-powered, single-pole doublethrow coaxial switch that operates from DC to 18 GHz. The U1810B USB coaxial switch will support the standard plug-and-play functionality of typical USB devices, eliminating the need for additional power adapters or drivers, and simplifying setup. A button on the switch enables users to toggle the signal path between two output ports without using the software interface. Similar to Agilents other microwave switches, the U1810B has a guaranteed 5-million-cycle operating life and guaranteed 0.03 dB insertion loss repeatability. The long operating life will help reduce the cost of test and ensures reliability of the test system throughout its life. The U1810Bs front panel provides an alternative software control interface. Agilent Technologies www.agilent.com

e ee e

ee

e e e
25th International Trade Fair for Electronic Components, Systems and Applications Messe Mnchen November 1316, 2012 www.electronica.de

www.electronics-eetimes.com

ele12embed-125x200-EETimesEuro_E.indd 1

Electronic Engineering Times Europe July/August 2012 47

29.05.12 14:01

DESIGN & PRODUCTS


10-degree-of-freedom MEMS IMU with sensor fusion algorithm for precise orientation sensing SMT cellular M2M communication module for automotive use

Analog Devices has introduced a 10-degree-of-freedom (DoF) MEMS inertial measurement unit (IMU) with an embedded sensor fusion algorithm that delivers accurate orientation sensing in platform stabilisation, navigation and instrumentation applications. The ADIS16480 10-DoF MEMS IMU is the latest addition to ADIs iSensor MEMS IMU portfolio and integrates a tri-axis gyroscope, tri-axis accelerometer, triaxis magnetometer, a pressure sensor and Analog Devices ADSP-BF512 Blackfin processor in a single package. The ADIS16480 incorporates an extended Kalman filter (EKF) that fuses the sensor inputs over time to render exceptionally precise positioning while reducing design time and cost compared to other MEMS IMUs. This is particularly useful in systems that require real-time positioning yet where movement is constant, complex and dynamic, such as military and commercial aircraft navigation, unmanned vehicles, movable platform positioning, and industrial robotics. Kalman filtering is a mathematical algorithm that estimates the given state of a noisy, variable process by taking multiple measurements over time, and merging these with a predictive state estimator. When embedded in the ADIS16480, the Kalman filter intelligently combines the MEMS IMUs motion sensor inputs to deliver exceptionally precise positioning data, even under complex operating conditions characterised by constant, unpredictable movement. By embedding the filter in the Blackfin processors core, ADI also saves designers the time and cost associated with the intensive code development, testing and external processing required by other MEMS IMUs. With a 330-MHz bandwidth that is 6x wider than competing sensors, the unit combines ADIs high-performance iMEMS technology and precision sensor-signal processing to support closely aligned (0.05 degree) and phase-matched axes, and industry leading non-linearity of 0.01%. Analog Devices www.analog.com

Cinterion launched what it claims to be the worlds smallest surface mount automotive M2M module providing global voice and data communications for vehicle telematics. The AGS2, measuring 27.6x18.8mm is Cinterions first offering as an Associate Partner in the Intel Intelligent Systems Alliance program, which provides OEMs and developers with the advanced hardware, software, firmware, tools and systems integration support needed to bring leading-edge technology solutions to market faster. The AGS2 Land Grid Array surface mount module was designed for cost effective vehicle telematics such as fleet management solutions, car alarms and eCall applications. The module features a secure Internet protocol connection, digital audio and advanced voice technology with voice prompts, which can be crucial during emergencies. Quad-band capability helps eliminate coverage disruptions, providing reliable communications even when roaming between counties and across different carrier networks. The modules advanced jamming detection feature strengthens theft prevention while its low power consumption helps extend vehicle battery life. AGS2 development kits are available immediately with commercial production starting now. Cinterion www.cinterion.com

OEM IR modules and outdoor camera systems enable multi-spectral image fusion

2mm pitch connectors offer 3A on all contacts simultaneously, operate from -55 to +125C
Harwin has added an extra-small bore crimp contact to its Datamate family of high reliability 2mm pitch connectors, designed for use in challenging applications. Adding to Datamates existing wire sizes of 22 AWG (large bore) and 24-28 AWG small bore, the new additions to the range feature an extra-small bore, female crimp contact, suitable for use with 28, 30 and 32 AWG wire. This new contact enables designers to use wire which is less bulky and lighter in weight, allowing designers to achieve a closer packing density of components or a reduce in equipment size. For aerospace and portable applications the reduction in weight is very significant. The new contact is gold plated to ensure good conductivity and has a current rating of 3.3A in isolation and 3A on all contacts simultaneously. Contact resistance 25m (maximum), durability is 500 operations. And operating temperature range is -55 to +125C. Harwin www.harwin.co.uk

Xenics has just released high-resolution OEM modules based on the same interface for both SWIR and LWIR, outdoor camera systems and multi-spectral image fusion. The modules are suited for demanding applications such as night vision (active and passive), UAV (defence, surveillance and fire-fighting), homeland security, and search and rescue missions. The Xenics Short Wave Module XSW-640 and the Xenics Thermal Module XTM-640 are compact and lightweight uncooled modules, lowpower and easy to integrate in the users application via the universal QTE connector. A longer detection, recognition and identification range is feasible due to the detectors small pixel size. The SWIR module XSW-640 is based on an uncooled InGaAs array of 640 by 512 pixels with a 20-m pixel pitch, measuring just 45x45x24mm. It is optimized for the detection of shortwave infrared radiation between 0.9 and 1.7 m, which can be extended to the visible spectrum down to 0.6 m. At a sensitivity of less than 90 noise electrons, the XSW is optimized for active and passive night vision. The LWIR module XTM-640 is based on an uncooled microbolometer array of 640 by 480 pixels with 17 m pixel pitch, measuring just 45x45x32mm. It is optimized for the detection of thermal infrared radiation between 8 and 14 m at a high thermal sensitivity of 50 mK. Xenics www.xenics.com www.electronics-eetimes.com

48 Electronic Engineering Times Europe July/August 2012

DISTRIBUTION CORNER
5.7 TFT module comes with a backlight lifetime of 70,000 hours Acal BFi samples standalone GPS receiver measuring 5.6x5.6mm

Japanese manufacturer of TFT modules Ortustech Technology is offering a wide product range of small and medium sized TFTs from 2.2 up to 6.5 screen sizes. One key product is the COM57T5M54ZSC 5.7 TFT module with a very compact outline of 130.32x101.2mm, a thickness of 9.39mm and weighing only 122 grams. The display has a VGA resolution of 640x480 pixels and an operating temperature range of -20 to +70C. It is offered as transmissive version. The displays are designed for the industrial market and a minimum life time of five years is therefore guaranteed. The optical performance, such as viewing angle L/R/U/D 80/80/65/80 and contrast ratio of 700:1 is brilliant due to the HAST technology (Hyper Amorphous Silicon TFT). Data Modul www.data-modul.com

Acal BFi announced the first European samples of OriginGPS new ultra-compact GPS receiver. Claimed to be the industrys smallest autonomous GPS receiver, the ORG4475s 5.6x5.6mm package boasts an integrated TCXO, RTC crystal, LNA and SAW filters. The device offers UART, SPI or I2C host interfaces, whilst achieving ultra-low power consumption of less than 9mW; a fast position fix of under one second and sensitivity of -163dBm, also allowing for an active or passive antenna to be used. The integration of an SiRFstarIV GPS processor and OriginGPS proprietary Noise Free Zone technology enables the ORG4475 to operate in challenging GPS environments. Acal BFi www.acaltechnology.com

Digi-Key and Anaren sign global distribution agreement

Electronic components distributor Digi-Key Corporation has entered into a global distribution agreement with Anaren to distribute the companys Integrated Radio (AIR) modules. RF technology is one of the fastest growing tech segments in todays market, said Mark Zack, Digi-Keys vice president of global semiconductor product. Anaren is well-placed to continue innovating within this market, and we are pleased to add them to our expansive line card. Digi-Key Corporation www.digikey.com

element14 first to offer Freescales Freedom Development Platform for Kinetis L Series MCUs
element14 is claiming to be the first to market with ecosystem solutions and the only distributor to take pre-orders for the new low-cost ARM Cortex-M0+ processor-based platform featuring Freescale Semiconductors high performance, low-power Kinetis L series 32-bit MCUs. element14, the first collaborative community and electronics store for design engineers and electronics enthusiasts and part of global electronics distributor Premier Farnell, is now supplying the new Freescale Freedom development platform for Kinetis KL2 32-bit MCUs. Working in close collaboration with Freescale, element14 becomes the first and only distributor to take early orders for the Freescale Freedom development platform. To make it easy for designers, element14 also provides a full ecosystem solution. element14 www.element14.com

Mouser launches industrial application training site

Mouser Electronics has unveiled a new industrial application training site on Mouser.com, developed to assist design engineers in quickly identifying the latest advancements and key trends in building automation technology. Organized into four sectors: Applications, Featured Products, Articles, and Resources, Mousers industrial application training site is a comprehensive resource, covering several areas of building automation, including two-stage Air Handling Unit (AHU) controllers, intelligent occupancy sensors, programmable logic controllers, plus Wi-Fi thermostats. The application sections system block diagram navigation enables engineers to quickly identify specific product sets adhering to their design requirements, based on defined parameters and engineering standards. Mouser Electronics www.mouser.com www.electronics-eetimes.com

Excelsys signs Nu Horizons Electronics for North America and Asia Pacific

Irish power supply company, Excelsys Technologies has added Nu Horizons Electronics, an Arrow Electronics company, to the company global distributor network. Nu Horizons will distribute Excelsys Technologies to customers in a variety of specialist markets including industrial, medical, lighting, communications and military in North American and the Asia Pacific region. Nu Horizons successful demand-creation capabilities will help Excelsys generate new opportunities in North America and throughout Asia, said Gary Duffy, CEO of Excelsys Technologies. Our expert sales and applications team will work together with Nu Horizons engineering team to provide additional power options, rapid design-in and applications support, easing system integration and helping customers meet their business needs. Excelsys www.excelsys.com

Electronic Engineering Times Europe July/August 2012 49

LAST WORD
Publisher Andr rousselot +32 27400053 andre.rousselot@eetimes.be editor-in-Chief Julien happich +33 153907865 julien.happich@eetimes.be editors nick flaherty +44 7710236368 nick.flaherty@eetimes.be Christoph hammerschmidt +49 8944450209 chammerschmidt@gmx.net ContributinG editors Paul buckley +44 1962866460 paul@activewords.co.uk Jean-Pierre Joosting +44 7800548133 jean-pierre.joosting@eetimes.be CirCulAtion & finAnCe luc desimpel luc.desimpel@eetimes.be AdvertisinG ProduCtion & rePrints lydia Gijsegom lydia.gijsegom@eetimes.be Art MAnAGer Jean-Paul speliers ACCountinG ricardo Pinto ferreira reGionAl AdvertisinG rePresentAtives Contact information at: http://www.electronics-eetimes.com/en/ about/sales-contacts.html

New EU Data Directive will drive turning point for security


By Shaul Efraim
quarterly or annually, depending on the ThE EUROPEAN COMMISSION is business sector. Some organizations also planning a raft of new directives on data perform more regular internal checks, but security that commentators say will come the design of these is open to interpreto be seen as an important turning point. tation and their frequency varies from The New 24-hour data breach disclosure organization to organization. rules are a golden opportunity for orgaThe reality of the data breach Directive nizations willing to embrace automation. is that administrators could be asked to The Directive includes a number of tough audit their security stance at any moment new provisions on data handling, but the in time as a breach is uncovered, with element that will give security profesonly a few hours notice. sionals the most immediate Referring back to an audit anxiety is the insistence possibly months or weeks that organizations doing in the past will be useless; business in the 27-nation CISOs will require an overEU zone inform national view of security policies, information commissioners compliance and data proof data breaches affecting tection that reflects what is consumers or citizens within happening at the moment 24 hours, or risk heavy fines the request is made. This for not doing so. This is a makes complete sense radical jump. having been can any company possibilunder little or no obligation ity understand its security to formally disclose a data state using an audit that breach in most EU countries, For the first time is possibly months out of companies will suddenly be required not only to inform everyone will be playing date? here the Directive imposes an important level the authorities but do so in by the same rules based of discipline organizations some detail on an accelerated timescale. Moreover, on a swift response should welcome. What such continuous the change will affect not auditing does do is render manual assessonly companies in the EU but those doing ment impractical. The solution - autobusiness in it, making the Directive the first mated auditing in real time goes from de facto global data breach law. being a useful convenience to an essential Informing the authorities that a breach component of any security infrastructure. has been discovered sounds straightforToday, realtime security and auditing ward but assuming administrators have requires that organizations integrate inforevidence that something has gone awry, mation from multiple types of hardware do they have the tools to say precisely system, and across a range of vendors what without delay? What sort of reportthat generate reports through proprietary ing systems do they have to explain the management consoles. On top of this any extent of a breach? Do possible security reporting infrastructure must also make failures have any regulatory and legal sense of the flow of security data from consequences and if so, what? A major different elements of the system, comparconsequence of this development is that ing this to a set of security policies. At any old-fashioned periodic, manual secumoment, security managers must be able rity audits and the manual configuration to react quickly when a particular setting processes that underlie them should be infringes the policy and have the means to viewed heading for obsolescence. describe what action was taken and why. Currently, security is often measured A key issue is whether this change for regulatory and compliance purposes from causal to mandatory and continuous through an external audit that takes place auditing will be viewed positively by the people tasked with putting it into practice, Shaul Efraim is Vice President of the security professionals themselves. products, marketing and business This is the biggest unknown of the data development at Tufin Technologies breach Directive. www.tufin.com www.electronics-eetimes.com

european business press

EuropEan BusinEss prEss sa 144 Avenue Eugne Plasky 1030 Brussels - Belgium Tel: +32 (0)2 740 00 50 Fax: +32 (0)2 740 00 59 www.electronics-eetimes.com VAT Registration: BE 461.357.437 RPM: Brussels Company Number: 0461357437 2012 e.b.P. sA
ELECTRONIC ENGINEERING TIMES EUROPE is published 11 times in 2012 by European Business Press SA, 144 Avenue Plasky, 1030 Bruxelles, Belgium Tel: +32-2-740 00 50 Fax: +32-2-740 00 59 email: info@eetimes.be. VAT Registration: BE 461.357.437. RPM: Brussels. Volume 14, Issue 07 EE Times P 304128 It is is free to qualified engineers and managers involved in engineering decisions see: http://www.electronicseetimes.com/subscribe Copyright 2012 by European Business Press SA. All rights reserved. P 304128

50 Electronic Engineering Times Europe July/August 2012

WHITE PAPERS

WWW.ElEcTRonIcS-EETImES.com/En/lEARnIng-cEnTER/

A leading reference resource for electronics engineers, EE Times Europes White Paper library includes over 600 white papers, application notes, technical articles, books and case studies that can be downloaded free of charge. The latest featured papers are available below. matched Resistor networks for Precision Amplifier Applications
Some ideal op amp configurations assume that the feedback resistors exhibit perfect matching. In practice, resistor non-idealities can affect various circuit parameters such as common mode rejection ratio (CMRR), harmonic distortion and stability. For instance, as shown in Figure 1, a single-ended amplifi er confi gured to level-shift a ground-referenced signal to a common mode of 2.5V needs a good CMRR. www.electronics-eetimes.com/en/Learning-center/ Not long ago, designing a 1,000 W power supply for operation on worldwide AC lines was a task for the most experienced power design engineers. Besides dealing with the design of autoranging front-end rectification circuitry and isolated power conversion stages, the designer faced a myriad of other complex technical and design issues: inrush current limiting, sizing and selection of holdup capacitors, transient protection, thermal design, and worldwide safety agency and conducted noise requirements. www.electronics-eetimes.com/en/Learning-center/

moving with the Times; Bluetooth Targets Smart, low-Power Applications


Since the arrival of the ARM Cortex-M3 processor, embedded developers have enjoyed a significant increase in freedom of choice and flexibility to select the optimum microcontroller for their designs. Standardising on a common core has also stimulated improvements in peripherals and memory performance, enabling development teams to increase functionality, reduce power consumption and cut time to market for new designs. www.electronics-eetimes.com/en/Learning-center/

Power components Simplify 1kW Power Design

TI-HiRel Space Products

To support the demanding nature of space applications TI and National have combined product lines to create a strong and united offering for space applications. Customers can expect no disruption of service as a result of this merger and that TI/National products will continue to be available. By combining our portfolios we provide a complete signal chain solution for space. www.electronics-eetimes.com/en/Learning-center/ The effective number of bits (ENOB) is a way of quantifying the quality of an analog to digital conversion. A higher ENOB means that voltage levels recorded in an analog to digital conversion are more accurate. In an oscilloscope the ENOB is not just determined by the quality of the analog to digital converter but by the instrument as a whole. This application note explains how to measure the oscilloscope ENOB and shows results for the R&S RTO for different settings. www.electronics-eetimes.com/en/Learning-center/

Information about HTML5 ranges from the W3C documents themselves to business cases, evangelists musing, and seemingly innumerable how-to articles and tutorials chock full of code samples. This white paper attempts to bridge the gap between the musings and the tutorials, and present an overview of HTML5 that will be useful to people who are not HTML5 experts, but who are technical enough to understand what we mean by, say, a chromeless browser. www.electronics-eetimes.com/en/Learning-center/

HTml5 Is Becoming the HmI Technology of choice

The Effective number of Bits (EnoB)

Technical considerations for Implementing USB 3.0 on Socs


Key USB software and systems providers are now shipping high volumes of products with USB 3.0 its no longer just for cutting-edge applications. This paper highlights features and enhancements in the USB 3.0 protocol, and outlines technical complexities and the resulting design challenges of implementation. You will learn how a USB 3.0 IP cores reconfigurability can broaden your designs potential applications. Finally, youll understand selection and integration considerations for USB 3.0 IP. www.electronics-eetimes.com/en/Learning-center/ www.electronics-eetimes.com

Tips and Tricks for Reducing Industrial computer noise


If one makes long-term observations of end-user demands across a number of products, one can see a similar evolution. At first, consumers seek only to possess a product, then they demand performance; once the technology has matured to a certain level, they begin to pay attention to the details. The IT industry is a perfect example. When the PC first came out, promotion was the primary goal; after the PC became popular, its specifications and performance quickly began to improve. www.electronics-eetimes.com/en/Learning-center/ Electronic Engineering Times Europe July/August 2012 51

You might also like