Download as pdf or txt
Download as pdf or txt
You are on page 1of 455

http://www.ebook.edu.

vn

TRNG AI HOC CONG NGHIEP TP. HO CH MINH
KHOA CONG NGHE IEN T
BO MON IEU KHIEN T ONG






Bai giang :
O LNG VA IEU KHIEN BANG MAY TNH
BIEN SOAN : ThS. HUYNH MINH NGOC









LU HANH NOI BO
TP. HO CH MINH, THANG 9-2009
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

2
LI NOI AU

Mon hoc o lng va ieu khien bang may tnh la mot mon hoc chuyen nganh
dung giang day cho sinh vien nam cuoi nganh ien t t ong. Mon hoc e cap en
van e ng dung may tnh (May tnh ca nhan PC, may tnh cong nghiep , va PLC) vao
he thong ieu khien va o lng. Xu hng phat trien la dung ieu khien da vao may
tnh (PC-based Control) vi he ieu hanh manh, giao dien than thien, phan mem de phat
trien va gia thanh hp l.
e hoc tot mon hoc nay sinh vien can phai hoc qua mon Ly thuyet ieu khien
t ong va Vi x l. Giao trnh gom 10 chng : Khai niem chung, cam bien va chuyen
oi, giao tiep qua ranh cam may tnh, lap trnh cho may tnh ieu khien, card thu thap
d lieu va ieu khien, giao tiep qua cong song song, giao tiep qua cong noi tiep va giao
tiep qua cong USB, lap trnh giao tiep noi tiep, cac bo chuyen oi d lieu A/D va D/A ,
ieu khien tuan t, he thong ieu khien so va mang truyen thong cong nghiep.
Bai giang nay tac gia a oc va giang day cho lp HT2ALT, HT3ALT,
va HT1TC, HT2TC va HT2A. Bai giang chac chan khong tranh khoi thieu
sot, va toi chan thanh cam n cac nhan xet gop y cua cac thay co giao trong bo mon
ieu khien t ong, cac ban ong nghiep va ban oc e bai giang ngay cang hoan thien
hn. Th gop y xin gi ve bo mon ieu khien t ong, Khoa Cong nghe ien t, trng
ai hoc Cong nghiep Tp. HCM. a ch :so 12 Nguyen Van Bao, P.4 Q. Go vap, TP.
HCM, T: 38940390; email: huynhminhngoc@hui.edu.vn.
Ngay 15 thang 7 nam 2010
Tac gia
Huynh Minh Ngoc
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

3
MUC LUC
Li noi au 2
Muc luc 3
Chng 1: Khai nim chung 6
1.1.May tnh trong iu khin qua trnh
1.1 iu khin phan cp va tch hp h thng
1.3. ieu khien vong h va ieu khien vong kn. He thong ieu khien so
1.4. Noi dung giao trnh va ng dung.
Cau hoi
Chng 2: Cm bin va chuyen oi 19
2.0. C ban o lng.
2.1.Cm bin nhit o
2.2.Cam bien lc va trong lng
2.3. Cm bin dch chuyn va khang cach
Cau hoi

Chng 3: Giao tip qua ranh cm may tnh 67
3.1.Giao tiep qua Ranh cm ISA, EISA, Ranh cm Vesa local bus
3.2. Giao tiep qua Ranh cm PCI, VMEbus (IEEE 1014), S-100, STD
3.3. Gii thieu mot so IC thng dung
Cau hoi va bai tap
Chng 3A: Vi ieu khien
Vi ieu khien PIC16F877A
Tap lenh cua PIC16F877A
Chng 4: Lp trnh cho may tnh iu khin 100
4.0. Cac ngon ng lp trnh
Hp ng 8086, Qbasic, Pascal, C, Visual Basic , Delphi, C++, Visual C++/BorlandC++
Builder.

4.1.Lp trnh xut nhp ngai vi
4.1.1.Lp trnh xut nhp
4.1.2.Vit file lien kt ng
4.2.S dng ngt trong iu khin , DMA, PCI/ PCI Exp. (PC104 Slot VME).
4.3. Vi ieu khien 8051 va lap trnh C
4.4. Nen tang phan cng va phan mem
Cau hoi va Bai tap
Chng 5A: Card thu thap d lieu va ieu khien 123
5.1. ac tnh cua card PCI-1711
5.2. Nhng ac iem ky thuat cua PCI-1711
5.3. S o ket noi I/O cua PCI-1711
5.4. S o khoi cua card PCI-1711.
5.5. Cach thanh ghi cua card PCI 1711:
5.6. Chuyen oi A/D, D/A va DO, DI:
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

4
5.7. Lap trnh cho card PCI 1711
Cau hoi va Bai tap
Chng 5: Giao tip qua cng song song 145
5.1.Tng quan v cng song song
5.2.Cu truc ca cng song song
5.2.1.Cng SPP
5.2.2.Cng EPP
5.2.3.Cng ECP
5.3.Ghep ni hai may tnh bng cng song song
5.4. Mach ng dung
-Mach en nhay.
-Tao xung vuong goc chan D0 cua thanh ghi d lieu.
-ieu khien en giao thong.
Cau hoi va Bai tp
Chng 6: Giao tip qua cng ni tip va USB 163
6.1.Tng quan v cng ni tip
6.2.Cu truc ca cng ni tip
6.3.IC thu phat vn nng bt ng b UART
6.4.Cac chun va phng thc truyn qua cng ni tip
-RS232
-RS485
6.5.Mach giao tiep cong noi tiep va cac chng trnh mu.
6.6. Modem
6.7.Mch lap trnh vi ieu khien ATmel 89C51
Cau hoi va bai tap
6.8. Giao tiep qua cng USB 185
6.8.1.Tng quan v USB
6.8.2.c tnh cng USB
-ac tnh cong USB.
- Mach chuyen oi USB-RS232.
6.8.3.Trao oi tin
6.8.4.Lp trnh giao tip thit b ngai vi qua cng USB
Cau hoi
Chng 7: Lap trnh giao tiep noi tiep 195
7.1. Lap trnh trong DOS
Ngon ng QBasic, Pascal, C, lenh trong MSDOS.
7.2.Lap trnh dung ngon ng Visual Basic 6.0.
7.3.Lap trnh dung ngon ng lap trnh Delphi 5.0 va Visual C++ 6.0.
7.4. Lap trnh dung Matlab
Cau hoi va bai tap

Chng 8: Cac b chuyn i d liu A/D va D/A. He thu thap d lieu 201
8.0. He thong thu thap d lieu.
8.1.Bien oi tng t so (ADC)
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

5
-Bien oi A/D
-Cac ky thuat bien oi A/D
-Giao tip ADC vi vi x l
-Gii thieu ADC 0809
8.2. Bien oi so- tng t ( DAC)
-Bien oi D/A
-Giao tip DAC vi vi x l
-Gii thieu DAC 0808
Cau hoi

Chng 8B: Bo ieu khien logic lap trnh c PLC (ieu khien tuan t ) 234
-Ly thuyet chuyen mach c ban
-Khai niem chung ve PLC
Cau hoi

Chng 9 : He thong ieu khien so 249
9.0. ieu khien hoi tiep
9.1. ac tnh he thong ieu khien so
9.2.Thuat toan ieu khien
9.3. Bien oi C(s) ra C(z)
9.4 . Thuat toan PID so
9.5. Anh hng cua khau bao hoa
9.6. Bo phan chap hanh va truyen ong ien. ong c servo va ieu khien v tr
9.7. ieu khien so bang may tnh CNC
9.8. Th du ve o lng va ieu khien bang may tnh 291
9.8.1.H thng iu khin nhit dung may tnh PC/vi x l.
9.8.2.H thng iu khin toc o ong c mot chieu (DC) dung vi x l
9.8.3.Bo nh thi cac thiet b c ieu khien bang vi x l.
9.8.3.2. He thong ieu khien en giao thong dung AT89C51.
9.8.4. Bo ieu khien cng o sang dung vi x l
9.8.5. He thong thu thap d lieu nhieu kenh
9.9. Thiet ke at cc: tiep can khong gian trang thai.
9.10. Thiet ke ieu khien toi u: tiep can khong gian trang thai.
9.11.He thong vi s khong chac chan (He m)
Cau hoi va Bai tap
Chng 10: Mng truyen thong cong nghip 348
10.1. Khai nim
10.2.Mang Ethernet va bus trng
-Mang Ethernet
-Bus trng.
-ieu khien dung PC.
10.3. Mang I
2
C.
Cau hoi
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

6
Tai lieu tham khao 371
Phu luc A: May tnh cong nghiep 373
Phu luc B: Visual Basic 6.0
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

7
CHNG 1: KHAI NIEM CHUNG
1.1. May tnh trong ieu khien qua trnh
1.1.1. May tnh trong ieu khien qua trnh : khai niem c ban
Ngay nay viec s dung may tnh noi rieng va vi x l noi chung trong cac day
chuyen san xuat hien ai a la yeu cau bat buoc e tang nang suat va chat lng san
pham. Trong cac san pham dan dung viec s dung vi x l gop phan tang tnh thong
minh cua san pham va tao tien li cho ngi s dung.
e mo ta cu the cua may tnh trong ieu khien qua trnh, chung ta can nh
ngha qua trnh la g. Qua trnh vat ly (a physical process) la to hp cac tac vu c
thc thi e tac ong len, thay oi, mot ieu g o trong the gii thc. S chuyen ong,
phan ng hoa hoc va truyen nhiet la cac qua trnh . San pham (materials) va nang lng
(energy) la thanh phan c ban hien nhien cua qua trnh vat l.


Nhieu

San pham vao san pham ra

Nang lng vao Nang lng ra

Thong tin Thong tin
Vao ra
H1.1 : Mo hnh qua trnh vat ly tong quat
May tnh so la thiet b quan trong x l thong tin (H1.2)va co the tac ong len
thong tin lien quan en qua trnh (H1.3).

Thong tin vao Thong tin ra

Hnh 1.2: Hoat ong cua may tnh so
Qua trnh vat ly
Moi trng
May tnh
so
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

8
Vi x l c s dung trong ieu khien va o lng di ba dang:
-May tnh ieu khien (May vi tnh-MVT).
-Vi x l ieu khien nhung (con goi la vi ieu khien-VK), ngha la vi ieu
khien la mot bo phan khong tach ri cua thiet b c ieu khien.
-Bo ieu khien logic lap trnh c.
MVT : Personal computer
VK: embedded microcontroller/embedded microprocessor
PLC : Programmable logic controller.
Ca ba dang tren c thiet ke da tren c s hoat ong cua vi x l vi chc
nang x l thong tin theo s o H1.3.



Nhieu
San pham vao San pham ra
Nang lng vao Nang lng ra
Tn hieu o lng
Va ieu khien



H1.3. May tnh trong ieu khien qua trnh
1.1.2.Lch s phat trien:
Mot th du thc tien au tien cua ng dung may tnh ieu khien qua trnh la vao
nam 1959; no lien quan en mot so chc nang nha may hoa dau tai Port Arthur,
Texas(UAS). Cong trnh au tien ket hp gia cong ty Thomson ramo Woolridge va
Texaco. RW300, may tnh dung en ien t, kiem soat dong chay, nhiet o, ap suat va
phan t trong nha may loc (hoa dau). May tnh tnh toan tn hieu ieu khien mong muon
Moi trng
Qua trnh vat l
May tnh Thiet b nhap
(ban phm)
Thiet b xuat
(man hnh)
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

9
da tren d lieu vao va thay oi iem at cua bo hieu chnh analog va ch th ngi
van hanh cac ieu khien c thc hien bang tay.
Van e kinh te khong ch la van e. o tin cay phan cng thap v dung en ien
t. Phan mem c viet bang lap trnh hp ng.
Phng phap toan hoc co ien da tren phan tch thi gian lien tuc khong the
dung trc tiep cho thiet ke vong lap ieu khien e hien thc tren may tnh. ieu khien
may tnh ban au minh hoa s can thiet cho ly thuyet ieu khien lay mau, cung cap nen
tang cho s phat trien. Chng trnh khong gian cua My trong thap nien 1960 va ac
biet d an Apollo a hien thc cho cong trnh ly thuyet va thc tien.
Vao nam 1962, ICI (Imperial Chemical Industries) gii thieu khai niem ieu
khien so trc tiep DDC(Direct digital control) ; y tng la thay vong ieu khien analog
thong thng bang may tnh trung tam. Y tng cua DDC van con c ap dung trong
nhieu he thong ieu khien may tnh ngay nay.
Ten goi ieu khien so trc tiep nham nhan manh rang may tnh ieu khien qua
trnh mot cach trc tiep. Tnh linh hoat la thuan li cua he thong DDC.
S phat trien ban dan trong thap nien 1960 dan en s phat trien manh me cua
may tnh. Ba yeu to: phan cng may tnh tot hn, qua trnh t phc tap hn, va ly thuyet
ieu khien phat trien , c ket hp lai gia tang s thanh cong cua ieu khien may tnh.
ay la thi k may tnh mini. Cac oi hoi ve may tnh ieu khien qua trnh gan chat vi
s phat trien cua cong nghe mach tch hp. Co the thiet ke hieu qua he thong ieu khien
qua trnh bang may tnh mini. May tnh qua trnh tieu bieu thi k nay co o dai t 16
bit. Bo nh chnh la 8-124 K words. O a c s dung thong thng la bo nh phu.
CDC 1700 la may tnh tieu bieu thi k nay.
Cac ng dung may tnh thong dung trong ieu khien cong nghiep la bus m. Giao
tiep (bus) gia cac moun van hanh c nhan manh.
Phan cng may tnh phat trien manh me, nang lc tnh toan manh, ly thuyet ieu
khien phat trien: ieu khien hien ai, ieu khien thong minh(he m va mang nron).
Cac ng dung ieu khien may tnh gia tang. Phi thuyen tham hiem mat trang Apollo 11
vao nam 1969 co may tnh vi 64 KByte bo nh chnh.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

10
Thi ky may vi tnh va s dung a nang cua ieu khien may tnh.
Viec s dung de dang cua ieu khien may tnh b gii han trong he thong cong nghiep
ln v may tnh so ch dung trong cac may at tien, ln, cham va khong tin cay. S phat
trien cua cong nghe vi ien t tiep tuc cung tien bo trong cong nghe VLSI; nam 1990s
bo vi x ly tr nen thong dung vi gia vai USD. Th thng ln nh la ien t o to a
dan en s phat trien cua may tnh chuyen dung c goi la vi ieu khien, trong o
chip may tnh chuan co san A/D va D/A, thanh ghi va cac ac iem khac lam cho no
giao tiep de dang vi thiet b vat ly. ieu khien qua trnh hien thc dung ky thuat kh
nen hay ien t nhng luon la ieu khien da vao may tnh. ieu khien so vi thuat
toan PID. May ieu khien so NC va ieu khien so bang may tnh CNC cung c phat
trien.
He thong ieu khien so phat trien , ca phan cng va phan mem. Cau truc cua
toan the giai phap gom n v phan cng, moun phan mem va truyen thong la thach
thc chnh.
Logic, tuan t va ieu khien.
He thong t ong hoa cong nghiep truyen thong co hai thanh phan la bo ieu khien va
logic role. Bo ieu khien logic lap trnh c PLC c dung nhieu trong cac day
chuyen cong nghe v kha nang lam viec lien tuc va trong moi trng khac nghiet.
ieu khien phan bo
Cong nghe vi x l a nhan manh vao cach may tnh ap dung vao ieu khien toan bo
nha may san xuat. That la hieu qua kinh te e phat trien he thong gom nhieu may vi
tnh giao tiep nhau chia xe tai nguyen. Nhng he thong nh vay gom tra m qua trnh,
ieu khien qua trnh; tram van hanh o ngi van hanh qua trnh giam sat ca c hoat
ong; va nhieu tram khac na, chang han cho cau hnh he thong va lap trnh, lu tr d
lieu,vv He thong au tien loai nay la Honeywell TDC 2000 vao nam 1975 va nhanh
chong c phat trien bi cac hang khac. Thuat ng ieu khien phan bo c nhan
manh.
He thong ieu khien va giam sat dien rong (SCADA). May tnh cong nghiep manh
(IPC) la m nhiem vu giam sat, ket noi vi PLC hay bo ieu khien thu thap vao ra hien
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

11
trng, cac module I/O thong qua mang Ethernet-TCP/IP hay bus trng . Phan mem
giao dien ngi may (HMI) cung ieu khien va giam sat he thong. Tng lai tien en la
CIM, san xuat tch hp dung may tnh.
ieu khien da vao may tnh (PC based Control) la xu hng phat trien.
1.1.3.He thong:
He thong hieu theo quan iem hop en, ch can biet quan he vao ra cua he,
khong quan tam ben trong he hoat ong ra sao. Muc ch cua he la at c ket qua ve
nh tnh va nh lng cao hn tong ket qua cua tng thanh phan n.
Vao Ra



He thong co nhieu loai: ien, hoa hoc, c kh va sinh hoc. May tnh ca n han la
he thong c xay dng vi cac thanh phan c ban gom n v x l trung tam CPU, bo
nh va thiet b ngoai vi, bus he thong. Cung vi phan mem them vao(phan mem he
thong, phan mem ng dung) chung ta co may tnh ma no co the thc hien nhieu th. C
the con ngi la he thong rat phc tap c xay dng vi cac phan hu c ma thc hien
cac chc nang khac nhau.
Mot kha canh quan trong cua tri thc he thong la he thong ong.
Mo hnh cho ieu khien : gom co
-Mo ta he lien tuc.
-Mo ta he lay mau.
- He tuan t hay s kien ri rac.
-He thong vi s khong chac chan (thong tin khac chac chan).
Ro rang, co cac cach tiep can khac nhau phu thuoc vaomo hnh he c s dung nh
the nao. Bo ieu khien khac nhau can mo hnh oi tng khac nhau. Chung ta xem xet
he ca tiep can mien thi gian va tiep can mien tan so.
1.1.4.Mot so ng dung tieu bieu cua he thong ieu khien may tnh:
He thong (hop
en)
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

12
ng dung ieu khien may tnh qua trnh rat nhieu : cong nghe c kh che tao:
NC, CNC , FMS, robot; cong nghep x l hoa, dau kh, nha, giay; he thong nang lng
ien; ieu khien en giao thong ,vv
Cac qua trnh co s dung may tnh ieu khien rat a dang, th du:
- He thong ien.
- Dan khoang dau kh, nha may hoa dau..
- Nha may hoa chat, xi mang, giay, nha..
- Nha may c kh che tao va lap rap (NC, CNC, robot..), FMS.
- He thong ieu khien giao thong.
Cau truc tong quat cua he thong ieu khien bang may tnh H1.4, va gom co
cac bo phan sau :
-Bo x l trung tam (bao gom vi x l, bo nh..).
-Cac kenh truyen thong lien lac gia ngi-may tnh va may-may.
-Cac thiet b ghep noi va chuyen oi tng t-so, so tng t.
-Cam bien (cam bien nhiet, ap suat, dch chuyen, van toc..).
-Chap hanh(Relay, ong c, van kh va thuy lc, xy lanh..).
-Qua trnh vat ly.












PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

13



Ngi
Van hanh


Tn hieu Tn hieu
nh phan nh phan







H1.4. Cau truc he thong ieu khien bang may tnh
Tuy theo o phc tap cua oi tng ieu khien ta co the s dung mot cong cu
may tnh hoac la he thong nhieu may tnh ghep vi nhau theo mang phan bo va phan
cap. Viec giao tiep gia cac may tnh thng la giao tiep tuan t khong ong bo. Giao
tiep ngi-may thc hien qua ban phm(keyboard, touch panel), man hnh (CRT, LCD)
hay kenh am thanh.
Tn hieu o lng ieu khien co 2 dang : nh phan(on/off) va tng t. oi vi
nhng tn hieu tng t t cam bien, can s dung cac bo chuyen oi ra dang ien ap
hay dong roi chuyen t tng t sang so(ADC). Tn hieu ieu khien dang so can
chuyen sang tng t (DAC). Tuy theo loai c cau chap hanh ta can cac bo khuech
ai cong suat phu hp (KCS lp B, ieu rong xung, ieu khien pha, bien tan, khoa
ban dan, role).
May tnh trung tam
May vi tnh
Giao dien
oi TT-so oi so - TT
Khuech ai cong suat Khuech ai cong suat
Cam bien Chap hanh
Qua trnh
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

14
Do ban chat lam viec cua may tnh la tuan t nen may tnh ch giao tiep vi
ben ngoai theo nhng khoang thi gian ri rac v vay he thong ieu khien dung may
tnh la he thong ieu khien ri rac(lng t) va cac van e phat sinh nh thi gian lay
mau, thi gian tre do tnh toan x ly, sai so do lng t v o phan giai co han cua
chuyen oi A/D va D/A can phai c xet en.
1.2. ieu khien phan cap va tch hp he thong
He thong ieu khien qua trnh thc te thng la he thong phc tap baogom
Nhieu vi x l the hien di ba dang MVT, VK va PLC. Lay th du may CNC tc la
may cong cu ieu khien so bang may tnh gom cac phan sau :
*Mc thap nhat la cac ban mach ieu khien truyen ong ien ong c bc hay ong
c chap hanh dung e tao chuyen ong theo ba chieu. Cac ban mach nay s dung
VK lam nhiem vu o va ieu khien v tr theo tr so at t mc tren a xuong.
*Tren mot mc la bo ieu khien so NC s dung VK co man hnh tinh the long va ban
phm ghep vi cac ban ma ch ieu khien va an nh o dch chuyen cac truc. Cac
khoang dch chuyen theo ba truc co the a vao bang ban phm(ieu khien bang tay),
bang uc lo hoac t may tnh chay chng trnh CAD/CAM a xuong. Quy ao dao(hai
hay ba chieu ) c hien th tren man hnh tinh the long con cac toa o hien th di
dang nh phan.
*Ben canh bo ieu khien so co the them mot PLC lam cac nhiem vu co tnh chat lap
va n ieu nh phun chat giai nhiet thay dao, ieu khien truyen ong chnh .. lien laic
vi VK mc hai.
*Tren cung la may vi tnh lap ra ng i cua dao cat da tren yeu cau gia cong va
cong nghe cat got. May vi tnh se chuyen xuong cho bo ieu khien so chng trnh gia
cong.





PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

15














H1.6. Cau truc ieu khien phan cap may CNC
M rong ra cho qua trnh phc tap th du nh nha may. Mc thap nhat la cac may va
cac n v san xuat tiep xuc trc tiep vi qua trnh (day chuyen). mc tren la cac te
bao san xuat gom nhieu may ket hp (phan xng). Lch san xuat cua cac phan xng
do phong ke hoach san xuat a xuong tren c s ke hoach cua hoi ong quan tr va
giam oc (hnh 1.7).









Giao dien
ngi- may
May vi tnh
Bo ieu
khien so
PLC
Ban mach
ieu khien
Ban mach
ieu khien

May cong cu
Quan l
ieu khien san
xuat
ieu khien qua
trnh
ieu khien
cuc bo
ieu khien
cuc bo
ieu khien
cuc bo
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

16

H1.7: ieu khien phan cap x nghiep.
Do tnh chat a dang cua cac thiet b ve chung loai va nhan hieu van e ghep noi
cac thiet b noi tren (tch hp he thong- system intergrator) oi hoi phai co tieu chuan
chung ve c (kch thc), ien (ien ap, dong, cap noi), truyen thong.
He thong san xuat phc tap thng cau truc theo mang, thap nhat la mang PLC
gom nhieu PLC ket noi qua mang MPI, Profibus.. trong mang co may tnh hay man hnh
HMI. Cap cao hn la mang PLC+ may tnh SCADA(Supervisor Control and Data
Acquisition), may tnh lam nhiem vu chan oan h hong, hien th, thay oi thong so
hoat ong cua he thong, lu tr va tng trnh, co the co nhieu may tnh ket noi theo
mang LAN. Mot dang phan cap khac la he thong ieu khien phan bo DCS(Distributed
Control System), trong mang co may tnh giam sat, may tnh ieu khien qua trnh, PLC,
RTU(Remote Terminal Unit), FCU(Field Control Unit) hoat ong di mot chng trnh
bao am nang suat, chat lng san pham, tranh ngng day chuyen san xuat.
1.3.ieu khien vong h va ieu khien vong kn. He thong ieu khien s
ieu khien vong h:
He thong ieu khien vong h (He thong khong hoi tiep)
Thanh phan cua he thong ieu khien vong h thng c chia lam hai phan: bo ieu khien va
qua trnh b ieu khien (con goi la oi tng ieu khien).





r(t) u(t) c(t)


Hnh
Trong o : r(t) la tn hieu vao, c(t) la tn hieu ra, u(t) la tn hieu ieu khien.
ieu khien vong kn (ieu khien hoi tiep):
He thong ieu khien vong kn (He thong ieu khien co hoi tiep).
S o khoi cua he thong ieu khien (HTK) vong kn :









TK Bo ieu
khien
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

17
r(t) e(t) u(t) c(t)
+
-
Bo so sanh c*(t) K
T





L
Hnh
Trong o : r(t) la tn hieu vao; c(t) la tn hieu ra; c*(t) la tn hieu hoi tiep va u(t) la tn hieu ieu
khien.
Mot he thong ieu khien bao gom 3 thanh phan c ban o la oi tng ieu khien, cam bien hay
thiet b o lng va bo ieu khien dung e hieu chnh cac hanh vi cua he.
Tn hieu au ra bo so sanh e(t)=r(t)- c*(t), la sai so, hnh ve 1-3 cung chnh la tn hieu au vao
cua bo ieu khien.
He thong ieu khien so:
He thong ieu khien bang may tnh cha ca tn hieu lien tuc va tn hieu lay mau
hay ri rac theo thi gian. Nhng he thong nh vay ve truyen thong c hoi la he thong
lay mau d lieu.
He thong ieu khien bang may tnh (Computer-controlled system) con c goi
la he thong lay mau d lieu (sampled-data system). S o khoi he nh sau


May tnh




y(t)
{y(t
k
)} {u(t
k
)} u(y)





Hnh 1.8: S o khoi cua he thong ieu khien bang may tnh.
Thuat toan ieu khien: PID, at cc, toi u tuyen tnh dang toan phng (LQ).
Cac th du cua he thong ieu khien bang may tnh:
-ieu khien v tr.
-ieu khien toc o.
-ieu khien nhiet o.
-ieu khien dong (lu lng)(Flow control).
A-D
Giai
thuat
D-A
Qua
trnh
(oi
tng)
Clock
Bo ieu
khien
oi tng, qua trnh
ieu khien
Cam bien, thiet b
o lng
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

18
-ieu khien ap suat.
-ieu khien cong suat, dong ien, ien ap.
-ieu khien cng o sang.
1.4. Noi dung giao trnh va ng dung
Muc ch chnh cua giao trnh la the hien quan iem tch hp cua ieu khien
may tnh trong cac qua trnh cong nghiep.
Cac kien thc ly thuyet nen tang can thiet ma ngi oc can biet :
.Tnh toan c ban.
.Ly thuyet mach ien c ban.
.Ly thuyet ieu khien hoi tiep c ban.
.Nguyen ly c ban ve cau truc may tnh va hoat ong.
. Ngon ng lap trnh cap cao nh la Basic, Pascal, Fortran, C/C++, Visual Basic,
Delphi, Visual C++/Visual C#.
Khi s dung may tnh ieu khien qua trnh ta phai giai quyet cac van e sau:
. Ghep noi may tnh vi ngoai vi(cam bien va chap hanh).
. Lap trnh ieu khien thi gian thc bao gom cac chng trnh con o, x l so, thuat
toan ieu khien, xuat tn hieu ieu khien.
.Truyen thong va mang cong nghiep.
Giao trnh mon hoc co muc ch trnh bay cac kien thc can thiet khi s dung
vi x l vao ieu khien va o lng, va gom co cac chng sau :
-Khai niem chung.
-Cam bien va chuyen oi.
-Giao tiep qua ranh cam may tnh.
-Lap trnh may tnh ieu khien.
-Giao tiep vi cong song song.
-Giao tiep vi cong noi tiep.
-Giao tiep vi cong USB.
-Chuyen oi d lieu A/D va D/A.
-He thong ieu khien so.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

19
-Mang truyen thong cong nghiep.
Cau hoi : Chng 1: Khai niem chung
1. May tnh trong ieu khien qua trnh.
2. Lch s phat trien cua ieu khien may tnh qua trnh.
3. He thong la g? Cho v du.
4. Trnh bay cau truc he thong ieu khien bang may tnh.
5. Trnh bay he thong thu thap d lieu.
6. Cau truc ieu khien phan cap may CNC.
7. Bai toan ieu khien may tnh qua trnh tieu bieu.
8. Trnh bay he thong ieu khien : lien tuc va so.
Tham khao : [1].TS. Nguyen c Thanh, o lng va ieu khien bang may tnh, NXB
HQG Tp. HCM, 2002 (lan 1) va 2005( lan 2).
[2]. Gustaf Olsson and Gianguido Piani, Computer systems for automation and control,
Prentice Hall ,1992.
[3].K.J.Astrom,B.J Wittenmark, Computer-Controlled Systems - Theory and Design,
Prentice Hall, 3
rd
ed., 1997.
[4]. Gene F. Franklin, J. David Powell, Michael L. Workman, Digital Control of
Dynamic Systems, 2
nd
ed., Addison-Wesley, 1990.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

20
CHNG 2: CAM BIEN VA CHUYEN OI.
Cau truc tong quat cua vao ra may tnh qua trnh :












Hnh 2.1. Cau truc tong quat cua vao ra may tnh qua trnh.
Cac thanh phan cua giao tiep qua trnh gom co :
-o lng.
-Chap hanh .
-Bang thong va nhieu.
-Truyen tn hieu.
Dung cu o lng

ai lng can o He thong ien
(tn hieu analog)


Hnh 2.2. S o khoi cua dung cu o lng.
Qua trnh cong
nghiep
Cam
bien
Chap
hanh
Gia cong
ngo vao
Gia cong
ngo ra
Giao tiep
ngo vao
may tnh
Giao tiep
ngo ra
may tnh
Bo x l
Van
hanh

Cam bien
tn hieu
Chuyen
oi
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

21
Co rat nhieu loai cam bien o cac ai lng khac nhau nhng chung ta ch xet cac cam
bien thong dung trong ieu khien qua trnh nh nhiet o, lc, dch chuyen, van toc, ap
suat, lu lng.
2.0.C ban o lng:
Trong o lng ta phai thc hien cac phep o gia tr cua ai lng nh la nhiet
o, ien ap,.. nen co sai so. Theo hnh thc ma he thong xay ra sai so:
-Sai so he thong: sai so c duy tr ket qua o lng, khi s o lng c lap i lap
lai trong cung moat ieu kien lam viec. Sai so nay co the do dung cu o, do viec nh
chuan thang o, do anh hng moi trng nh : nhiet o, o am, t trng hoac ien
trng nhieu.
-Sai so ngau nhien: sai so nay hoan toan khac han sai so he thong, khi s o lng c
lap im lap lai th tr so sai so nay lai khac nhau. Muon tnh toan sai so ngau nhien th
phai dung en ly thuyet xac suat va thong ke.
Cach tnh toan sai so:
-Sai so tuyet oi: c nh ngha bang bieu thc sau nay:
a a a '
trong o : a: la tr so o c do thiet b o.
a: tr so that cua ai lng o.
Thc te ta ch xac nh tr so gii han ln nhat cua sai so tuyet oi.
max ' a a a
do o a a .
-Sai so tng oi:
Sai so tng oi cua ai lng o c xac nh nh sau:
' a
a
r


hoac tnh theo tr so (%):
100
'
(%) x
a
a
r


-Sai so ngau nhien: ay la moat sai so khong the loai bo c va phai giam bo sai so
nay bang ky thuat o lng tot nhat va phai phan tch ket qua thu c.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

22
2.1. CAM BIEN NHIE T O
Cam bien nhiet o la phan t quan trong trong bat ky mot he thong o nhiet o
nao.
Cam bien nhiet o co kha nang cam nhan c tn hieu nhiet o mot cach chnh
xac, trung thc va chuyen oi thanh tn hieu co the o lng c nh ien ap , ien tr,
ien tch, the tch , ap suat vv...
2.1.1. Cac thong so cua cam bien :
2.1.1.1. Thong so cau tao : tuy thuoc vao tng loai cam bien .
2.1.1.2. Thong so s dung : gom cac yeu to sau :
a). Khoang lam viec : la khoang nhiet o ma cam bien co kha nang ghi nhan c, cac
gia tr cha b bao hoa. Khoang lam viec phu thuoc tnh chat cau tao, ly hoa cua tng
loai cam bien.
b). o nhay : o nhay cua cam bien c nh ngha


dX
dF
S
Trong o, dF : s thay oi cua ai lng o
dx : s thay oi cua ai lng vat ly.
Neu S = const trong suot khoang thi gian lam viec th cam bien o tuyen
tnh vi nhiet o lam viec . Neu S thay oi trong cac khoang lam viec th phai tuyen
tnh bang cach chia tam o cua ca m bien ra nhieu oan nho, co the xem nh tuyen tnh.
Do o cam bien se hoat ong trong mot tam gii han nhat nh .
c). Ngng o nhay : la mc thap nhat ma cam bien co the phat hien c.
d). Tnh tre : Tnh tre con c goi la quan tnh cua ca m bien . Tnh tre cua cam bien
tao nen sai so cua phep o.
Toc o thay oi cua ai lng o phai phu hp vi tnh tre cua cam bien. Neu
ai lng o thay oi nhanh, ma quan tnh cua cam bien ln th khong the o chnh xac
c. Moi cam bien nhiet eu co tnh tre.
2.1.2. Phan loai cam bien :
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

23
Ca m bien nhiet o c phan thanh nhieu loai da tren cac nguyen ly hoat
ong khac nhau cua cam bien va c phan ra thanh nhng loai sau :
- Nhiet ke da tren ap suat ang tch.
- Nhiet ke da tren s gian n ve the tch.
- Nhiet ke da tren s thay oi ien tr.
- Nhiet ke da tren s thay oi sc ien ong nhiet.
- Hoa ke quang hoc.
Bang 2.1 cho pham vi o nhiet o cua cac loai cam bien thong thng.

Ten nhiet ke Pham vi o
Nhiet ke chat long
Nhiet ke ien tr
Nhiet ke ban dan
Cap nhiet ien
Hoa ke quang hoc
Kieu bc xarieng phan
Kieu quang hoc
Kieu bc xa mau
0 C 650 C
- 200 C 650 C
100 C 1200 C
- 50 C 2500 C

Tren 100 C
Tren 800 C
Tren 400 C
Bang 2.1 : Pham vi o cua cac loai cam bien.
2.1.3. Mot so loai cam bien thong dung :
2.1.3.1. Cap nhiet ien:
a). Cau tao :
+ --

Hnh 2.3. Ky hieu cap nhiet ien.
au o
Hnh tren la ky hieu cua cap nhiet ien. Cap nhiet ien co cc dng c anh
mau o, cc am khong sn mau. Cc tnh cua cap nhiet ien phu thuoc vao vat lieu kim
loai che tao. Ngoai ra con sn cac mau khac e phan loai cap nhiet ien khi s dung.
- ong - Constantan : sn mau nau.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

24
- Sat - Constantan : sn mau xanh da tri.
- Platin - Platin Rhodi : sn mau trang.
Cap nhiet ien gom hai si day kim loai khac nhau co mot au c han dnh
vi nhau. au han dnh c at ni can o nhiet o, o chnh la au o. Hai au con
lai cua hai day kim loai c gi nhiet o co nh goi la hai au ra cua cap nhiet ien.
No se tao ra mot sc ien ong phu thuoc vao hieu so cua hai nhiet o cua hai thanh
kim loai, hai au nay c noi vi bo ch th o.
Khi ta ot nong moi han cua hai kim loai bat ky nao th cung eu phat sinh ra sc
ien ong gia hai thanh. Nhng trong thc te, tat ca nhng kim loai, vi hp kim cua
chung ma c dung lam cap nhiet ien can phai thoa man cac ieu kien sau :
- o tinh khiet cao.
- Tnh chong an mon tot.
- o nong chay ln hn moi trng can o.
- Tnh dan nhiet , dan ien tot.
- Tnh lap lai tot trong khoang thi gian dai.
o chnh xac cua cap nhiet ien phu thuoc vao o chnh xac khi che tao.
b). Nguyen tac lam viec :
T
a
a
T
1
T
2

T
b
b

Hnh 2.4 :Nguyen ly hoat ong cua cap nhiet ien.
Khi nung nong mot day kim loai hay mot phan cua oan day, tai o co tap trung
ien t t do va ien t co khuynh hng khuech tan t ni tap trung nhieu en ni tap
trung t, ngha la ien t khuech tan t au nong ( + ) sang au nguoi ( - ). oan day
xuat hien mot sc ien ong phu thuoc vao ban chat cua day.
Neu ta dung hai day kim loai ong chat noi vi nhau qua hai iem co nhiet o T
1

va T
2
th trong mach se xuat hien hai sc ien ong bang nhau nhng nghch chieu nhau,
va tong sc ien ong bang 0.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

25
Nhng neu mach kn c tao bi hai day dan khac nhau a va b th tong sc ien
ong xuat hien trong mach nay co gia tr khac 0 va phu thuoc vao hieu so gia T
1
va T
2

moi ghep day dan.
Do sc ien ong phu thuoc vao nhiet o nen khi mot au han co nhiet o cao
hn th co s khuech tan cua cac electron o ln hn moi han kia. ieu nay lam cho
sc ien ong moi han au nong ln hn au lanh nen sc ien ong tong khac 0.
Ngoai ra nang lng electron au nong cao hn au lanh nen dong electron
cung theo chieu o khuech tan. au lanh tch ien am, au nong tch ien dng va s
chuyen ong cua no anh hng en chieu dong ien .
E
ab
( T
1
, T
2
) = E
ab
( T
2
) - E
ab
( T
1
)

E
ab
( T
1
, T
2
) : sc ien ong tong.
E
ab
( T
2
) : sc ien ong moi noi co nhiet o T
2
.
E
ab
( T
1
) : sc ien ong moi noi co nhiet o T
1
.
Ngi ta da vao cong thc tren e che tao cap nhiet ien.
Neu chon chuan 0 C th sc ien ong hai au cap nhiet ien khi lam viec
nhiet o T co dang :
E
0
( T ) = A + B.T + CT
2
+ DT
3

A, B, C, D la cac hang so phu thuoc vao vat lieu che tao.
E
0
(V)


t (C)
Hnh 2.5 : ac tuyen cua cap nhiet ien
Nh vay sc ien ong E
0
la ham phi tuyen theo nhiet o. Noi cach khac o nhay
cua cap nhiet ien thay oi trong tng khoang o. Ve mat toan hoc ham E
0
c xem
nh tuyen tnh oi vi nhiet o khi C, D rat ln so vi A, B.
Nh vay sc ien ong c xem nh tuyen tnh oi vi nhiet o trong tng
khoang lam viec nao o cua cap nhiet ien.
c). Pham vi lam viec :
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

26
Pham vi s dung cua cap nhiet ien rat rong t - 50 C 2500 C. Cap nhiet
ien uc s dung nhieu trong cac dung cu o nhiet o v no co nhng u iem sau :
- Khi o nhiet o en 700 C th o chnh xac cao.
- Co the o nhng vung co the tch nho.
- Khoang nhiet o rong ma o nhieu chat khong ton tai the ran.
- Co the lap at cap nhiet ien trong cac thiet b o t ong, nhat la o nhiet o
t xa . . .
To chc IEC (International Electrotechnical Commission) phan loai cac cap nhiet ien
nh sau:
Bang 2.2 cho biet cac loai cap nhiet ien va cac thong so cua no.
Cap nhiet
ien
Cc nhiet dng Cc nhiet am Tam o(C) S(
V/
C)
Cu
Constantan
Loai T(re,
s ln)
100% Cu Constantan(55% Cu, 45% Ni
)
-330660F
-200350 C
42,8
Fe
Constantan
Loai J(re,
s ln)
100% Fe Constantan (55% Fe, 45%
Ni)
200 1400F
95 760C
52,3
Loai
R(at)


Platinum 13% Rhodium 100% Pt 16002640F
8701450C


64
Loai B Platinum 30%Rhodium Platinum 6%Rhodium 25003100F
13701700C



Loai C W5Re Tungsten 5%
Rhenium
W26Re Tungsten 26%
Rhenium
30004200F
16502315C

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

27


Loai E
(s ln
nhat)
Chromel(90%Ni, 10%Cr) Constantan (55% Fe, 45%
Ni)
2001650F
95900C



Loai
K(cho ng
oxi hoa)
Chromel Alumel(95%Ni,
2%Mn,2%Al)
2002300F
951260C
40,8
Loai N Nicrosil(84,6%Ni+14,2%
G+1,4%Si)
Nisil(95,5%Ni+4,4%Si+1%
Mn)
12002300F
6501260C

Loai
S(at)
Platinum 10% Rhodium 100%Platinum 18002640F
9801450C
6,4

Bang 2.2 : Cac loai cap nhiet ien va thong so.
Thang nhiet o c anh dau bi cac iem chuan theo ITS 1948 (International
Temperature Scale).
Khi s dung o tam hep co the tuyen tnh hoa ac tnh cap nhiet ien theo bieu thc:
T k V . (2-1)
k: he so nhiet, n v V/
o
C.
Bang thong so chi tiet mot so loai cap nhiet ien (Tham khao nguon website
:www.pyromatron.com).
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

28



Hnh 2.6 : Hnh dang mot so Thermocouple (cap nhiet)va RTD(nhiet ien tr)
Hnh ve c trch dan t sach [1]. Nguyen c Thanh, o lng va ieu khien bang
ma y tnh, NXB HQG Tp. HCM, 2002.

Neu day cua cap nhiet ien khong u dai e noi en dung cu o va ta dung
day ong e noi th so ch cua dung cu o la hieu so nhiet o o T va nhiet o cho noi
T
o
, nhiet o T
o
khong on nh.


Kim loai A ong

V

T Kim loai B ong

Hnh 2.7: Anh hng day noi
Dung cu
o
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

29
e khac phuc phai dung day noi dai cung loai vi vat lieu cua cap nhiet ien e bu
tr
nhiet o To, luc nay ta co :
) (
1
T T k V
Nhiet o T1 on nh va o c.
ien ap t cap nhiet ien kha nho nen can phai c khuech ai, ngoai ra con can co
thiet b o nhiet o au lanh e bu tr. Mach bu tr va khuech ai se c e cap
trong phan sau.
2.1.3.2. Nhiet ien tr :
a). Cau tao :
Nhiet ien tr bang kim loai nguyen chat hien nay c s dung rong rai, thong
thng c che tao di dang cuon. No c quan vi day nho xung quanh mot e
cach ien.
e bao ve day ien tr khoi h hong va khoi tiep xuc vi moi trng o, ngi ta boc
chung trong mot ong bao ve.
Vat lieu e che tao nhiet ien tr oi hoi nhng yeu cau sau :
- He so nhiet ln.
- ien tr suat ln.
- Tnh on nh cao.
- Tnh thuan khiet.
Ca c kim loai thch hp vi yeu cau tren : Platin, ong, Niken . . . Trong o Pt
o ti tam o rong. Con Cu, Ni dung cho tam o thap hn. Vai chat khac nh Fe,
Tungsten, Molylsden dung tam nhiet o gii han hn.
Trong thc te, day kim loai c dung lam nhiet tr c quan kht nhau tren
ong s tron, dep hay xuyen. e bao ve chung, ngi ta boc chung bi thuy tinh , thach
anh.
b). Nguyen ly lam viec - ac tuyen :
Nguyen ly lam viec cua nhiet ien tr da vao s thay oi cua ien tr theo
nhiet o cua cac vat lieu dan ien, cuon day ien tr, hay chat ban dan nam trong ong
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

30
bao ve c truyen nhiet t ngoai vao. Quan he gia ien tr va nhiet o theo cong
thc sau :
R ( T ) = R
0
( 1 + T + T
2
+ T
3
+ . . . ) (2-2)
R ( T ) :ien tr vat lieu T C.
R
0
: ien tr vat lieu 0 C.
, , : Cac he so phu thuoc vao vat lieu
Co the s dung phng trnh kem chnh xac hn:
) 1 (
2
0
BT AT R R
T
+ +
vi : A-la he so nhiet dng; B-co the am hay dng tuy khoang nhiet o.
A B
Pt 0,00385/
o
C 0,59x10
-6
/
o
C
Ni 6,17x10
-3
/
o
C
Cu 4,27x10
-3
/
o
C
Nhiet ien tr ong s dung nhiet o di 100
o
C e tranh oxyt hoa. Do ien tr
suat thap ( m 0172 , 0 ) nen can che tao nhiet ien tr vi day nho va dai.
Nhiet ien tr nikel co o phi tuyen cao, dung nhiet o nho hn 300
o
C, co ien tr
suat cao hn ong ( m 073 , 0 ).
Nhiet ien tr bach kim thong dung nhat, co ien tr suat cao( m 105 , 0 ), chong
oxyt hoa, co the o trong khoang -220
o
C +850
o
C, o phi tuyen khoang 0,4% tren
100
o
C. Tr so Ro cua nhiet ien tr bach kim thng la 100; 200; 500; 1000.
a c tuyen nhiet o : phu thuoc vao kim loai lam nhiet ien tr. Phan ln nhiet
ien tr kim loai co he so nhiet dng, ngha la khi nhiet o tang th ien tr tang. Ve
ac tuyen, luc au co dang tuyen tnh nhng khi nhiet o tang cao th ien tr R tang
nhanh hn lam cho ac tuyen co dang phi tuyen hn. oi vi kim loai Pt , nhiet o
cao, ien tr tang cham nen co khoang tuyen tnh rong.




PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

31

R()

Ni

Pt


t (C)
Hnh 2.8 : ac tuyen cua nhiet ien tr.
c). Cac thong so cua nhiet ien tr :
+ o nhay S :
o nhay S c nh ngha :


0
.R
dT
dR
S

Trong o, : he so nhiet cua nhiet ien tr.
R
0
: ien tr nhiet o 0 C.
+ He so nhiet :
He so nhiet c xac nh nh sau :

dT
dR
x
R R
S
0 0
1

He so nhiet phu thuoc rat nhieu vao tnh ong nhat cua kim loai. He so nhiet
cua kim loai nguyen chat luon ln hn he so nhiet cua hp kim cua chung.
Mot vai tr so nhiet o phong .

Mn
= 0,02 . 10
-3
/ C

Fe
= ( 2 - 6 ) . 10
-3
/ C

Pt
= 3,92 . 10
-3
/ C

Au
= 4 . 10
-3
/ C

Ag
= 4,1 . 10
-3
/ C
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

32

Cu
= 4,3 . 10
-3
/ C

Ni
= 6,7 . 10
-3
/ C
d). Pham vi s dung :
Nhiet ien tr kim loai thng c dung e o nhiet o cac lo phan ng hoa
hoc, kh than ng ong, noi hi, lo ien tr, nhiet o phong. Trong cong nghiep ngi
ta dung cac nhiet ien tr sau :
- Nhiet ien tr Ni e o nhiet o ln hn 200 C.
- Nhiet ien tr Pt e o nhiet o t - 200 C 300 C
Khi s dung nhiet ien tr, khong nen dung nhiet ien tr kim loai nhiet o
cao qua hay thap qua, lam cho c cau tinh the cua kim loai thay oi. Khong nen at
nhiet ien tr ni qua am, ni co chan ong , rung ong manh.
Khi s dung can chu y en nhng thong so sau :
- Cng o dong cho phep qua day ien tr khong vt qua 8 mA.
- Thi gian man cam nhiet khong qua 10 phut.
- o dai lam viec toi thieu cua au o 150 mm.
- Sai so cho phep toi a khong vt qua tr so tnh ra t cong thc quy nh sau :


Pham vi o nhiet o ( C ) Sai so toi a cho phep
0 500 t = t [ 0,3 t 4,5 . 10
-3
(t) ]
- 120 0 t = t [ 0,3 t 6 . 10
-3
(t) ]


Vi t : nhiet o cua nhiet ke.
t : sai so cho phep.
- o cach ien gia ien tr o va lp boc ngoai trong ieu kien nhiet o khong
kh ( 20 t 5 ) C va o am 8% khong c di 20 M .
e)Khi ghep cam bien nhiet tr vi mach o se co dong qua cam bien gay ra tang
nhiet va sai so , do o can gii han dong nay.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

33
f.Cm bin PT100 (E52MY-PT10C)
Hnh dng PT100



HHnh 2.9: hnh dng ca PT100(E52MY)
Thng s k thut
Cm bin nhit E52MY-PT10C
Di o: 0 - 400 C.
Loi can: DIN PT 100W.
Chiu di can: 10 cm
Cp chnh xc: B.
Cch in cho dy dn bn trong: ceramic.
Vt liu u bao dy: Khun nhm c mu xanh.
Vt liu ng bo v: SUS 316 ng c.
Nhit mi trng cho u u dy: 0 - 80 C
Loi dy dn: h thng 3 dy dn
Tip xc nhit: loi khng ni t.
Cch o PT100
Cm bin Pt100 cu to bng dy kim loi platinum da trn nguyn tc thay i
in tr kim loi theo nhit (phng trnh Callendar van dusen) nh sau:

(2-3)

Vi: R
T
: in tr nhit T
R
0
= 100 ohms in tr 0
o
C
: h s nhit T=0
o
C ( kiu +0.00385 //C)
=1.499( kiu +0.00385 //C)
=0 khi T>0
Vi bach kim ta co cac tr so sau :


0,00375 0,00385 0,003902

1,60500 1,49990 1,520000
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

34

0,16000 0,10863 0,110000


+Cm bin Pt100 hot ng 0
o
C th
in tr l 100 ohms.
+Trong khong nhit t 0-100
o
C ta
tnh nh sau: R
T
=R
0
(1+0.385%T) (2-
4) vi sai s nhit 0.5
o
C. Tc l
c tng 1
o
C th in tr Pt100 tng
0.385








Bang 2.4: bng thng s gi tr in tr v nhit ca Pt100



Ta c ba cch o Pt100 nh sau:
S mch hai dy:






Hnh 2.10 : S o hai day
S mch 2 dy kt ni theo kiu cu Wheatstone.
0
o
C th th gi tr in tr ca PT100 l RT=100 , nn cu Wheatstone cn
bng th cc in tr R1, R2, R3 ta chn l 100 . L l in tr dy ni. Es l in p
ngun cung cp, Eo l in p ng ra.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

35
Ta tnh c :
2 2
( )
3 2 2 1
Rg L R
Eo Es
Rg R L R R
+

+ + +
(2-5)
Trong trng hp dy ni di th ta dng s 3 dy hay 4 dy b tr in tr dy ni.
S mch ba dy:
S 3 dy c chnh xc cao hn s 2 dy.






Hnh 2.11 : S o ba day
Ta tnh c :
2
( )
3 2 2 1
Rg L R
Eo Es
Rg R L R R
+

+ + +
(2-6)
Vi s mch 3 dy c th ni dy di ti 30.48m.

S mch bn dy ngun dng:






Hnh 2.12. S o bon day nguon dong
+Is l ngun dng cung cp cho mch, Eo l in p ng ra, L l in tr dy ni, RT l
cm bin nhit PT100.
+Eo phi c tr khng cao ngn lu lng dng trong in th dy dn. Mch 4 dy c
th s dng khong cch di hn ba dy nhng phi s dng my pht ngun dng trong
mi trng nhiu v in.
+S bn dy ngun dng cho chnh xc tt nht: . Eo Is RT (2-7)
2.1.3.3. Nhiet ke ban dan :
a). Cau tao :
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

36
ay la loai nhiet ke ma phan t nhay cam vi s thay oi nhiet o c che
tao bang chat ban dan thng goi la Tec-mix-to ( Thermistor ). ac iem cua Tec-
mix-to la ien tr cua no bien oi rat ln theo nhiet o. Thanh phan chnh cua Tec-
mix-to la bot cua cac oxyt kim loai nh Mangan, Sat, Niken . . . hoac cac hon hp tinh
the MnAlO
4
, va ZnTiO
4
. Nhiet ke Tec-mix-to c che tao bang cach ep nh hnh,
sau o nung en tren 1000 C trong moi trng oxy hoa. Viec chon t le bot hon hp
cac oxyt hoac hon hp tinh the va moi trng nung gi vai tro quan trong, no quyet nh
chat lng cua Tec-mix-to . o tin cay cua Tec-mix-to phu thuoc vao o tinh khiet
cua vat lieu che tao.
Nhiet ke Tec-mix-to c dung nhieu v co nhng u iem sau :
- o nhay cao.
- Tnh on nh cao, tin cay.
- Kch thc nho va hnh dang thch hp.
b). Quan he gia ien tr cua Tec-mix-to va nhiet o :
S thay oi cua ien tr R cua chat ban dan ( dung lam nhiet ke ) theo nhiet
o co dang hnh cong nh sau :

R()





t(K)

Hnh 2.13 : ac tuyen R ( T ) cua nhiet ke ban dan.
T o ta thay R giam nhanh khi nhiet o tang. Ve mat toan hoc, quan he gia
ien tr R va nhiet o c bieu dien nh sau :
R (T) = a . e
/T
(2-8)
Trong o , R (T) : ien trcua chat ban dan nhiet o T ( K )
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

37
a : he so nhiet phu thuoc kch thc va hnh dang.
: He so phu thuoc vat lieu che tao (t 3000 en 50000).
T : nhiet o tuyet oi.
Neu goi R
0
la tr so ien tr cua Tec-mix-to nhiet o T
0
( K ) th bieu
thc co the viet di dang :

) / 1 / 1 (
0
0 1
. ) (
T T
e R T R


(2-9)
Vi loai Tec-mix-to o nhiet o thap, gia tr cua b trong khoang ( 3000 5000
), vi loai Tec-mix-to o nhiet o cao, b trong khoang ( 6000 13000 ).
He so nhiet cua Tec-mix-to c tnh theo bieu thc sau :
2
T RdT
dR

Vi mot loai vat lieu ban dan nao o th la mot hang so, va he so nhiet cua
Thermistor se giam khi nhiet o tang.
V du : Mot loai Tec-mix-to co :
= - 0,04 20 C
= - 0,016 3000 C
ieu o chng to rang nhiet o cang giam th o nhay cua Tec-mix-to cang
tang, o cung la mot u iem cua loai nhiet ke nay.
c). ac tuyen ien ap - dong ien ( U - I ) cua Tec-mix-to :
Khi at mot ien ap U co nh vao hai au cua Tec-mix-to co ien tr R
0
can
bang vi nhiet o moi trng xung quanh T
0
, th dong ien I i qua se ot nong Tec-
mix-to len nhiet o T. Khi o ien tr Tec-mix-to co gia tr la R ( R < Ro ) va tuan
theo nh luat Ohm :

I
U
R
Vi I la cng o dong dien khi a at en s can bang nhiet.
Cho U bien thien ta se ve c cong thc the hien moi quan he gia nhiet o,
ien ap va dong ien nh hnh ve sau ( Hnh 2.8 ). ng cong nay co mot gia tr cc
ai. Neu nhiet o moi trng xung quanh la T
0
th ng cong khac vi T
0
.

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

38
U(V)
(T
0
)
(T
0
)





I(mA)
Hnh 2.14 : ac tuyen Von - Ampe cua Tec-mix-to.
2.1.3.4. Cam bien nhiet o vi mach
Cam bien nhiet o vi mach che tao t chat ban dan co nhiet o t le o C, F
hay K tuy loai. Tam o nhiet o gii han t -55
o
C en 150
o
C, o chnh xac t 1
o
C en
2
o
C tuy loai.
Sau ay la mo so cam bien cua hang National Semiconductor

Ten Tam nhiet o o chnh xac Tn hieu ra
LM 34 -50
o
F300
o
F 3,0
o
F 10mV/
o
F
LM 35A -55
o
C150
o
C 1,0
o
C 10mV/
o
C
LM 45B -20
o
C150
o
C 2,0
o
C 10mV/
o
C
LM 135A -55
o
C150
o
C 1,3
o
C 10mV/
o
K
LM 335A -40
o
C150
o
C 2,0
o
C 10mV/
o
K
Vi mach LM35 co ba dang vo:







PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

39
+

+ Vo M V
o
=10mV/
o
C




Hnh 2.15: S o chan va mach ap dung LM335
Bu nhiet o au t do cap nhiet
Ta biet ien ap tren au t do cap nhiet la:
) (
0
T T V
th
(2-10)
trong o T la nhiet o au o, T0 la nhiet o au t do (moi trng), la he so nhiet
ien.
S dung cam bien nhiet LM335 vi he so nhiet 10mV/
o
K vi o K=
o
C+ 273 e bu tr
vi T
0
.
Phan tch s o sau:
+

M
Vo +


M

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

40

Hnh2.16: Bu nhiet o bac t do cap nhiet
2
3
1
3
1
4 2 1
3
0
2
3
1
3
1
4 2 1
3
0
// //
1 ) (
// //
1
R
R
V
R
R
V
R R R
R
T T
R
R
V
R
R
V
R R R
R
V V
ref
ref th

,
_

,
_


) )( 2 , 273 ( 10
0 1
mV T V +
2
3
1
3
1
3
0
2
4 2 1
3
0
4 2 1
3
0
732 , 2 10
// //
1
// //
1
R
R
V
R
R
R
R
T
R R R
R
T
R R R
R
T V
ref
+ +

,
_

,
_



Chon nguon chuan la IC on ap LM 329A co Vref=6,9V, he so nhiet 10 ppm/
o
C=0,069
mV/
o
C.
Gia s ta muon V
0
= 10 mV/
o
C.
Chon

100 1
. 100
// //
10
// //
1
3
4 2 1
2
4 2 1
3

R
R R R
R R R
R

Va: 0 9 , 6 732 , 2 10
// //
1
2
3
1
3
1
3
0
2
4 2 1
3
0
+ +

,
_

+

R
R
R
R
R
R
T
R R R
R
T
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

41
Hay:
39 , 2
100
1
9 , 6
732 , 2
100
200 1
; 525 , 2 ;
732 , 2
9 , 6
1 1
4
1 3 1 2 2

R R
R
R R R R R

Tr so R4 theo R1:
J
T
0,00530R
1

0,00430R
1

K
S
0,00410R
1

0,000640R
1


Ta co s o sau:

Hnh 2.17 . S o bu nhiet bac t do cap nhiet (National Semiconductor)
-Bien tr R2 dung e chnh C mV C V
o
o
/ 10 / .
- Bien tr R1 chnh he so nhiet cua LM 335.
-Bien tr R3 chnh e triet tieu ien ap 0
o
C.
Cach chnh nh sau :
-at tn hieu vao ngo cong cua OPAMP thay cho cap nhiet ien chnh R4 e o li la
1/100 tuy loai cap nhiet ien.
-Noi tat ngo vao cong cua OpAMP va hai au LM329A.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

42
-ien ap tren ngo ra OPAMP phai la : (273,2 +To).10(mV) To=25oC ta o c
2,982V, chnh ung nh R1.
-Bo noi tat tren LM329A, ien ap ra OPAMP la:
10To(mV)=250mV, chnh R3 e at tr so nay.
-a cap nhiet vao, mach san sang e s dung, chu y la LM335 phai at sat au t do
cua cap nhiet.
Mach o nhiet o dung cap nhiet ien loai K:
Khi cm bin nhit
S nguyn l:

Hnh 2.18
Cam bien nhiet dung thermocouple loai K co o nhay la 40V/
0
C, rat tuyen
tnh.
Mach gia cong can thc hien 3 chc nang sau : bu nhiet cho au t do, khuech ai, va
tao ien ap ra la 0V khi o 0
0
C. Xet mach tren :
+5V
-5V
-5V
-5V
+5V
+5V
+5V
-5V
+5V
+5V
+
-
U7
OP07 3
2
6
7 1
4 8
+
-
U9
OP07 3
2
6
7 1
4 8
+
-
U10
OP07
3
2
6
7 1
4 8
R12
VR10K
1
3
2
+ C14
10u
R13
2.2K
U12
LM335
3
1
2
R14
VR10K
1
3
2 + C15
10u
+ C16
10u
R15 100
R16 100
R17 27K
R22
27K
R23
VR10K
R24 VR10K
J2
ANALOG OUT
1
2
+
-
U8
OP07 3
2
6
7 1
4 8
R11
3M
V1
V2
V3 +
-
Thermocouple
Vout
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

43
U7, U8, U9 (dung OP07 cho offset thap) ong vai tro mot bo em ien ap ly
tng : co tr khang vao rat ln va tr khang ra rat nho, khong e cac au vao
anh hng lan nhau.
ien ap ra tren thermocouple :

V3 = S(T
d
T
a
) = S.T
d
S.T
a
Vi : T
d
la nhiet o can o.
T
a
la nhiet o moi trng.
S la o nhay cua thermocouple (40V/C).
Nh vay la gia tr ien ap ra tren cap nhiet ien ngoai nhiem vu mang thong tin cua
nhiet o can o con b anh hng bi nhiet o moi trng.
e loai tr anh hng tren, ta can phai co mot khoi tao ra ien ap theo nhiet o moi
trng nhng co dau ngc lai dung IC cam bien LM335A.
IC LM335A la loai cam bien nhiet o ban dan, co o nhay la 10mV/K. Ap tao
ra do LM335A cam bien c la :

V2 = K.T
a
[K] = K(273 + T
a
) [C]
= K.273 + K.T
a
= C + KT
a
(C = K.273)
vi K = 10mV/K; C = 2,73V

co the triet tieu anh hng cua Ta, nhng lai tao ra mot mc ien ap la 2,73V
0C nen can phai co mot khoi e tr 2,73V nham tao ien ap au ra la 0V 0C.
Bien tr R12 chnh la thanh phan bu tr ien ap 2,73V nh a noi tren.
U10 (dung OP07) ong vai tro bo cong co khuech ai, ien ap ra cuoi cung la :

( ) [ ] 1
15
23 22
16
3
24 17
2
16 // 24 17
15
23 22
1 V
R
R R
R
V
R R
V
R R R
R
R R
V
out
+

,
_

+
+
+
,
_

+
+


PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

44
( ) [ ]
,
_

+
+
+
+
+
,
_

+
+
16
.
16
.
24 17
.
24 17
16 // 24 17
15
23 22
1
R
T S
R
T S
R R
T K
R R
C
R R R
R
R R
V
a d a
out

1
15
23 22
V
R
R R +


Khong b anh hng cua nhiet o moi trng :
250
40
10
16
24 17
0
16
.
24 17
.

+

+ V
mV
S
K
R
R R
R
T S
R R
T K
a a

K
chon R16 = 100 R17 + R24 = 25K chon R17 = 22K va R24 la bien tr 10K.
Khi o can ieu chnh R24 e triet tieu T
a
.
Triet tieu ien ap tnh (2,73V) :

( ) [ ] 0 1
15
23 22
24 17
16 // 24 17
15
23 22
1
+

,
_

+
+
,
_

+
+ V
R
R R
R R
C
R R R
R
R R


vi (R17 + R24)//R16 = (25K)//(100) = 99,6
) 1 (
285 , 321
73 , 2
285 , 321
73 , 2
1
15
23 22
:
1
15
23 22
32000
73 , 2
6 , 99
15
23 22
1

,
_

+
+

,
_

+
+
V
R
R R
hay
xV
R
R R
x x
R
R R

khi o, ien ap ra la :

( ) [ ]
16
.
16 // 24 17
15
23 22
1
R
T S
R R R
R
R R
V
d
out
+
,
_

+
+

Trng hp Vout c a vo ng vo ca ADC 12 bit: ien ap nay c a trc tiep
vao ADC 12-bit (ICL7107) nen can phai co mot s tng thch ve o phan giai :
ADC 12-bit co 4096 mc.
ien ap vao toi a = 4,096V
1LSB = 4,048V/4096 = 1mV
ieu khien en 409,6C :

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

45
1LSB = 409,6/4096 = 0,1C
tc moi khi tang 0,1C th ien ap ra tang 1mV :
( ) [ ]
250
15
23 22
251
15
23 22
1
100
688 , 99
16
16 // ) 24 17 (
:
1
16
. 1 , 0
16 // 24 17
15
23 22
1

+

+
+

+
+
,
_

+
+
R
R R
R
R R
R
R R R
do
mV
R
S
R R R
R
R R


chon R15 = 100 chon R22 = 22K; R23 la bien tr 10K.
Chnh R23 cho o phan giai.
Thay vao (1) :
mV V V x 5 , 8 1
285 , 321
73 , 2
285 , 321
73 , 2
1 250
,
_


V1 c tao ra t cau chia ien ap R11 = 3M va R12 la bien tr 10K.
can chnh R12 e V1 at 8,5mV
Cac tu C14, C15, C16 chon gia tr 10F e chong nhieu.

2.1.3.5. Nhiet ke theo nguyen tac gian n :
ay la mot loai dung cu o nhiet o c che tao da tren tnh chat gian n khi
nhiet o tang va co lai khi nhiet o giam cua mot chat hay hai chat khac nhau ( co the la
hai chat ran, chat ran - chat long, chat ran - chat kh . . . )
a). Nhiet ke lng kim :
Nhiet ke lng kim c che tao bang hai la kim loai co he so gian n dai
khac nhau. Hai la kim loai nay c noi lien nhau bang cach han hoac can ac biet
thanh mot thanh lng kim va c gi co nh mot au, con au kia gian n vi mot
kim ch th. Khi nhiet o tang, do s gian n dai khac nhau cua hai kim loai nen thanh
lng kim b uon cong ve mot pha. Nh bang chia o c xac nh bang thc nghiem,
ta oc c tr so nhiet o.
b). Nhiet ke gian n chat ran :
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

46
Ta biet rang mot vat eu chu anh hng cua nhiet o : n ra khi nong va co
lai khi lanh. nh mot thanh kim loai co chieu dai l
0
nhiet o ban au se gian ra mot
oan l khi tang nhiet o len mot lng t va mot so ac trng cho moi kim loai
theo quan he:
l = l
0
x t
Vi : ac trng cho tng kim loai mot khoang nhiet o xac nh
Chieu dai cua thanh kim loai c tnh bang cach sau :
l
t
= l
0
+ l
0
t = l
0
[ 1 + ( t - t
0
) ] (2-11)
t = t - t
0
: o chenh lech gia nhiet o ban au va nhiet o kim loai khi
tang nhiet.
Neu chon t
0
= 0 C he thc tren thanh :
l
t
= l
0
( 1 + t ) (2-12)
Khi o chnh la he so gian n dai cua kim loai.
Da vao tnh gian n cua kim loai va nhat la nh che tao c nhng hp kim
va kim loai co o tinh khiet cao, nen ngi ta a che tao c nhieu nhiet ke dung trong
cong nghiep co o chnh xac bao am yeu cau.
c). Nhiet ke chat long :
Nhiet ke chat long la dung cu o nhiet o c dung nhieu nhat, rat thong
dung
hien nay. Nguyen tac lam viec cua no da tren s gian n cua chat long khi b ot nong
va co lai khi lam nguoi. Chat long thng c dung la thuy ngan ( Hg ) va ru . . .
Chat long c dung phai co o tinh khiet cao, khong co tac dung hoa hoc vi bnh
cha, khong b bien chat theo thi gian va co he so gian n on nh.
2.1.3.6. Hoa ke quang hoc :
ay la ten goi chung e ch dung cu o nhiet o bang cach dung he thong knh
quang hoc e thu lay cac tia bc xa cua vat the roi can c vao o theo o bc xa e xac
nh nhiet o cua vat the bc xa.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

47
Nguyen ly lam viec cua hoa ke quang hoc la da tren cac hien tng bc xa
cua ca c vat the en nhiet o cao. Vat the en la mot vat hap thu hoan toan tat ca cac
bc xa nhan
c.
Hien nay trong cong nghiep, ngi ta s dung rat nhieu loai hoa ke nh : hoa ke
bc xa, vi sai, o mau, t ghi, nhiet ngau . . . nhng thng dung cac loai sau :
- Neu hoa ke tieu thu hoan toan nang lng bc xa cua vat the : goi la hoa ke bc
xa toan phan.
- Neu ch o o bc xa n sac tren mot bc song nhat nh cua chum tia bc xa
: goi la hoa ke quang hoc hay bc xa rieng phan.
- Neu co dung them te bao quang ien e thu lay cng o tia bc xa th o la
hoa ke quang ien.
Ngoai cac loai nhiet ke ke tren ngi ta con dung cac phng phap khac e o
nhiet o nh :
- Thap o nhiet o.
- But ch kiem nhiet.
- Vien kiem nhiet.
- Vecni kiem nhiet.
- S dung mau sac e o nhiet o.
- o nhiet o bang iem Curie.
2.2.CAM BIEN LC VA TRONG LNG
Lc c nh ngha la :
a m F . (2-13)
Con trong lng la : g m P . (2-14)
Trong o : m la khoi lng cua vat (kg).
a : gia toc cua vat (m/s
2
); g: gia toc trong trng =9,81 (m/s
2
)
F: lc tac ong len vat m gay ra gia toc n v (N)
P : lc gay ra bi sc hut trai at len vat (kgf)
1 N= 1 kgm/s
2
; 1 kgf= 9,81 N
Ky thuat o lc/trong lng rat a dang, thng da vao cac nguyen tac sau :
-Can bang: can bang lc muon o vi lc a biet(qua can, lc lo xo).
-Bien dang : o bien dang cua mot vat di tac dung cua lc(straingage, ap ien).
-Di chuyen : o s di chuyen cua vat suy ra lc.
-Gia toc : o gia toc suy ra lc.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

48
2.2.1. Strain gage
Strain gage hay ien tr tenx hoat ong da tren s thay oi ien tr khi
bien dang:

(2-15)
D
dD
l
dl d
A
dA
l
dl d
R
dR 2
+ +


trong o : ien tr suat; l- chieu dai;A-tiet dien;D-ng knh day.
dl
l
D
dD
dl
l d
dl
l
R
dR 2
1 . . +


trong o
l
dl
: goi la ng suat doc
a
;
D
dD
-la ng suat ngang
t

dl
l
D
dD
. - ty so Poisson;
dl
l
R
dR
v
a t
. ; / -he so gage G
v
l
dl
G
a
2 1
1
. +


Gia tr cua G cho bi nha san xuat
a
G R
l
dl
RG dR
dl
l
R
dR
G . .
Strain gage thng che tao t kim loai, ban dan G thay oi tuy theo vat lieu
Constantan
Vat lieu Thanh phan He so gage
Constantan 45%Ni; 55%Cu 2,05
Nickel-chrome 3,5
Platinum-tungsten 92%Pt;8%W 4,50
Ban dan 150

Strain gage thng che tao t kim loai di dang mot mang li day mong ng knh
khoang 20m at gia hai lp bao ve cach ien hoac di dang li mang in tren e
bang phng phap quang.
Strain gage ban dan che tao t silicon loai n hay p (cam bien ap lc).
ien tr strain gage t 100 en 1000 .
Muon o bien dang ta dan strain gage len vat chu ng lc va o s thay oi ien tr.










A
l
R
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

49








Hnh 2.19 : Hnh dang strain gage

2.2.2. o lc va trong lng bang Strain gage
Lc hay trong lng can o tac dung vao mot khoi kim loai an hoi lam bien
dang khoi o. Bang cach dan cac strain gage vao vat an hoi ta o c bien dang t
o suy ra lc/trong lng. Cac nha san xuat che tao loadcell dung cho muc ch nay.
Khoi an hoi che tao bang nhom hay thep khong r a c x l ac biet, tren o dan
bon strain gage lam t la kim loai mong rap theo kieun cau.



Hnh 2.20 : Loadcell 2 strain gage tch cc

Khi nen/keo ien tr cua strain gage 1 va 4 giam/tang, con ien tr strain gage 2, 3
khong oi, dung e can bang cau va bu anh hng nhiet o.


PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

50

Hnh 2.21: Loadcell 4 strain gage tch cc

Trong mach cau co the co t mot en bon strain gage tch cc. Ta tm bieu thc
cua ien ap ra cau trong trng hp bon strain gage tch cc, trong hnh R la ien tr
ban au cua strain gage khi cha b tac dung lc o.

Co the chng minh la mach tng ng gia A va B c ch ra hnh sau. ien tr
tng ng nhn t AB la:

2 1
2
s s
R R R
R
R Rout
+ +

(2-16)

Nguon tng ng:
2 1 s s
S AB
R R R
R
V V
+ +

(2-17)





2 1
2
s s
R R R
R
R Rout
+ +









PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

51

A



2 1 s s
R R R
R
+ +



B

Hnh 2.22: Mach ien loadcell va mach tng ng.
T so R
max
/R rat nho khoang 2 3 phan ngan nen ien tr tng ng nhn t
AB co the xem la R. Neu R
L
ln th ap ra cua loadcell ty le vi R
.
Trng hp co RL th :
L
L
s s
S
AB
R R
R
R R R
R V
V
+ + +

.
.
2 1
(2-18)
Nh vay ap ra van ty le thuan vi R.
Neu noi song song cac au ra cua load cell, gia s ba loadcell, ta co :
3 2 1
3
3
3
2
2
2
1
1
1
// // R R R
R
R
k
R
R
k
R
R
k V

,
_

(2-19)
Gia s cac loadcell giong nhau:
3
) (
3 2 1
R R R k
V
+ +


ien ap ra la trung bnh cong ien ap ra cua load cell.
Cho mch strain gage cu Wheatstone sau (Hnh 2.23): dng 1 gage vi :
strain gage, R: in tr.
Hnh 2.23a
Gi tr Vo o c:
i o
V
G
G
V .
. 2 4

+
.
Cho m ch strain gage c u Wheatstone sau : dng 2 gage v i : strain gage, R: i n
tr .
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

52

b)
Gi tr Vo o c:
i o
V
G
G
V .
2

+


Cho m ch strain gage c u Wheatstone sau : dng 4 gage v i : strain gage, R: i n
tr .

c)
Gi tr Vo o c:
i o
V G V
Th du : Xem xet strain gauge niken-crom co ien tr 350 ohm (G=2) lam viec
1000 microstrain. Mc ngo ra at c bao nhieu t mach o lc dung cau
Wheatstone vi 1 gage, 2 gage va 4 gage tch cc 10V ?
Giai :
a. 1 gage
mV
x
x
x x x
x x
V
x G
x G
V
i
995 , 4
) 001 , 1 ( 4
20
10 4 4
20
10
10 1000 2 2 4
10 1000 2
10 2 4
10
3
6
3
6
3
0


b. 2 gage :
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

53
mV
x
x
x x
x x
V
x G
x G
V
i
98 , 9
10 4 2
20
10
10 1000 2 2
10 1000 2
10 2
10
3
6
3
6
3
0


c. 4 gage :
mV
x x x
V x G V
i
20
10 10 1000 2
10
3
3
0



Hnh 2.24 la hnh dang hai loai loadcell thong dung va thong so loadcell



a)Model OSBK series shear beam loadcell (200kg-10t)
b)Model DBBP/DBBPU series S-Beam loadcell (20kg-5t)
Model DBBP/DBBPU BONGSIN(Korea)
Lc danh nh Rated Capacity (RC) 20, 50, 100, 200, 500 kg, 1, 2, 3, 5 T
ien ap ra Rated Output 3 mV/V 0,5%
Phi tuyen Nonlinearity
0,03%RO
Hysteresis
0,03%RO
o khong lap lai Non Repeatability
0,02%RO
o lech khong Zero offset
1%RO
He so nhiet tren RO
0,1 LOAD/10
o
C
He so nhiet tren o lech khong
0,05 %/RO/10
o
C
ien tr vao 3503,5
ien tr ra 3503,5
ien ap n guon 10 VDC /Max 15 VDC
Qua tai cho phep 150% RO
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

54
Hnh 2.24. Hnh dang hai loai loadcell va thong so.
CAM BIEN LOAD CELL MODEL 1024.

v Hnh dang.













v ac tnh:
Gii han o: 1g 10kg.
Cau tao c san xuat t kim loai nhom.
v Kch thc.


PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

55

v Thong so ky thuat.





















Hnh 2.25 Hnh dang loai load cell va thong so.
2.2.3. Cam bien ap ien
Cac tinh the nh quartz, tourmaline, sulfate, muoi Rochell phat sinh ien ap khi
chu tac ong cua lc goi la hieu ng ap ien, c s dung lam cam bien ap ien e o
lc hay o rung.







PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

56
F



V

a)














Hnh 2.26: Cam bien ap ien

ien tch sinh ra do lc F la :
kA kF Q (2-20)
trong o : k- hang so ap ien; A-dien tch ; -ap suat.
ien ap ra:
V=KF=vt (2-21)
Vi : v-la o nhay ien ap; t- be day ban tinh the.
Trong hnh la cam bien ap ien gom mot khoi nho c ep bi lo xo tao lc len
tinh the ap ien. Khi vo cua cam bien rung, lc cua khoi tac ong len tinh the ty le vi
gia toc di chuyen, do o ien ap ra cua tinh the ty le vi gia toc . u iem cua tinh the
ap ien la gon, ran chac co o nhay cao va tan so hoat ong cao.
Vi thach anh o nhay ap la 0,055Vm/N con hang so ien ap 2,25x10
-12

Coulomb/Newton. Neu ap suat 50 psi c at vao tinh the thach anh day 0,1 Mcr th
ien ap ra la 48,1 V.

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

57

H2.27
Chu y, tinh the ch phat sinh ien ap trang thai ong ngha la lc tac dung phai
thay oi.
Do ac tnh tong tr ra cao cua tinh the ap ien, thng dung s o khuech ai
em e x l ien ap t cam bien.
2.2.4.ng dung cua cam bien lc trong thc te
a. Trong lnh vc kiem nh xay dng
-Th nghiem cng o pha v be tong
May nen mau be tong cua hang Tecnotest-Y.

Cam bien lc c at tren tam nen cua may nen be tong 200 tan nh hnh. Khi
he thong thuy lc van hanh lam cho tam nen ben di nang len ,trong khi o tam
nen pha tren mau be tong se co nh at tren o la cam bien lc. He thong thuy
lc se nen mau be tong cho en khi khoi be tong pha v.
Tn hieu t cam bien lc se truyen en bo hien th ky thuat so, va hien th lc
chu tai cua be tong.
Cam bien lc dung trong may nen cua hang Tecnotest-Y.
-Th nghiem cng o pha v xi mang
May nen xi mang cua hang Tecnotest Y.
Cach lap at va bo tr thuy lc cua may nen xi mang 25 tan.
Nhng bo phan hien th lc cua may nen la bang ong ho. V vay cam bien lc se
truyen tn hieu ien thanh ap lc e tac ong len ong ho o lc cua may nen, ch th
ket qua lc can o.
b. Trong lnh vc cong nghiep
-Thiet b can trong phong th nghiem
Thiet b can trong phong th nghiem.
Lnh vc nay ng dung rat nhieu cam bien lc. V trong bat c phong th
nghiem nao th cung phai dung can e xac nh khoi lng cac mau th nghiem.
Ngoai ra con dung e can o am cua cac san pham can kiem tra.
-He thong can cong nghiep
Trong cac nha may san xuat, viec lap at ca c ca m bien lc e can xe vao/ra
nha may rat can thiet.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

58
He thong can nay gom nhieu cam bien lc khac nhau, thng th gom 4 cam
bien gan 4 goc cua ban can; hoac 6 cam bien : 4 cam bien lc gan 4 goc va them 2
cam bien na gan gia.
Bo x l tn hieu : khi tn hieu truyen t cam bien ve, se co mot bo cong tat ca
cac tn hieu cam bien lc lai.
Tn hieu tong cong se c truyen en bo hien th ga tr trong lng/lc ma
oi tng can tac ong len.
c. Trong lnh vc y hoc
Ngi ta dung cam bien lc loai ap ien rat nhieu. Cam bien tren dung e o
cac lc ta c ong rat nho len cam bien. V vay ca m bien co o nhay rat cao. Th du nh :
thiet b ien tam o..
Tham khao nguon website: http://www.Isotech.de
Va http://www.ni.com (hang National Instruments-USA)

2.3. CAM BIEN DCH CHUYEN va KHOANG CACH
Dch chuyen khoang cach co the dung nhieu phng phap khac nhau nh :
strain gage, ap ien, bien ap vi sai, quang ien, sieu am,..
2.3.1. Bien ap vi sai LVDT
Bien ap vi sai gom cuon s cap va hai cuon th cap quan tren loi hnh tru, trong
loi co mot dong ferrite di chuyen t do. Cuon s cap c cung cap ap kch thch xoay
chieu, hai cuon th cap c au noi tiep ngc chieu cung cap ien ap ra, bien o
va pha tuy thuoc khoang di chuyen cua nong.

Hnh 2.28
ien ap xoay chieu Vo vo bien o ty le vi khoang cach dch chuyen x con goc pha
phu thuoc hng leach so vi v tr can bang.

K=V
0
/V
i






x



Khoang tuyen tnh
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

59

Hnh 2.29
Thong so cam bien:
Ma hieu : D5M-10.
Tam o : 10 mm.
Tam di chuyen c : khoang 12 mm.
Van toc toi a cho phep : 0,3m/s max.
Tam chnh offset :0,05 mm.
Lc tac ong : 600 gf(8,34 oz-inch) max.
Tn hieu ra : 4 to 20 mA(max, load impedance : 3000 max.
o lap lai : 10 m minimum.
o tuyen tnh : 0,5%FS max.


Hnh 2.30. Cam bien LVDT 5M va khuech ai.
Trong khoang hoat ong tuyen tnh co the viet (h2.29):
i i
xv kv v
0
(2-22)
vi la he so t le; x la o dch chuyen so vi v tr can bang.
ien ap xoay chieu cap cho cuon s sap khoang 3 15V, tan so 50 20 Khz.
ien ap v0 c oi thanh tn hieu mot chieu nh bo nhan va loc thong thap.



V
i
V
1


V
i
V
0
V
dc






A)
Nhan
X
Loc
thong
thap
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

60
x



i





V
0



i



V
i



i


V




i


b)
Hnh 2.31
Ta co
2
1 0 1 1 i i
v kk v v k v (2-23)
Vi
2
2 cos 1
sin
sin
2
1
2 2
1 1
t
v kk t v kk v
t v v
i

(2-24)
Sau khi qua loc thong thap ta c ien ap mot chieu V
dc
ty le vi o dch
chuyen.
Bien ap vi sai thng dung e oi dch chuyen ra ien ap o phi tuyen 0,2% ca
tam, khong b anh hng nhiet o, ien ap ra ln, tam o rong ( 70 cm). Khuyet iem
la trong khoi cua nong co the anh hng en o chnh xac o.
2.3.2. Encoder
Encoder thng dung e o goc quay, co hai loai encoder la encoder tang va
encoder tuyet oi. Ca hai da tren nguyen tac cam bien anh sang vi mot a co khac
vach sang toi quay gia nguon sang va phototransistor.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

61
Encoder tang : co ba au ra A, B, Z. Hai au A, B phat ra n xung khi ea quay mot
vong con au Z phat ra 1 xung/vong.

360
o



A 90
o



B


Z

a)Cung chieu kim ong ho b)Ngc chieu kim ong ho

Nguon o
5v 12V

A trang
B la cay

Z vang


Mass en

c)

A CK

B U/D


CL
d)

Hnh 2.32
Xung A sm pha 90o so vi xung B khi quay theo chieu kim ong ho (H2.32a) va tre
pha 90o khi a quay ngc chieu kim (H2.32b).
Encoder co 5 day, ngo ra A, B, va Z thng la cc thu h, so xung/vong co the len en
20000(H2.32c).
Mot so encoder co ngo ra vi sai A, /A, B, /B, C, /C dung e chong nhieu tren ng
truyen.
Mach ien
nh chieu em thuan
nghch
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

62
Tn hieu t encoder thng qua bo em thuan nghch hay dung che o high speed
counter cua PLC e o goc quay(H2.32d).
Encoder tuyet oi : cho tr so nh phan cua goc quay t 0o en 360o so vi v tr goc.
o phan giai 8 bit, 12 bit dang BCD, ma Gray hay nh phan, ngo ra cc thu h.

Nguon o
5v 12V

n ngo ra





Mass en

Hnh 2.33. Encoder tuyet oi


Hnh 2.34.Hnh dang mot so Encoder









Mach ien
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

63

So lieu Icreamental Encoder E6B2(Omron)
Ma hieu E6B2-CWZ3E
ien ap cung cap 5VDC-5% to 12 VDC +10
Dong ien tieu thu 100 mA max.
o phan giai 10, 20, 30, 40, 50, 60, 100, 200, 300,
400,500, 600, 1000, 1200, 1500, 1800,
2000 (xung/vong)
Cac ngo ra A, B, Z (thuan ngc)
Loai tn hieu ra Ra ien ap
Thong so ngo ra ien tr ngo ra: 20k, ien ap toi a :
30 VDC
Dong sink 20 mA max, Sink current: 35 mA max.
Mc cao : 20 mA. Mc thap : +20 mA
Tan so ap ng toi a 100 Khz
Hng quay Thuan ngc , CW+ CCW
Moment khi khi ong 10 g-cm(0,14 oz-inch)max
Tai tren truc Radial 3 kgf(21,7 ft-lbs) Axial 2
kgf(14,5 ft-lbs)
Moment quan tnh 10 g-cm2(0,055 oz-inch2)max; 3 g-
cm2(0,0165 oz-inch2)max 600
xung/vong.
Van toc quay toi a 6000 rpm

So lieu Encoder tuyet oi 10 bit E6 F
Ma hieu E6F-AB3C 360 2M, E6F-AB3C-C 360 2M
ien ap cung cap 5VDC-5% to 12 VDC +10%
Dong ien tieu thu 100 mA max.
o phan giai 10 bit (360 xung/vong)
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

64
Ma ra Ma BCD
Dang tn hieu ra Cc thu h
ien ap toi a ngo ra 30 VDC max
Tan so ap ng toi a 10 Khz
Logic ngo ra Mc cao : 0, mc thap :1
o chnh xac 0,5
0
max
Hng tang ma Ma ngo ra tang theo chieu kim khi nhn t
truc
Thi tang va thi giam 1,0 ms max. vi ien ap ra 5V
Moment khi khi ong 100 g-cm(1,39 oz-inch)max
Tai tren truc Hng knh 10 kgf, hng truc 3kgf
Moment quan tnh 15 g-cm2(0,082 oz-inch2)max
Van toc quay toi a 5000 rpm

Ky hieu day encoder E6F-AB3C
o Nguon dng Tm 2
1
.10
en 0V(chung)
Nau 2
0

Cam 2
1

Vang 2
2

La cay 2
3

Xanh 2
0
x10


Tm 2
1
x10
Xam 2
2
x10
Trang 2
3
x10
Hong 2
1
x10
Xanh nhat 2
2
x10
Vo boc GND

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

65
2.3.3. Cam bien gan
Cam bien gan da tren nguyen tac thay oi bien o va tan so cua mach dao
ong khi co mot vat gan cuon day dao ong.
Cam bien gan ien dung co the dung cho vat kim loai va khong kim loai.
Cam bien gan ien cam dung cho kim loai t tnh hay khong t tnh.
Khoang cach toi a gia vat va cam bien phu thuoc vao vat lieu va kch thc vat. Tn
hieu ra dang on/off vi ngo ra la transistor cc thu h NPN hay PNP.
Quan he khoang cach cam bien theo kch thc va vat lieu cua cam bien E2E-XD
(Omron).
Khoang cach cam bien con phu thuoc kch thc au cam bien thay oi t 0 en 20
mm.










Hnh 2.35.








PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

66

Hnh 2.36
Ngoai cam bien ON/OFF con co loai cam bien cho dong hay ap ra ty le vi khoang cach
gia vat va cam bien da tren nguyen tac cam ng, laser va sieu am.
























Hnh 2.37
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

67
Gii thieu cam bien cua Omron:
-Cam bien gan (prox sensors)(Omron): cung goc vi E2A: E2A3 (phat hien vat co o t
tnh thap), E2AU (moi trng rung lac), E2AX (chong chay no), E2AW (moi trng
han).
- Cam bien tiem can E2V phat hien nhom t xa.
-Cam bien tiem can E2EH-X chu nhiet o cao ti 120 o C.
-Cam bien tiem can E2V cho khoang cach phat hien xa vi vat lieu nhom.
Cau hoi va bai tap :
Chng 2: Cam bien va chuyen oi
1. Trnh bay ac iem cua bon phng phap o nhiet o : dung cap nhiet ien,
nhiet ien tr/ thermistor/cam bien nhiet o vi mach, nhiet ke theo nguyen tac gian n,
va nhiet ke bc xa. Dung cac tieu ch : pham vi ng dung o lng , loai cam bien, tam
o nhiet o, o chnh xac, ch th o lng, u iem/nhc iem.
2. Mo ta loai cam bien nhiet co the dung trong ng dung ieu khien.
3. Cac ky thuat hay ieu can chu y khi o chnh xac nhiet o cua chat ran, chat long,
gas.
4.Nhiet ien tr ong co tnh chat R
0
=25 Ohm, R
100
/R
0
=1,43. Hoi gia tr ien tr 50
o
C
la bao nhieu?
5.Trnh bay cac loai cam bien lc vake ra cac ng dung .
6. Trnh bay cac loai cam bien dch chuyen khoang cach va ng dung.
7.Bo phan chap hanh truyen ong ien
8.Chap hanh nh phan: Relay, SCR, Triac
9.Truyen tn hieu: gia cong tn hieu dung Op-amp, cap va noi at.

Tham khao :
[1]. Nguyen c Thanh, o lng va ieu khien bang may tnh, NXB HQG Tp. HCM,
2002 va 2005(lan 2).
[2].Gustaf Olsson and Gianguido Piani, Computer systems for automation and control,
Prentice Hall ,1992.
[3].George C. Barney, Intelligent Instrumentation, Prentice Hall 1988.
[4].Willis J. Tompkins, John G. Webster, Interfacing sensors to the PC, Prentice Hall
1990.



PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

68
CHNG 3: GIAO TIEP QUA RANH CAM MAY TNH
May tnh tng thch IBM la loai may tnh pho bien tren the gii, tuy theo ng
dung co the phan thanh :
-May tnh e ban.
-May tnh cong nghiep.
-May tnh panel.
-May tnh kieu nhung.
Giao tiep vi may tnh co cac cach sau:
-Card chuyen oi A/D va D/A cam vao ranh cam ISA hay PCI.
-Cong song song.
-Cong noi tiep.
-Cong USB.
-Wireless, hong ngoai.
3.1. GIAO TIEP QUA RANH CAM ISA
3.1.1. He thong bus cua may IBMPC-AT :
Card giao tiep se c gan vao Slot tren mainboard cua may tnh, nen cac
bus cua card phai tuan theo kch thc chuan.
Khi card c cam vao may, phai am bao khong c pha huy cac bus noi
va cac vi mach tren mainboard cua may. a ch cua card c chon sao cho khong b
trung vi a ch cua cac ngoai vi khac e tranh hien tng tranh gianh bus do giai ma
a ch gay ra. S o Slot tren may tnh IBMPC - AT c cho hnh ve 1.
. SA
0
- SA
19
: la hai mi ( 20 ) ng a ch dung e xac nh a ch bo nh
hay thiet b vao / ra thuoc he thong . Cac ng a ch nay cung vi cac ng LA
17
-
LA
23
cho phep truy nhap en 16 MB bo nh.
. SD
0
- SD
15
: cac ng d lieu, dung e di chuyen d lieu qua lai gia cac
vung cua bo nh hoac cac thiet b ngoai vi .Vi tac vu truyen d lieu 8 bit, th ch can
dung D
0
- D
7
.
. AEN : ng nay c s dung e phan cach vi x ly va cac thiet b khac
khoi kenh vao / ra e thc hien truyen d lieu DMA . Khi ng nay tch cc mc cao,
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

69
bo ieu khien DMA se chiem quyen ieu khien bus : cac ng a ch ( A
0
- A
19
) , cac
ng d lieu ( D
0
- D
15
) va cac ng ieu khien oc, ghi bo nh va IO ( RD, WR,
IOR, IOW ). Khi xay dng c che hoat ong cua card AD can chu y ti ng tn hieu
nay e tranh hien tng tranh gianh bus.
. BALE : ng nay thong bao cho cac thiet b thuoc he thong biet qua trnh gi
tn hieu a ch ang thc hien.
. CLK : ay la xung ong ho he thong tan so 6 MHz, 50% mc cao, 50%
mc thap.
. Reset DrV : ng nay c s dung e reset cac mc logic cua cac thiet b
trong he thong khi khi ong may. ng nay tch cc mc cao .
. IOR : ch th oc IO. Tn hieu nay ra lenh cho thiet b IO a d lieu cua no len
tuyen d lieu. IOR c tao ra bi bo vi x ly hoac bo ieu khien DMA. ng nay
tch cc mc thap.
. IOW : ch th ghi ra IO . Tn hieu nay bao hieu cho cac thiet b IO rang CPU
ang xuat d lieu ra ngoai vi. Tn hieu IOW tch cc mc thap.
. Smem R , Mem R : ch th oc bo nh. Khi cac ng nay tch cc mc thap,
CPU ra lenh cho o nh c giai ma a ch xuat d lieu cua no len tuyen d lieu.
SMemR tch cc khi o nh can oc co a ch trong pham vi 1 MB bo nh thap, MemR
tch cc cho tat ca cac a ch thuoc bo nh .
. SMemW , MemW : ch th ghi bo nh. Khi ca c ng nay tch cc mc thap,
CPU ra lenh cho o nh c giai ma a ch ghi nhan d lieu ang ton tai tren tuyen d
lieu. SMemW tch cc khi o nh can nhan d lieu co a ch trong pham vi 1 MB,
MemW tch cc cho tat ca cac a ch thuoc bo nh.
. IO CHCK ( IO channel check ) : ng nay tch cc mc thap, cung cap
cho board he thong thong tin loi parity ve bo nh hay thiet b I/O . No ch ra mot loi he
thong khong the sa cha c.
. IO CHRDY ( IO channel ready ) : ay la mot tn hieu vao, dung e tao trang
thai ch; trang thai nay keo dai chu ky bus vi x ly oi vi bo nh cham hay thiet b IO
cham ( toc o ).
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

70
. IRQ 3 - IRQ 7 ; IRQ 9 - IRQ 12 ; IRQ 14 - IRQ 15 : Cac ng nay dung e
bao cho CPU biet thiet b vao ra co yeu cau ngat. Cac yeu cau ngat co o u tien : Cac
ng IRQ 9 - IRQ 12 ; IRQ 14 - IRQ 15 co o u tien cao nhat ( IRQ 9 co o u tien
cao nhat trong cac ng nay ). Cac ng IRQ 3 - IRQ 7 co o u tien thap hn ( IRQ
3 co o u tien cao nhat trong cac ng nay ) . Mot yeu cau ngat c tao ra bang
cach nang ng IRQ t thap len cao. ng nay phai c gi mc cao cho ti khi
vi x ly cho phep yeu cau ngat. IRQ 13 c dung cho board he thong nen khong dung
c cho kenh vao ra. IRQ 8 dung cho ong ho thi gian thc.
. DRQ 0 - DRQ 3 va DRQ 5 - DRQ 7 : yeu cau DMA kenh 0 - 3 , 5 -7 la cac
yeu cau kenh khong ong bo. Thiet b ngoai vi va vi x ly kenh vao ra dung nhng
ng nay e chiem phuc vu DMA ( hay quyen ieu khien he thong ). DRQ 0 co o u
tien cao nhat va DRQ 7 co o u tien thap nhat. Mot yeu cau DMA c tao bang cach
mang mot ng DRQ len mc tch cc . ng DRQ c gi mc cao cho ti khi
ng cho phep yeu cau DMA ( DACK = DMA Request Acknowledge ) len
mc tch cc. DRQ 0 - DRQ 3 se thc hien viec truyen DMA 8 bit , con DRQ 5 - DRQ
7 thc hien viec truyen DMA 16 bit . DRQ 4 dung cho board he thong va khong dung
c cho kenh vao / ra.
. DACK 0 - DACK 3 ; DACK 5 - DACK 7 : Cac ng nay cho phep hay chap
nhan yeu cau DMA. Chung uc CPU xuat ra va eu tch cc mc thap.
. Refresh : Tn hieu nay ch ra rang chu ky lam ti bo nh RAM ong b ieu
khien bi mot vi x ly kenh vao ra.
. SBHE : Cho phep byte cao trong he thong. Tn hieu nay ch ra rang viec
truyen d lieu tren byte cao cua tuyen d lieu : D 8 - D 15. Thiet b 16 bit dung BHE
e gia cong em tuyen d lieu noi vi D 8 - D 15.
. IOCS 16 : Tn hieu vao tch cc mc thap, cac ngoai vi 16 bit dung ng nay
e bao cho CPU biet rang viec truyen d lieu la 16 bit.
. OSC : Xung ong ho toc o cao nhat vi chu ky 70 ns. ( 14,31818 MHz ). Tn
hieu nay khong ong bo vi ong ho he thong, co 50% chu ky lam viec.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

71


J3
CON AT36
D1
D2
D3
D4
D5
D6
D7
D8
D9
D10
D11
D12
D13
D14
D15
D16
D17
D18
C1
C2
C3
C4
C5
C6
C7
C8
C9
C10
C11
C12
C13
C14
C15
C16
C17
C18

Hnh 3.1. Ranh cam ISA

S o chan ranh cam ISA
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

72
Ranh cam
Ground B1 A1 -I/O CHCK
Reset DRV B2 A2 SD7
+ 5VDC B3 A3 SD6
IRQ 9 B4 A4 SD5
-5 VDC B5 A5 SD4
DRQ2 B6 A6 SD3
-12 VDC B7 A7 SD2
-OWS B8 A8 SD1
+ 12VDC B9 A9 SD0
Ground B10 A10 I/O CH RDY
-SMEMW B11 A11 AEN
-SMEMR B12 A12 SA19
-IOW B13 A13 SA18
-IOR B14 A14 SA17
-DACK 3 B15 A15 SA16
DRQ 3 B16 A16 SA15
-DACK 1 B17 A17 SA14
DRQ 1 B18 A18 SA13
-REFRESH B19 A19 SA12
CLK B20 A20 SA11
IRQ 7 B21 A21 SA10
IRQ 6 B22 A22 SA9
IRQ 5 B23 A23 SA8
IRQ 4 B24 A24 SA7
IRQ 3 B25 A25 SA6
-DACK 2 B26 A26 SA5
TC B27 A27 SA4
BALE B28 A28 SA3
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

73
+ 5VDC B29 A29 SA2
OSC B30 A30 SA1
Ground B31 A31 SA0
KEY
-MEMCS16 D1 C1 -SBHE
-IO CS16 D2 C2 LA23
IRQ 10 D3 C3 LA22
IRQ 11 D4 C4 LA21
IRQ 12 D5 C5 LA20
IRQ 15 D6 C6 LA19
IRQ 14 D7 C7 LA18
-DACK 0 D8 C8 LA17
DRQ 0 D9 C9 -MEMR
-DACK 5 D10 C10 -MEMW
DRQ 5 D11 C11 SD08
-DACK 6 D12 C12 SD09
DRQ 6 D13 C13 SD10
-DACK 7 D14 C14 SD11
DRQ 7 D15 C15 SD12
+ 5VDC D16 C16 SD13
-MASTER D17 C17 SD14
Ground D18 C18 SD15

Bang 3.1 : S o chan ranh cam ISA tren mainboard cua may IBMPC XT,AT.


3.1.2. Cac a ch vao ra cua may vi tnh IBMPC - AT :[5]
Tam ( Hex ) Thiet b
000 - 01F Bo ieu khien DMA 1 , 8237A - 5.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

74
020 - 03F Bo ieu khien ngat 1 ( chnh ) 8259A.
040 - 05F Bo nh thi, 8254 - 2.
060 - 06F Bo ieu khien ban phm, 8042.
070 - 07F ong ho he thong thi gian thc, mat na ngat
khong che c NMI ( non mashable interrupt ).
080 - 09F Thanh ghi trang DMA, 74LS612.
0A0 - 0BF Bo ieu khien ngat 2, 8259A ( phu ).
0C0 - 0DF Bo ieu khien DMA 2 , 8237A - 5.
0F0 Xoa ng thong bao bo ong x ly toan hoc ang ban.
0F1 Reset bo ong x ly toan hoc.
0F8 - 0FF Bo ong x ly toan hoc.
1F0 - 1F8 ieu khien a cng.
200 - 207 ieu khien tro chi ( Game IO ).
278 - 27F Cong giao tiep may in song song 2 ( LPT 2 ).
2F8 - 2FF Cong truyen tin noi tiep 2 ( COM 2 ).
300 - 31F Card th nghiem ( prototype card ).
360 - 36F Cha s dung.
378 - 37F Cong giao tiep may in song song 1 ( LPT 1).
380 - 38F Thong tin SDLC 2.
3A0 - 3AF Thong tin SDLC 1.
3B0 - 3BF ieu khien man hnh mono va thiet b may in.
3C0 - 3CF Cha s dung.
3D0 - 3DF ieu khien man hnh graphic / mau.
3F0 - 3F7 ieu khien a mem.
3F8 - 3FF Cong truyen tin noi tiep ( COM 1 ) .
Bang 3.2: Cac a ch vao ra cua may vi tnh IBMPC - AT
Khi thiet ke he thong , cac nha san xuat a danh rieng vung a ch vao ra t
300h - 31Fh e ngi s dung co the phat trien them cac ng dung th nghiem, v vay
ta se chon vung a ch nay e xay dng card AD.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

75
3.1.3.Cac tuyen ieu khien ngat :
Tn hieu NMI cua vi x ly 80286 va hai bo ieu khien ngat 8259A ( chnh , phu )
cung cap 16 mc ngat he thong. Bang di ( Bang 3.3 ) trnh bay viec gan 16 mc ngat
theo mc o u tien giam dan. Chu y rang cac ngat eu b che ( gom ca NMI cua vi x
ly).

Mc u tien Ngo vao Chc nang

NMI cua vi x ly Kiem tra parity hay kenh vao ra
Bo ieu khien ngat
CTLR 1 CTLR 2
0 IRQ 0 ong ho he thong
1 IRQ 1 Ngat ban phm
2 IRQ 2 Ngat t bo ieu khien ngat phu
IRQ 8 Ngat ong ho thi gian thc
IRQ 9 Phan mem nh hng lai ti INT 0AH
IRQ 10 Cha s dung
IRQ 11 Cha s dung
IRQ 12 Cha s dung
IRQ 13 Ngat ieu khien bo ong x ly
IRQ 14 ieu khien a cng
IRQ 15 Cha dung
3 IRQ 3 ieu khien cong truyen tin noi tiep COM 2
4 IRQ 4 ieu khien cong truyen tin noi tiep COM 1
5 IRQ 5 ieu khien cong may in song song LPT 2
6 IRQ 6 ieu khien a mem
7 IRQ 7 ieu khien cong may in song song LPT 1

Bang 3.3 : Cac ng yeu cau ngat trong may IBMPC - AT.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

76
Trong 8 ng IRQ chnh cua he thong, neu thiet b ngoai vi tng ng nao hien
dien thc s th chung ta khong c phep s dung ng IRQ o na. Con neu cac
ng IRQ nao khong co thiet b th card AD cua ta co quyen s dung thoai mai. e
kiem tra s ton tai cua cac thiet b ngoai vi a s dung IRQ, chung ta co the thc hien
bang cach kiem tra byte d lieu cong vao ra so 21h ( trang thai 8259A ). Neu bit so n
la zero th IRQ n tng ng a c s dung. Thong thng chung ta co the s dung
c cac ng IRQ 3 IRQ 7 . Khi thiet ke card AD va khi viet chng trnh ieu
khien ta phai chu y cho phep ngi dung kha nang chon la IRQ e tng thch vi cac
cau hnh may khac nhau.
3.1.4. Cac a ch ngoai vi a s dung cua mot may Pentium 2
Bang 3.4: Cac a ch ngoai vi a s dung cua mot may Pentium 2
X0000-x000F Direct memory access controller
X0020-x0021 Programmable interrupt controller
X0040-x0043 System timer
X0060-x0060 Standard 101/102 key or Microsoft natural keyboard
X0061-x0061 System speaker
X0064-x0064 Standard 101/102 key or Microsoft natural keyboard
X0070-x0071 System CMOS / realtime clock
X0081-x0083 Direct memory access controller
X0087-x0087 Direct memory access controller
X0089-x008B Direct memory access controller
X008F-x0091 Direct memory access controller
X00A0-x00A1 Programmable interrupt controller
X00C0-x00DF Direct memory access controller
X00F0-x00FF Numeric data processor
X0168-x016F Standard IDE/ESDI Hard disk controller
X0170-x0177 Intel 8237-1 AB/EB PCI bus Master IDE Controller
X0170-x0177 Second IDE controller(dual info)
X01F0-x01F7 Intel 82371 AB/EB PCI bus Master IDE Controller
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

77
X01F0-x01F7 Primary IDE controller(dual info)
X0201-x0201 Gameport Joystick
X0208-x020F Motherboard resources
X0220-x022F ES 1868 Plug and Play Audio Drive (WDM)
X0274-x0277 IO read data port for ISA Plug and Play enumerator
X02F8-x02FF Communications Port (COM2)
X0330-x0331 ES 1868 Plug and Play Audio Drive (WDM)
X036E-x036F Standard IDE/ESDI Hard disk controller
X0376-x0376 Intel 8237-1 AB/EB PCI bus Master IDE Controller
X0376-x0376 Second IDE controller(dual info)
X0378-x037F ECP Printer Port (LPT1)
X0388-x038B ES 1868 Plug and Play Audio Drive (WDM)
X03B0-x03BB S3 Inc. Trio3D/2X (Engineering Release)
X03C0-x03DF S3 Inc. Trio3D/2X (Engineering Release)
X03F2-x03F5 Standard Floopy Disk Controller
X03F6-x03F6 Intel 8237-1 AB/EB PCI bus Master IDE Controller
X03F6-x03F6 Primary IDE controller(dual info)
X03F8-x03FF Communications Port(COM1)

3.1.5. Cac ngat cua mot may Pentium 2
Bang 3.5: Cac ngat cua mot may Pentium 2
0 System timer
1 Standard 101/102 key or Microsoft natural keyboard
2 Programmable interrupt controller
3 Communications Port(COM2)
4 Communications Port(COM1)
5 ES 1868 Plug and Play Audio Drive (WDM)
6 Standard Floopy Disk Controller
7 ECP Printer Port (LPT1)
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

78
8 System CMOS / realtime clock
9 Motorola SM56PCI Speakerphone Modem
9 IRQ Holder for PCI steering
10 Standard IDE/ESDI Hard disk controller
11 Intel 8237-1 AB/AE PCI to USB Universal Host Controller
11 IRQ Holder for PCI steering
12 PS/2 Compatible Mouse Port
13 Numeric data processor
14 Primary IDE controller(dual info)
14 Intel 8237-1 AB/EB PCI bus Master IDE Controller
15 Second IDE controller(dual info)
15 Intel 8237-1 AB/EB PCI bus Master IDE Controller

3.1.6. May tnh Pentium III, Pentium IV

Sau ay trnh bay card peripheral adapter 16 bit va hai card thu thap so lieu
gan vao ranh ISA
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

79

Hnh 3.1
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

80
Hnh ve c trch dan t sach [1]. Nguyen c Thanh, o lng va ieu khien bang
ma y tnh, NXB HQG Tp. HCM, 2002.


PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

81
Hnh 3.2
Hnh ve c trch dan t sach [1]. Nguyen c Thanh, o lng va ieu khien bang
ma y tnh, NXB HQG Tp. HCM, 2002.




PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

82
Hnh 3.3
Hnh ve c trch dan t sach [1]. Nguyen c Thanh, o lng va ieu khien bang
ma y tnh, NXB HQG Tp. HCM, 2002.

3.1.7. Ranh cam 32 bit theo chuan EISA:
EISA (Extended Industry Standard Architecture)
Kch thc thong thng cua mot card EISA la :
* Chieu cao: 127 mm(5 inhx)
*Chieu dai : 333,5 mm(13,13 inhx)
* Chieu day, bao gom va linh kien : 12,7 mm(0,5 inhx).
T kch thc nay, ro rang mot card ISA co the ca m va ranh cam EISA. Ranh
nay va co the chap nhan card ISA 8 bit va 16 bit va co the duy tr che o hoat ong
32 bit cua cac card ghep noi tuan theo ung chuan EISA. Ranh cam EISA c dung
cho bo x l 80386 DX va cac the he ke tiep.
3.1.8. Ranh cam 32 bit va 64 bit theo chuan VESA VLB
VESA VLB (VESA Local Bus Standard)
Viec tao ra ca c local bus nam trong y o nham at c moi lien he trc
tiep vi bo x l e lam tang toc o truyen d lieu, ac biet la khi bo vi x l 80486 ra
i. Con ch VESA bat nguon t ten goi cua Video Electronics Standard Association, to
chc nay a danh nhieu thi gian e tm kiem nhng giai phap phan cng e tan dung
toc o x l cua cac bo vi x l the he mi.
Ranh cam VLB (VESA local bus) bao gom mot ranh cam ISA 16 bit va mot ranh
m rong nam thang hang v ranh ISA. Ranh VLB co en 116 chan c sap xep nh
bang sau.
3.2.GIAO TIE P QUA RANH CAM PCI
3.2.1. Ranh cam 32 bit theo chuan PCI
Ranh cam PCI (Peripheral Component Interconnect) co mau trang tren
mainboard cho phep giao tiep ngoai vi 32 hay 64 bit van toc nhanh en 132 Mbytes/s
so vi ranh cam ISA 16 bit co van toc 3 en 5 Mbytes/s.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

83
Nh co van toc cao nen ranh PCI thng dung cho card man hnh sau o no c s
dung cho cac card khac nh card mang, modem noi, am thanh.. dan dan mainboard i
mi khong danh cho cho ranh cam ISA na.
Cac hang nh Advantech, Data translation .. cu ng a san xuat card giao tiep ngoai vi
cho may tnh dung ranh PCI.
Ranh PCI 64 bit co hai hang tiep iem, moi ben 94 tiep iem pha A la pha linh
kien con pha B la pha ham. Do tnh chat phc tap cua tuyen va van toc tn hieu ln
nen viec t rap card giao tiep PCI kho thc hien ma phai dung card chnh hang.
Nam 1998 cac hang Compag, Hewlett-Packard, IBM phoi hp a chuan PCI-X
co ac tnh tot hn.
Tuyen PCI 32 bit s dung chung 32 ng a ch data AD0-AD31, pha a ch
do tn hieu FRAME# ieu khien, sau o la mot hay nhieu pha d lieu. Tuyen PCI 64 bit
dung 64 ng AD0-AD63 cho a ch va d lieu.
Co hai loai tuyen PCI mc tn hieu 5V va mc tn hieu 3,3V.
Sau ay la mo ta cac tn hieu cua PCI:
CLK: xung nhp 33 MHz, 66 Mhz..
RST#: tn hieu reset.
AD0AD31: la tuyen a ch khi FRAME# mc thap.
C/BEO3# BUS(Command Bytes Enables) : cho biet loai cua truyen d lieu (oc/viet
bo nh, ngoai vi..).
PAR: kiem tra parity cua AD0AD31 va C/BEO3.
IRDY# (initiator ready)
TRDY# (Target Ready): la hai d lie bat tay gia bo phat va bo nhan d lieu tren tuyen
PCI.
STOP#: la tn hieu target bao cho initiator e cham dt giao dch initiator la chu cua
tuyen (bus master) con target la bus slave. Viec truyen d lieu do initiator bat au
thong qua C/BE va IRDY con target tra li thong qua TRDY# va STOP#.
LOCK#: la tn hieu initiator bao danh rieng mot so a ch cua target.
IDSEL(Initialigation Device Select): la tn hieu chon chip.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

84
DEVSEL#(Device Select): cua no tren tuyen PCI do target ieu khien khi no thay a
ch cua no tren tuyen PCI.
REQ#: yeu cau dung bus(request).
GNT#: cho biet yeu cau REQ# a c chap nhan(grant).
PERR#(System error): sai so he thong.
INTA#, INTB#, INTC#, INTD#: cac tn hieu ngat.
SBO#(Snoop Backoff) dung cho card bo nh.
SDONE(Snoop done)
PRSNT 12#: cho biet co board cam vao slot va cong suat tieu thu cua board o.
CLKRUN#(Clock running): cho phep ieu khien xung nhp CLK.
MGGEN(66 Mhz enable): cho biet xung nhp 33 Mhz hay 66 Mhz.
AD3263: 32 ng a ch va d lieu cao trong PCI 64 bit.
C/BE 47#: dung khi truyen 64 bit ket hp vi REQ 64# va ACK 64#, PAR 64.
REQ 64# (Request 64 bit transfer).
ACK 64# (Acknowledge 64 bit transfer).
TCK (Test clock)
TDI(Test data input) cac tn hieu th
TDO(Test output)
TMS(Test mode Select)
TRST#(Test reset)
Bang 3.6 cho v tr cac tn hieu tren slot, chi tiet hn e ngh oc website:
http://www.techfest.com/

ac iem cua cac board cam tren tuyen PCI la d lieu co the truyen khong
thong qua CPU chu do o van toc x ly tn hieu nhanh hn.
Card DT 300 cua hang Data Translation cho phep oi 16 tn hieu analog sang so phan
giai 16 bit vi van toc 250000 mau/sec, oi so ra analog hai kenh 16 bit, xuat nhap
digital 23 bit.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

85

Hnh 3.4


PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

86
Bang 3.6. S o chan ranh cam PCI 64 bit
Pin 5 V system environment Pin 3,3 V system environment comments
Side B Side A Side B Side A
1 -12 V TRST# 1 -12 V TRST# 32 bit
start
2 TCK +12 V 2 TCK + 12V
3 Ground TMS 3 Ground TMS
4 TDO TDI 4 TDO TDI
5 +5V +5V 5 +5V +5V
6 +5V INTA# 6 +5V INTA#
7 INTB# INTC# 7 INTB# INTC#
8 INTD# +5V 8 INTD# +5V
9 PRSNT1# Reserved 9 PRSNT1# Reserved
10 Reserved +5V(I/O) 10 Reserved +3,3V(I/O)
11 PRSNT2# Reserved 11 PRSNT2# Reserved
12 Ground Ground 12 Connector Key 3,3V key
13 Ground Ground 13 Connector Key 3,3V key
14 Reserved Reserved 14 Reserved Reserved
15 Ground RST# 15 Ground RST#
16 CLK +5V(I/O) 16 CLK
17 Ground GNT# 17 Ground +3,3V(I/O)
18 REQ# Ground 18 REQ# Ground
19 +5V(I/O) Reserved 19 +3,3V(I/O) Reserved
20 AD[31] AD[30] 20 AD[31] AD[30]
21 AD[29] +3,3V 21 AD[29] +3,3V
22 Ground AD[28] 22 Ground AD[28]
23 AD[27] AD[26] 23 AD[27] AD[26]
24 AD[25] Ground 24 AD[25] Ground
25 +3,3V AD[24] 25 +3,3V AD[24]
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

87
26 C/BE[3]# IDSEL 26 C/BE[3]# IDSEL
27 AD[23] +3,3V 27 AD[23] +3,3V
28 Ground AD[22] 28 Ground AD[22]
29 AD[21] AD[20] 29 AD[21] AD[20]
30 AD[19] Ground 30 AD[19] Ground
31 +3,3V AD[18] 31 +3,3V AD[18]
32 AD[17] AD[16] 32 AD[17] AD[16]
33 C/BE[2]# +3,3V 33 C/BE[2]# +3,3V
34 Ground FRAME# 34 Ground FRAME#
35 IRDY# Ground 35 IRDY# Ground
36 +3,3V TRDY# 36 +3,3V TRDY#
37 DESVEL# Ground 37 DESVEL# Ground
38 Ground STOP# 38 Ground STOP#
39 LOCK# 3,3V 39 LOCK# 3,3V
40 PERR# SDONE 40 PERR# SDONE
41 +3,3V SBO# 41 +3,3V SBO#
42 SERR# Ground 42 SERR# Ground
43 +3,3V PAR 43 +3,3V PAR
44 C/BE[1]# AD[15] 44 C/BE[1]# AD[15]
45 AD[14] +3,3V 45 AD[14] +3,3V
46 Ground AD[13] 46 Ground AD[13]
47 AD[12] AD[11] 47 AD[12] AD[11]
48 AD[10] Ground 48 AD[10] Ground
49 Ground AD[9] 49 M66EN AD[9]
50 Connector Key 50 Ground Ground 5V key
51 Connector Key 51 Ground Ground 5V key
52 AD[08] C/BE[0]# 52 AD[08] C/BE[0]#
53 AD[07] +3,3V 53 AD[07] +3,3V
54 +3,3V AD[06] 54 +3,3V AD[06]
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

88
55 AD[05] AD[04] 55 AD[05] AD[04]
56 AD[03] Ground 56 AD[03] Ground
57 Ground AD[02] 57 Ground AD[02]
58 AD[01] AD[00] 58 AD[01] AD[00]
59 +5V(I/O) +5V(I/O) 59 +3,3V(I/O) +3,3V(I/O)
60 ACK64# REQ64# 60 ACK64# REQ64#
61 +5V +5V 61 +5V +5V
62 +5V +5V 62 +5V +5V 32 bit end
Connector Key Connector Key 64 bit
spacer
Connector Key Connector Key 64 bit
spacer
63 Reserved Ground 63 Reserved Ground 64 bit
start
64 Ground C/BE[7]# 64 Ground C/BE[7]#
65 C/BE[6]# C/BE[5]# 65 C/BE[6]# C/BE[5]#
66 C/BE[4]# +5V(I/O) 66 C/BE[4]# +3,3V(I/O)
67 Ground PAR64 67 Ground PAR64
68 AD[63] AD[62] 68 AD[63] AD[62]
69 AD[61] Ground 69 AD[61] Ground
70 +5V(I/O) AD[60] 70 +3,3V(I/O) AD[60]
71 AD[59] AD[58] 71 AD[59] AD[58]
72 AD[57] Ground 72 AD[57] Ground
73 Ground AD[56] 73 Ground AD[56]
74 AD[55] AD[54] 74 AD[55] AD[54]
75 AD[53] +5V(I/O) 75 AD[53] +5V(I/O)
76 Ground AD[52] 76 Ground AD[52]
77 AD[51] AD[50] 77 AD[51] AD[50]
78 AD[49] Ground 78 AD[49] Ground
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

89
79 +5V(I/O) AD[48] 79 +3,3V(I/O) AD[48]
80 AD[47] AD[46] 80 AD[47] AD[46]
81 AD[45] Ground 81 AD[45] Ground
82 Ground AD[44] 82 Ground AD[44]
83 AD[43] AD[42] 83 AD[43] AD[42]
84 AD[41] +5V(I/O) 84 AD[41] +5V(I/O)
85 Ground AD[40] 85 Ground AD[40]
86 AD[39] AD[38] 86 AD[39] AD[38]
87 AD[37] Ground 87 AD[37] Ground
88 +5V(I/O) AD[36] 88 +3,3V(I/O) AD[36]
89 AD[35] AD[34] 89 AD[35] AD[34]
90 AD[33] Ground 90 AD[33] Ground
91 Ground AD[32] 91 Ground AD[32]
92 Reserved Reserved 92 Reserved Reserved
93 Reserved Ground 93 Reserved Ground
94 Ground Reserved 94 Ground Reserved
Bang 3.7. Lenh PCI (t C/BE#)
C/BE# Lenh C/BE# Lenh
0000 Chap nhan ngat 1000 D tr
0001 Chu k ac biet 1001 D tr
0010 oc ngoai vi xuat nhap 1010 oc cau hnh(vi
IDSEL)
0011 Viet ngoai vi xuat
nhap
1011 Viet cau hnh (vi
IDSEL)
0100 D tr 1100 oc nhieu o nh
0101 D tr 1101 Chu k a ch kep
0110 oc bo nh 1110 ng oc bo nh
0111 Ghi bo nh 1111 Viet bo nh va
cam
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

90


3.2.2.VMEbus( IEEE 1014):
VME: Vesa Module Eurocard
VEsa la ten goi bus trc ay c thiet ke bi Motorola va Eurocard la dang
board chuan . VME bus c thiet ke bi mot nhom cong ty chu tr la Motorola, la
chuan IEEE 1014. VME bus co ac iem lam cho no rat manh va linh hoat trong cac
ng dung cong nghiep.
ac iem la :
-o dai a ch : 16/24/32 bit.
-o dai t d lieu :16/32 bit.
-Toc o truyen d lieu: 75 Mbytes/sec (l thuyet), 30-40 Mbyte/sec(thc te).
-7 tn hieu ngat.
-Ho tr he a x l, 4 mc u tien cho nh v bus.
-Truyen khoi d lieu , o dai khoi toi a 256 t.
3.2.3. S-100 (IEEE 696)
Mot so may tnh ca nhan au tien vao cuoi thap nien 1970 la da tren bus S-100.
o dai d lieu la 16 bit va tam a ch la 16 bit co the m rong lean 24 bit (16
Mbytes). Truyen d lieu tren S-100 la bat ong bo. Co ho tr cho tac vu nhieu chu:
bus cho phep ti 16 board chu c cai at; thu tuc duy nhat c kiem soat bi
moat board hoat ong nh la board chu vnh vien. Bus S-100 c chon cho may
tnh kinh doanh va giai tr hn la trong cong nghiep. Viec chon card S-100 la han
che.
3.2.4. STD (IEEE 961)
Bus STD la bus a nang au tien dung trong ng dung cong nghiep. Vi o dai t 8
bit, bus c dung cho vi x l 8 bit cua the he au nh la Intel 8080 va Zilog Z80.
Bus STD co 56 chan dan va ho tr truyen d lieu ong bo vi tam a ch 16 bit (64
Kbytes). Bus co 22 ng ieu khien cho ieu khien truyen d lieu, ngat,vv

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

91
Gii thiu card thu thp d liu v iu khin PCI-1718HDU /PCI 1710 v PCL-818L
ca hng Advantech
Card thu thap so lieu va ieu khien ISA slot hng Advantech
Card thu thap so lieu va ieu khien PCI slot hng Advantech
PCL-818L
Card PCL-818L l card a nng 12 bit cm trn rnh cm ISA ca my tnh PC.
Card c thit k dng cho khch hng cn chi ph va phi nhng card vn m
bo cc tnh nng ca h PCL-818, ngai tr tn s ly mu 40 Khz v ch chp nhn ng
vo lng cc. Card tng thch han tan v phn mm v kt ni vi h PCL-818HD v
PCL-818HG. iu ny cho php bn nng cp cc ng dng ca bn vi cc card hiu sut
cao m khng phi thay i phn mm v phn cng. PCL-818LS gm c card PCL-818L ,
board u cui ni dy PCLD-8115, v cp ni DB37. PCLD-8115 cha trn board cc
thnh phn gia cng tn hiu th ng (in tr v t in) cho php bn d dng thc hin
cc mch lc thng thp, truyn ng in p hay b chuyn i in p 4~20 mA.

Hnh 3.8: Card PCL-818L

PCI-1718HDU
Card PCI-1718HDU ca hng Advantech l card thu thp d liu v iu khin a
chc nng cm trn rnh cm PCI ca my tnh PC.
c im :
-16 ng vo n hay 8 ng vo analog vi sai.
-B chuyn i A/D 12 bit vi tn s ly mu ln n 100 Khz.
- li c th lp trnh c.
-Qut knh/ li t ng.
-B nh FIFO trn board (1024 mu).
-Mt knh ng ra analog 12 bit .
-16 ng vo s v 16 ng ra s.
- Bus PCI a nng (h tr tn hiu bus PCI 3,3V hay 5 V).
-Chuyn mch BoardID.

Hnh 3.9: Card PCI-1718HDU
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

92
Tham kho website : http://www.advantech.com/
Card PCI 1711
Card PCI 1711 co chc nang tng t card PCl 818 nhng gan vao slot PCI. S o
khoi trnh bay chng 5A, lap trnh card thc hien thong qua driver adsapi32 do hang
cung cap.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

93
3.3.Gii thieu mot so IC thng dung

3.3.1.PPI 8255
8255 co 3 cong song song A, B, C, moi cong 8 bit, thng c chia ra :
A : 8 bit , PA0-PA7
B : 8 bit , PB0-PB7
CL : 4 bit , PC0-PC3
CH : 4 bit, PC4-PC7
Co 3 mode (che o hoat ong )
-mode 0 : xuat nhap n gian dung A,B,C
-mode 1 : xuat nhap co ieu kien
-mode 2: la bus 2 chieu (dung A)
S o chan 8255

U4
8255
34
33
32
31
30
29
28
27
5
36
9
8
35
6
4
3
2
1
40
39
38
37
18
19
20
21
22
23
24
25
14
15
16
17
13
12
11
10
D0
D1
D2
D3
D4
D5
D6
D7
RD
WR
A0
A1
RESET
CS
PA0
PA1
PA2
PA3
PA4
PA5
PA6
PA7
PB0
PB1
PB2
PB3
PB4
PB5
PB6
PB7
PC0
PC1
PC2
PC3
PC4
PC5
PC6
PC7

Hnh 3.18

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

94
1.Tong quat : Cau truc khoi cua 8255

a.Bo em truyen d lieu : bo em 8 bit, 2 chieu , 3 trang thai dung e
giao tiep 8255 vi CPU. D kien c phat hay nhan bi bo em khi thc hien lenh IN,
OUT bi CPU. Cac t ieu khien cung truyen qua d kien
b.Phan kiem soat oc/ghi : chc nang cua khoi nay la kiem soat tat ca cac
s truyen at ben trong va ngoai cua t ieu khien va d kien. No nhan ngo vao t
tuyen a ch va ieu khien cua CPU, phat ra cac lenh can thiet cho ca 2 nhom ieu
khien A va B.
CS : ngo vao =0 cho phep truyen tin gia 8255 va CPU
RD: =0 cho phep 8255 gi d kien en CPU tren tuyen d kien chu yeu la cho phep
CPU oc d kien t 8255
WR : =0 CPU xuat t ieu khien hay d lieu ra 8255
A0 va A1 : e chon cong A,B,C
A1 A0 chon ca
0 0 port a
0 1 port b
1 0 port c
1 1 t ieu khien
RESET : =1 xoa tat ca cac thanh ghi ben trong gom thanh ghi ieu khien va cac cong
A,B,C mode nhan.
c.ieu khien nhom A va B
Cau hnh hoat ong cua moi nhom c lap trnh bi phan mem, chu yeu la,
CPU xuat t ieu khien en 8255. T ieu khien gom cac thong tin nh che o (mode),
bit set, bit reset, vv se khi ong cau hnh hoat ong cua 8255.
Thanh ghi t ieu khien ch co the viet vao ma khong the oc ra.

d.Cac ca (port) A, B, C :
8255 gom 3 cong A, B, C. Moi cong gom 8 bit. Cac cong nay co the lap trnh bi
phan mem e co the hoat ong che o thch hp.
Cong A : gom bo em, cai ngo ra 8 bit va cai ngo vao 8 bit.
Cong B : gom bo em, cai ngo ra 8 bit va cai ngo vao 8 bit.
Cong C : em va cai ngo ra 8 bit va em 8 bit ngo vao (khong cai).
Ca C co the chia lam 2 phan, moi phan 4 bits cho ieu khien mode.
Moi phan c dung ket hp vi ca A hay B e tao nen cac tn hieu ieu khien.










PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

95






PA0-PA7





PC4-PC7
D0-D7



PPC0-PC3
Giao RD
Tiep WR

A1
A0
Reset PB0-PB7




CS

Hnh 3.19: S o khoi cua 8255
Bang lam viec cua 8255
A1 A0 RD WR CS Hoat ong
Nhap 0 0 0 1 0 A data bus
0 1 0 1 0 B data bus
1 0 0 1 0 C data bus
Xuat 0 0 1 0 0 data bus A
0 1 1 0 0 data bus B
1 0 1 0 0 data bus C
1 1 1 0 0 data bus t ieu khien
1 1 0 1 0 tong tr cao
x x x x 1 cua data bus
2 Lap trnh cho 8255
a.Lap trnh xuat nhap e chon che o (Mode) :
Co ba che o hoat ong c ban thiet lap do phan mem :
Mode 0 : vao ra c ban
em d
lieu
ieu khien
oc ghi
ieu khien
nhom 1
(group A)
ieu khien
nhom 2
(group B)
Port
A
CH
CL
Port
B
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

96
Mode 1 : vao ra bat tay (ch cho phep 1 trong 2 chieu)
Mode 2: truyen d lieu 2 chieu
Khi reset, tat ca cac cong c thiet lap che o nhap (input), tc la ca 24 ng
eu 3 trang thai.Sau khi reset, 8255 co the duy tr che o nhap ma khong can khi
ong g them. Trong khi thc hien chng trnh he thong, co the chon bat k mode nao
bang cach xuat en 8255 t ieu khien. ieu nay cho phep ch can 1 8255 ma co the
phuc vu nhieu kieu thiet b ngoai vi.
Cac che o cua cong A va B co the nh ngha rieng biet. Con cong C c chia
lam 2 phan cho 2 nhom tuy yeu cau nh ngha che o cong A va B. Ta co t ieu khien
cho 8255 nh sau :
Control word :

D7 D6 D5 D4 D3 D2 D1 D0
Nhom B
Mode set flag Port C 1 : in
1= (phan thap) 0 : out
active
port B 1 : in
0 : out
Chon che o 0 : mode 0
1 : mode 1
Nhom A
Port C (phan cao) : 1 : in
0 : out
port A : 1 : in
0 : out
Chon mode 00 : mode 0
01 : mode 1
1x : mode 2
V du : 8255 mode 0, port A la nhap, port B,C xuat.
T ieu khien : 10010000
2
= 90h
Khi CS=0 8255 c chon th port A co a ch 300h
Port B co a ch 301h
Port C co a ch 302h
T ieu khien : 303h
Lenh xuat nhap :
Basic :Out &h303, &h90 ; xuat 90h ra t ieu khien
Out &h301, &hFF ; xuat FFh ra cong B
Inp (&h300) ; nhap so lieu t cong A
Hp ng :Mov dx, 301h
Out dx, 0ffh
Mov dx, 300h
In ax, dx
Cau hoi va bai tap:
Chng 3: Giao tiep qua ranh cam may tnh

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

97
1. Trnh bay y ngha cac loai bus : IBM PC bus ISA , EISA, PCI ,
VMEbus (IEEE 1014).
2. So s anh cac cm PC, ISA va PCI.
3. Cac thanh phn ca mt card ghep ni trao i tin s song song
thong ng.
4. Nhim vu va vai tro c a ca c vi mch m ng day a ch, d
liu va giai ma ieu khin.
5. Thit k mch gii ma cho cac a ch t 300h ti 307h.
6. Trnh bay he thong bus cua may tnh IBM-AT
7. Thiet ke card peripheral adaptor 16 bit s dung vi mach 74LS244,
74LS245, va mach giai ma 74LS138 dung giai ma a ch t 300h en
31Fh; gan vao ranh ISA.
8. Thiet ke card thu thap d lieu gan vao ranh ISA, s dung vi mach
8255, A/D 0809, D/A 7520, giai ma a ch t 300h en 307h.
9. Cac a ch ngoai vi a s dung cua mot may Pentium 2
10. Trnh bay cac ngat cua may Pentium 2.
11. Trnh bay ac iem cua card thu thap d lieu va ieu khien PCI-
1711/1718HDU (slot PCI) va PCL-818L (slot ISA) cua hang Advantech.
Tham khao :
[1]. Nguyen c Thanh, o lng va ieu khien bang may tnh, NXB HQG Tp. HCM,
2002 va 2005(lan 2).
[2]. Ngo Dien Tap, o lng va ieu khien bang may tnh, NXB KHKT, Ha noi, 1999.
[3]. Ngo Dien Tap, Ky thuat ghep noi may vi tnh, NXB KHKT, Ha noi, 2001.
[4].Nguyen Manh Giang, Ky thuat ghep noi may vi tnh, NXB GD, tap 1: 1998, tap 2:
2006.
[5].Willis J. Tompkins, John G. Webster, Interfacing sensors to the PC, Prentice Hall
1990.
[6].Gustaf Olsson and Gianguido Piani, Computer systems for automation and control,
Prentice Hall ,1992.
[7]. PC-AT Technical Reference
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

98
Chng 3A: Vi ieu khien
2.1 Vi iu khin PIC 16F877A
y l vi iu khin thuc h PIC16Fxxx vi tp lnh gm 35
lnh c di 14 bit. Mi lnh u c thc thi trong mt chu k
xung Clock. Tc hot ng ti a cho php l 20MHz vi mt chu
k lnh l 200ns. B nh chng trnh l 8K x 14 bit, b nh d liu
l 368x8 byte RAM v b nh d liu EEPROM vi dung lng
256x8 byte. S PORT I/O l 5 vi 33 pin I/O.
Cc c tnh ngoi vi bao gm cc khi chc nng sau:
Timer0: b nh 8 bit vi b chia tn 8 bit.
Timer1: b nh 16 bit vi b chia tn s, c th thc hin chc nng
m da vo xung clock ngoi vi ngay khi vi iu khin hot ng
ch sleep.
Timer2: b m 8 bit vi b chia tn s, b sportcaler.
Hai b Capture/ so snh/ iu ch rng xung.
Cc chun giao tip ni tip SSP (Synchronous Serial port), SPI v
I2C.
Chun giao ni tip vi USART vi 9 bit a ch.
Cng giao tip song song PSP (Parallel Slave Port) vi cc chn iu
khin RD, WR, CS bn ngoi.
Cc c tnh Analog:
8 knh chuyn i ADC 10 bit.
Hai b so snh.
Bn cnh l mt vi c tnh khc ca vi iu khin nh:
B nh flash vi kh nng ghi xa c 100.000 ln.
B nh EEPROM vi kh nng ghi xa c 1.000.000 ln.
D liu b nh EEPROM c th lu tr c 40 nm.
Kh nng t np chng trnh vi s iu khin ca phn mm.
Np c chng trnh ngay trn mch in ISCP (In Circuit Serial
Programming) thng qua hai chn.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

99
Watchdog Timer vi b dao ng trong.
Chc nng bo mt m chng trnh.
Ch sleep.
C th hot ng nhiu dng Oscillator khc nhau.
2.1.1 S KHI VI IU KHIN PIC 16F877A
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

100

2.1.2 T CHC B NH:
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

101
Cu trc b nh ca vi iu khin PIC 16F877A bao gm b nh chng trnh (program memory) v b nh
d liu (Data Memory).
2.1.2a.B NH CHNG TRNH
B nh chng trnh ca vi iu khin PIC
16F877A l b nh flash, dung lng 8K word (1
word = 14 bit) v c phn thnh nhiu trang ( t
page 0 n page 3). Nh vy b nh chng trnh c
kh nng cha c 8*1024=8192 lnh (v mt lnh
sau khi m ha s c dung lng 1 word (14 bit).
m ha c a ch ca 8K word b nh chng
trnh, b m chng trnh c 13 bit (PC<120>).
Khi vi iu khin c reset, b m chng trnh
s ch n a ch 0000h
( Reset vector). Khi c ngt xy ra, b m chng
trnh s ch n a ch 0004h (Interrupt vector).
B nh chng trnh khng bao gm b nh stack
v khng c a ch ha bi b m chng trnh.
B nh stack s c cp c th trong phn sau.

















2.1.2.b.B NH D LIU.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

102
B nh d liu ca PIC l b nh EEPROM c chia thnh nhiu bank. i vi PIC 16F877A b
nh d liu c chia ra lm 4 bank. Mi bank c dung lng 128 byte, bao gm cc thanh ghi c chc nng
c bit SFG (Special Function Register) nm cc vng a ch thp v cc thanh ghi mc ch chung GPR
(General Purpose Register) nm vng a ch cn li trong bank. Cc thanh SFR thng xuyn c s dng
(v d nh thanh STATUS) s c t tt c cc bank ca b nh d liu gip thun tin trong qu trnh
truy xut v lm gim bt lnh ca chng trnh.





Hnh 2.4.B nh d liu ca PIC 16F877A

2.1.3 CC CNG XUT NHP CA PIC16F877A
Cng xut nhp (I/O port) chnh l phng tin m vi iu khin dng tng tc vi th gii bn
ngoi.S tng tc ny rt a dng v thng qua qu trnh ,chc nng ca vi iu khin c th hin mt
cch r rng.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

103
Mt cng xut nhp ca vi iu khin bao gm nhiu chn(I/O pin),ty theo cch b tr v chc nng ca vi
iu khin m s lng cng xut nhp v s lng chn trong mi cng c th khc nhau.Bn cnh ,do vi
iu khin c tch hp sn bn trong cc c tnh giao tip ngoi vi nn bn cnh chc nng l cng xut
nhp thng thng,mt s chn xut nhp cn c thm chc nng khc th hin s tc ng ca cc c
tnh ngoi vi nu trn vi th gii bn ngoi.Chc nng ca tng chn xut nhp trong mi cng hon ton c
th c xc lp v iu khin c thng qua cc thanh ghi SFR lin quan n chn xut nhp .
Vi iu khin PIC 16f877A c 5 cng xut nhp bao gm PORTA, PORTB, PORTC, PORTD v
PORTE
2.1.3.a.PORT A
PORTA (RPA) bao gm 6 I/O pin.y l cc chn hai chiu(bidirectinal pin),ngha l c th xut v nhp
c .Chc nng I/O ny c iu khin bi thanh ghi TRISA (a ch 85h).Mun xc lp chc nng ca
mt chn trong PORTA l input,ta set bit iu khin tng ng vi chn trong thanh ghi TRISA v ngc
li,mun xc lp chc nng ca mt chn trong PORTA l output,ta clear bit iu khin tng ng vi chn
trong thanh ghi TRISA.
Than tc ny hon ton tng t i vi cc PORT v cc thanh ghi iu khin tng ng TRIS ( i vi
PORTA l TRIS, i vi PORTB l TRISB,i vi PORTC l TRISC,i vi PORTD la TRISD v i vi
PORTE la TRISE). Bn cnh PORTA cn l ng ra ca b ADC, b so snh, ng vo analog ng vo xung
clock ca Timer0 v ng vo ca bboj giao tip MSSP (Master Svnchronous Serial Port ). c tnh ny s
c trinh by.
Cc thanh ghi SFR lin quan n PORTA bao gm:
PORTA (a ch 05h) : cha gi tr cc pin trong PORTA.
TRISA (a ch 85h) : iu khin xut nhp.
CMCON (a ch 9Ch) : thanh ghi iu khin b so snh.
CVRCON (a ch 9Dh) : thanh ghi iu khin b so snh in p.
2.1.3.b.PORT B
PORTB (RPB) gm 8 pin I/O. Thanh ghi iu khin xut nhp tng ng l TRISB.Bn cnh mt
s chn ca PORTB cn c s dng trong qu trnh np chng trnh cho vi iu khin vi cc ch np
khc nhau. PORTB cn lien quan n ngt ngoi vi v b Timer0. PORTB cn c tch hp chc nng in
trowrkeos ln c iu khin bi chng trnh.
Cu trc bn trong v chc nng c th ca tng chn trong PORTB s c trnh by c th trong ph lc 1.
Cc thanh ghi SFR lin quan n PORTB bao gm:
PORTB (a ch 06h, 106h) : cha gi tr cc pin trong PORTB
TRISB (a ch 86h, 186h) : iu khin xut nhp
OPTION_(a ch 81h,181h) : iu khin ngt ngoi vi v b Timer0.
2.1.3.c.PORT C
PORTC (RPC) gm 8 pin I/O. Thanh ghi iu khin xut nhp tng ng l TRISC.
Bn cnh PORTC cn cha cc chc nng ca b so snh, b Timer 1, b PWM v cc chun giao tip ni
tip 12C, SPI, USART.
Cc thanh ghi iu khin lin quan n cc pin trong PORTC:
PORTC (a ch 07h) : cha gi tr cc pin trong PORTC
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

104
TRISC (a ch 87h) : iu khin xut nhp.
2.1.3.d.PORT D
PORTD (RPD) gm 8 chn I/O, thanh ghi iu khin xut nhp tng ng l TRISD. PORTD cn l
cng d liu ca chun giao tip PSP (Parallel Slave Port).
Cu trc bn trong v chc nng ct h ca tng chn trong PORTD s c trnh by c th trong Ph lc 1.
Cc thanh ghi lin quan n PORTD bao gm:
Thanh ghi PORTD : cha gi tr cc pin trong PORTD.
Thanh ghi TRISD : iu khin xut nhp.
Thanh ghi TRISE : iu khin xut nhp PORTE v chun giao tip PSP.
2.1.3.e.PORT E
PORTE (RPE) gm 3 chn I/O. Thanh ghi iu khin xut nhp tng ng l TRISE. Cc chn ca
PORTE c ng vo analog.Bn cnh c PORTE cn l cc chn iu khin ca chun giao tip PSP.
Cc thanh ghi lin quan n PORTE bao gm:
PORTE : cha cc gi tr cc chn trong PORTE.
TRISE : iu khin xut nhp v xc lp cc thng s cho chun giao tip PSP.
ADCON1 : thanh ghi iu khin khi ADC.
2.1.4 CC B TIMER
2.1.4.a.TIMER 0
y l mt trong ba b m hoc b nh thi gian ca vi iu khin PIC 16F877A. Timer0 l b m 8 bit
c kt ni vi b chia tn s (prescaler) 8 bit. Cu trc ca Timer0 cho php ta la chn xung clock tc
ng v cnh tch cc ca xung clock. Ngt Timer0 s xut hin khi Timer0 b trn. Bit TMR0IE
(INTCON<5>) l bit iu khin ca Timer0.TMR0IE=1 cho php ngt Timer tc ng, TMR0IE=0 khng cho
php ngt Timer0 tc ng.
S khi caTimer0 nh sau:
2.1.4.b.TIMER 1
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

105
Timer 1 l b nh thi gian 16 bit, gi tr ca Timer1 s c lu trong hai thanh ghi (TMR
1H:TMR1L). C ngt ca Timer1 l bit TMR1IF (PIR1<0>). Bit iu khin ca Timer1 s l TMR1IE
(PIE<0>).
Tng t nh Timer0, Timer1 cng c hai ch hot ng: ch nh thi (timer) vi xung kch l xung
clock ca oscillator (tn s ca timer bng tn s ca oscillator) v ch m (counter) vi xung kch l
xung phn nh cc s kin cn m ly t bn ngoi thng qua chn RC0?T1OSO/T1CKI (cnh tc ng l
cnh ln). Vic la chn xung tc ng (tng ng vi vic la chn ch hot ng l timer hay counter)
c iu khin bi bit TMR1CS (T1CON<1>). Sau y l s khi ca Timer1:
S khi TIMER 1
2.1.4.c.TIMER 2
Timer 2 l b nh thi 8 bit v c h tr bi hai b chia tn s prescaler v postscaler. Thanh ghi
cha gi tr m ca Timer2 v TMR2. Bit cho php ngt Timer2 tc ng l TMR2ON
(T2CON<2>). C ngt ca Timer2 l bit TMR2IF(PIR1<1>). Xung ng vo(tn s bng tn s
oscillator) c da qua b chia tn s prescaler 4 bit (vi cc t s chia tn s l 1:1, 1:4, hoc 1:16
v iu khin bi cc bit T2CKPS0 (T2CON<1:0>)).

S khi TIMER 2
2.1.5 TP LNH VI IU KHIN PIC 16F877A
2.1.5.1.S LC V TP LNH PIC
PIC l vi iu khin c tp lnh rt gn RISC(Reduced Instruction Set Coputer) bao gm 35 lnh v
chia lm 3 nhm c bn:
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

106
Nhm lnh thao tc trn bit.
Nhm lnh thao tc trn byte.
Nhm lnh iu khin.
i vi dng vi iu khin PIC16Fxxx, mi lnh c m ha thanh 14 bit wor, bao gm cc bit
opcode ( dng xc nh lnh no c m ha) v cc bit m t hay vi tham s ca lnh.
i vi nhm lnh thao tc trn byte, ta c 2 tham s f (xc nh a ch byte cn thao tc) v d (xc
nh ni cha kt qu thc thi lnh). Nu d=0, kt qu s c a vo thanh ghi W. Nu d=1, kt qu
c a vo thanh ghi c m t bi tham s f.
i vi nhm lnh thao tc trn bit, ta c hai tham s b(xc nh bit cn thao tc) v f (xc nh a
ch byte d liu cn thao tc).
i vi nhm lnh iu khin ch c mt tham s duy nht l k (k c th l 8 bit trong trng hp cc
lnh bnh thng hay 11 bit trong trng hp l lnh CALL v lnh GOTO) dng m t i tng tc
ng ca vi iu khin (mt label, mt hng s no ).
Mi lnh s c vi iu khin thc thi xong trong vng mt chu k lnh, ngoi tr cc lnh lm thay
i gi tr b m chng trnh PC cn 2 chu k lnh. Mt chu k lnh gm 4 xung clock ca oscillator c
tn s 4 MHz th tn s thc thi lnh s l 4MHz/4 = 1 MHz, nh vy mt chu k lnh c thi gian 1 uS.

2.1.5.2.TP LNH CA PIC
2.1.5.2.1 Lnh ADDLW :C php: ADDLW k (0k255)
Tc dng: cng gi tr k vo thanh ghi W, kt qu c cha trong thanh ghi W nu d=0 hoc thanh ghi f
nu d=1. Bit trng thi: C. DC. Z
2.1.5.2.2 Lnh ADDWF: C php: ADDWF f.d (0.f.255,d[0,1])
Tc dng: cng gi tr hai thanh ghi W v thanh ghi f. Kt qu c cha trong thanh ghi f. Kt qu c
cha trong thanh ghi W nu d=0 hoc thanh ghi f nu d=1. Bit trng thi: C. DC. Z
2.1.5.2.3 Lnh ANDL :C php: ANDLW k(0k255
Tc dng: thc hin php ton AND gia thanh ghi I v k, kt qu c cha trong thanh ghi W. Bit
trng thi: Z
2.1.5.2.4 Lnh ANDWF :C php: ANDWF f.d (0.f127, d[0,1])
Tc dng: thc hin php ton AND cc gi tr cha trong hai thanh ghi W v f. Kt qu c a vo
thanh ghi W nu d=0 hoc thanh ghi f nu d= 1.Bit trng thi: Z
2.1.5.2.5 Lnh BCF: C php: BCF f,b (0f127, 0.b..7)
Tc dng: xa bit b trong thanh ghi f v gi tr 0.
Bit trng thi: khng c.
2.1.5.2.6 Lnh BSF :C php: BSF f,b (0.f127, 0b7
Tc dng: set bit b trong thanh ghi f. Bit trng thi: khng c
2.1.5.2.7 Lnh BTFSS: C php: BTFSS f,b (0F127, 0.B..7)
Tc dng: Kim tra bit b trong thanh ghi f. Nu bit b bng 0,lnh tip theo thc thi. Nu bit b bng 1, lnh
tip theo c b qua v thay vo l lnh NOP. Bit trng thi: khng c
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

107
2.1.5.2.8 Lnh BTFSC : C php: BTFSC f,b (0.f..127, 0b7)
Tc dng: kim tra bit b trong thanh ghi f. Nu bit b bng 1, lnh tip theo c b qua v thay vo l
lnh NOP. Bit trng thi: khng c
2.1.5.2.9 Lnh CALL: C php: CALL k (0k2047)
Tc dng: gi mt chng trnh con. Trc ht a ch quay tr v t chng trnh con (PC+1) c ct
vo trong Stack,gi tr a ch mi c a vo b im gm 11bit ca bin k v 2 bit PCLATH<4:3>.
Bit trng thi: khng c
2.1.5.2.10.Lnh CLRF: C php CLRF f(0 127)
Tc dng:xa thanh ghi f v bit Z c set. Bit trng thi:Z
2.1.5.2.11.Lnh CLRW :C php: CLRW
Tc dng:xa thanh ghi W v bit Z c set. Bit trng thi:Z
2.1.5.2.12.Lnh CLRWDT :C php:CLRWDT
Tc dng:reset Watchdog Timer,ng thi Prescaler cng c reset,cc bit PD v TO c set ln 1. Bit
trng thi: TO,TD
2.1.5.2.13.Lnh COMF :C php:COMF f,d(0 f127) Tc dng:o cc bit trong
thanh ghi f.Kt qu c a vo thanh ghi W nu d=0 hoc thanh ghi f nu d=1. Bit trng thi:Z
2.1.5.2.14.Lnh DECF:C php:DECF f,d(o 127,)
Tc dng:gi tr thanh ghi f c gim i 1 n v.Kt qu c a vo thanh ghi W nu d=o hoc thanh
ghi f nu d=1. Bit trng thi:Z
2.1.5.2.15.Lnh DECFSZ: C php: DECFSZ f,d(0 127)
Tc dng:gi tr thanh ghi f c gim 1 n v.Nu kt qu sau khi gim khc 0,lnh tip theo c thc
thi,nu kt qu bng 0,lnh tip theo khng c thc thi v thay vo l lnh NOP.Kt qu c a
vo thanh ghi W nu d=0 hoc thanh ghi f nu d=1. Bit trng thi: khng c.
2.1.5.2.16.Lnh GOTO: C php:GOTO k(o 2047)
Tc dng:nhy ti mt label c nh ngha bi tham s k v 2 bit PCLATH <4:3>. Bit trng thi:khng
c.
2.1.5.2.17.Lnh INCF:C php:INCF f, d(0 )
Tc dng:tng gi tr thanh ghi f ln 1 n v. Kt qu c a vo thanh ghi W nu d=0 hoc thanh ghi
f nu d=1. Bit trng thi:Z
2.1.5.2.18.Lnh INCFSZ:C php: INCFSZ f, d(0 127)
Tc dng:gi tr thanh ghi f c tng 1 n v.Nu kt qu sau khi tng khc 0,lnh tip theo c thc
thi,nu kt qu bng 0,lnh tip theo khng c thc thi v thay vo l lnh NOP.Kt qu c a
vo thanh ghi W nu d=0 hoc thanh ghi f nu d=1.Bit trng thi: khng c.
2.1.5.2.19.Lnh IORLW: C php:IORLW k (0 255)
Tc dng:thc hin php ton OR gia thanh ghi W v gi tr k.Kt qu c cha trong thanh ghi W. Bit
trng thi :Z
2.1.5.2.20.Lnh IORWF:C php:IORWF f, d(0 127)
Tc dng:thc hin php ton OR gia thanh ghi W v f..Kt qu c cha trong thanh ghi W nu d=0
hoc thanh ghi f nu d=1. Bit trng thi:Z
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

108
2.1.5.2.21.Lnh RLF:C php:RLF f, d(0 127)
Tc dng:dch tri cc bit trong thanh ghi f qua c carry. Kt qu c cha trong thanh ghi W nu d=0
hoc thanh ghi f nu d=1. Bit trng thi:C
2.1.5.2.22.Lnh RETURN:C php:RETURN
Tc dng :quay tr v chng trnh chnh t mt chng trnh con.
Bit trng thi:khng c.
2.1.5.2.23.Lnh RRF:C php:RRF f, d(0 127)
Tc dng:dch phi cc bit trong thanh ghi f qua c carry. Kt qu c cha trong thanh ghi W nu d=0
hoc thanh ghi f nu d=1. Bit trng thi:khng c.
2.1.5.2.24.Lnh SLEEP:C php:SLEEP
Tc dng:a vi iu khin v ch sleep.Khi WDT b xa v 0,bit c xa v 0,bit ,
c set ln 1,v oscillator khng c cho php hot ng. Bit trng thi: ,
2.1.5.2.25.Lnh SUBLW:C php:SUBLW k
Tc dng:ly gi tr k tr gi tr trong thanh ghi W.Kt qu c cha trong thanh ghi W. Bit trng
thi:C,DC,Z
2.1.5.2.26.Lnh SUBWF:C php:SUBWF f, d(0 127)
Tc dng:ly gi tr thanh ghi f tr gi tr trong thanh ghi W.Kt qu c cha trong thanh ghi W nu
d=0 hoc thanh ghi f nu d=1. Bit trng thi:C,DC,Z
2.1.5.2.27.Lnh SWAP:C php:SWAP f, d(0 127)
Tc dng:o 4 bit thp vi 4 bit cao trong thanh f. Kt qu c cha trong thanh ghi W nu d=0 hoc
thanh ghi f nu d=1. Bit trng thi:khng c
2.1.5.2.28.Lnh XORLW:C php:XORLW k (0 255)
Tc dng:thc hin php ton XOR gia thanh ghi W v gi tr k.Kt qu c cha trong thanh ghi W.
Bit trng thi :Z
2.1.5.2.29.Lnh XORWFC php:XORWF f, d(0 127)
Tc dng:thc hin php ton XOR gia thanh ghi W v f..Kt qu c cha trong thanh ghi W nu d=0
hoc thanh ghi f nu d=1.Bit trng thi:Z
Lp trnh C cho pic( Pic C Complier)
CCS l trnh bin dch lp trnh ngn ng C cho Vi iu khin PIC ca hng Microchip.
Chng trnh l s tch hp ca 3 trnh bin dch ring bit cho 3 dng PIC khc nhau l:
PCB cho dng PIC 12bit opcodes
PCM cho dng PIC 14bit opcodes
PCH cho dng PIC 16 v 18bit
Tt c 3 trnh bin dch ny uc tch hp li vo trong mt chng trnh bao gm c
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

109
trnh son tho v bin dch l CCS. Ging nh nhiu trnh
bin dich C khc cho PIC, CCS gip cho ngi s dng nm bt nhanh c vi iu khin PIC v s
dng PIC trong cc d n. Cc chng trnh diu khin s c thc hin nhanh chng v t hiu qu
cao thng qua vic s dng ngn ng lp trnh cp cao Ngn ng C

Giao din PICC
Khai bo u chng trnh
- #include <16f877a.h> dng ny gip cho trnh bin dch bit loai pic ang s dng
- #FUSES NOWDT, HS, NOPUT, NOPROTECT, NODEBUG, NOBROWNOUT, NOLVP, NOCPD,
NOWRT y l dng khai bo phn cng ca pic
- #use delay(clock=4000000) khai bo tn s giao ng ngoi l 4MHz (c th s dng ti a 20MHz )
- #device *=16 ADC=8 khai bo cho trnh bin dch bit chng ta s dng con tr 16bit v b chuyn i
ADC


PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

110
CHNG 4: LAP TRNH CHO MAY TNH IEU KHIEN
4.0. Cac ngon ng lp trnh
Hp ng , Qbasic, Pascal, C, Delphi, C++/VisualC++/BorlandC++Builder, Visual
Basic.
Khi o lng ieu khien dung may tnh ta thng gap van e xuat mot d lieu
8 bit ra mot thanh ghi hay oc d lieu 8 bit t thanh ghi vao mot bien.
Van e nay c giai quyet de dang bi cac ngon ng lap trnh nh hp ng,
hay cac ngon ng lap trnh cap cao chay trong moi trng DOS nh QBasic, Pascal,
va C.
Ngoai ra con co cac ngon ng lap trnh nh Turbo C++/Borland C++/ Visual C++/
Borland C++ Builder, Borland Delphi, va Visual Basic.
Cac ngon ng va he ieu hanh cho lap trnh thi gian thc:
-Yeu cau thi gian thc cho ngon ng va he ieu hanh.
-Cac ngon ng : ADA, BASIC, C, Pascal va Modula-2, Fortran, C++, Visual C++,
Delphi, Visual Basic, Java.
-Cac he ieu hanh : MS-DOS, Windows 95/98/2000, Windows Xp/Vista/7, VAX/VMS,
UNIX/Linux, OS-9.
Gii thieu Visual Basic 6.0: ban oc xem them can ban ve Visual Basic 6.0 trong giao
trnh Lap trnh Visual Basic. Ban oc xem phu luc cuoi sach co trnh bay cac ieu c
ban ve Visual Basic 6.0.
Gii thieu C++ Builder:
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

111
BORLAND C++ BUILDER
1.Can ban C++:
(a)Phep toan so hoc va logic
Phep toan so hoc:
Phep toan y ngha V du:
+ Phep cong a+b
- Tr a-b
* Nhan a*b
/ Chia a/b
% Lay phan d a%b
++ tang 1 a++
-- giam 1 a--
Phep toan quan he va logic
Phep toan y ngha V du:
> ln hn a>b
>= ln hn hay bang a>=b
< nho hn a<b
<= nho hn hay bang a<=b
== co bang nhau khong a==b
!= co khac nhau khong a!b
! phu nh !a
&& va a&&b
|| hoac a||b

Phep gan:
Bien=bieu thc. Th du : a=2
b=a+c
(b)Kieu d lieu. Hang va bien, mang:
-Kieu ky t : char, gia tr 0-255, 1 byte
Th du: char a
-Kieu so nguyen: int, gia tr t -32768 en 32767, 2 byte.
Th du: int a;
a=4
-Kieu so dau phay ong o chnh xac n: float. Pham vi 1.5e-45 en 3.4e+38, 4 byte
Th du: float a;
a=3.2

-Kieu so dau phay ong o chnh xac gap oi: double, pham vi 5.0e-324 en 1.7e+308,
8 byte
Th du: double b;
-Kieu mang: a[5];
Bien: moi bien can khai bao trc khi s dung. Cu phap: type ten bien;
Th du: int a,b,c;
Hang la cac ai lng ma gia tr cua no khong b thay oi trong qua trnh tnh toan.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

112
Th du: a, 34, 0x12.
(c)Lenh ieu kien
Lenh re nhanh :
Dang 1: If (bieu thc) cau lenh 1;
Else cau lenh 2;
Dang 2: If (bieu thc) cau lenh 1;
Th du: if (a>b) max=a; else max=b;
(d)Lenh vong lap:
For:
For (bieu thc1;bieu tc 2; bieu thc 3)
Cau lenh
Th du: for(i=0;i<5;--i)
Cout<<I;
While:
While(bieu thc)
Cau lenh;
Th du:
x[]={4,6,8,3.5};
y[]={2.6,3.2,4,7};
float s=0; int i=0;
while (1) // bieu thc luon ung
s=s+x[i]*y[i];
Do-while:
Do
Cau lenh
While (bieu thc)
Th du:
int a=0;
do
a=a+1;
while (a<10) ;
(e)Ham:
-Ham nhap : cin
Th du: cin>>a;
-Ham xuat: cout
Th du: cout<<Nhap 1 so:
Cin>>i;
oi vi C : Ham xuat ket qua ra man hnh : printf(dong ieu khien, v1,v2,..,vk)
Ham nhap so lieu t ban phm: scanf(t1t2..tk,&v1,&v2,..,&vk);
Dung ac ta %d oi vi bien nguyen va %f oi vi bien thc.
Cu phap:
Type ten-ham([danh sach doi so])
[khai bao kieu cho cac oi]
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

113
{
[khai bao kieu gia tr cho cac bien cuc bo]
cac cau lenh
[return([bieu thc]);]
}
S dung ham: ten-ham(danh sach cac tham so thuc])
Th du: Ham truyen theo tr
Max3s(a,b,c)
Float a,b,c;
{
float x;
x=a>b?a:b;
printf(%10.2f,x);
}
Th du: Ham hoan_vi(x,y) minh hoa truyen theo tham chieu dung bien con tro.
void hoan_vi(px,py)
Float *px,*py;
{
float z;
z=*px;
*px=*py;
*py=z;
}
Trong chng trnh chnh:
#include<iostream.h>
#include<stdio.h>
#include <conio.h>
main()
{
float x,y;
x=3.5;
y=7.2;
hoan_vi(&x,&y);
printf(x=%8.2f y=%8.2f,x,y);
getch();
}
Thc hien chng trnh:
x=7.2 y=3.5


Th du:
Phng phap lap trnh hng oi tng:
+ Khai niem trung tam cua lap trnh hng oi tng la lp (class). Co the xem lp la
s ket hp cac thanh phan d lieu va cac ham. Cung co the xem lp la s m rong cua
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

114
cau truc trong C (struct) bang cach a them ca c phng thc (method) hay con goi la
ham thanh vien (member function). Mot lp c nh ngha nh sau:
class Ten_lp
{
/Khai bao cac thanh phan d lieu
//Khai bao cac phng thc
};
+Cac phng thc co the c xay doing bean trong hoac bean ngoai (pha di) phan
nh ngha lp. Cau truc phng thc tng t nh ham ngoai tr quy tac sau: khi xay
dng moat phng thc bean ngoai nh ngha lp th trong dong au tien can dung ten
lp va 2 dau : at trc ten phng thc e ch ro phng thc thuoc lp nao.
+ S dung cac thanh phan d lieu trong phng thc: v phng thc va cac thanh phan
d lieu thuoc cung moat lp va v phng thc c lap nen coat e x l cac thanh phan
d lieu, nen trong than cua phng thc co quyen truy nhap en cac thanh phan d lieu
(cua cung lp).
+Bien lp: sau khi nh ngha moat lp, co the dung ten lp e khai bao cac bien kieu
lp hay con goi la oi tng. Moi oi tng se co cac thanh phan d lieu va cac phng
thc. Li goi moat phng thc can cha ten oi tng e xac nh phng thc thc
hien t oi tng nao.
+ Mot chng trnh hng oi tng se bao gom cac lp co quan he vi nhau.
+Viec phan tch, thiet ke chng trnh theo phng phap hng oi tng nham thiet
ke , xay dng cac lp.
+T khai niem lp nay sinh hang loat khai niem khac nh : thanh phan d lieu, phng
thc, pham vi, s ong goi, ham tao, ham huy, s tha ke, lp c s, lp dan xuat, tng
ng boi, phng thc ao,..
+u iem cua viec thiet ke hng oi tng la tap trung xac nh cac lp e mo ta cac
thc the cua bai toan.
+C++ la ngon ng lai, no cho phep s dung ca cong cu cua lp va ham. ay la cong cu
lap trnh hng oi tng. Cai at dung Turbo C++ 3.0 hay Borland C++ 3.1/5.0/C++
Builder/Visual C++.
Tap tin lu co uoi la .CPP.

Th du: Tm o dai ln nhat i qua hai iem. Thc the la day iem. Cac thanh phan d
lieu cua day iem gom:
-Bien nguyen la so iem cua day.
-Con tro x kieu thc tro en vung nh cha day hoanh o.
-Con tro y kieu thc tro en vung nh cha day tung o.
Cac phng thc can a vao theo yeu cau bai toan la:
-Nhap toa o moat iem.
-Tnh o dai oan thang i qua hai iem.
Chng trnh nh sau:
#include<iostream.h>
#include<stdio.h>
#include<conio.h>
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

115
#include<math.h>
#include<alloc.h>

Class daydiem
{
public:
int n;
float *x,*y;
float do_dai(int I, int j)
{
return sqrt(pow(x[i]-x[j],2)+pow(y[i]-y[j],2));
}
void nhapsl(void);
};
void daydiem::nhapsl(void)
{
int I;
printf(\nSo diem N=);
scanf(%d,&n);
x=(float*)malloc((n+1)*sizeof(float));
y=(float*)malloc((n+1)*sizeof(float));
for(i=1;i<=n;++i)
{
printf(\nNhap toa do x, y cua diem thu %d:,i);
scanf(%f%f,&x[i],&y[i]);
}
}
void main()
daydiem p;
p.nhapsl();
int n,I,j,imax,jmax;
float d,dmax;
n=p.n;
dmax=p.do_dai(1,2);imax=1;jmax=2;
for(i=1;i<=n;++i)
for(j=i+1;j<=n;++j)
{
d=p.do_dai(i,j);
if (d>dmax)
{
dmax=d;
imax=I;
jmax=j;
}
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

116
}
printf(\nDoan thang lon nhat co do dai bang:%0.2f,dmax);
printf(\nDi qua 2 diem co chi so la %d va %d,imax,jmax);
getch();
}


2. Lu o giai thuat:
Phng phap lap trnh la phan tch bai toan ln thanh cac bai toan c ban ma ta
co the dung cac lenh c ban giai quyet. Mot phng phap la xay dng lu o.
Qui trnh xay dng chng trnh :
a. Nhn va nghe : van e g can giai quyet, cai g a cho, cai g can tm, co the ve mot lu o (flow
chart) e dien ta chng trnh hoat ong nh the nao.
b. Viet chng trnh theo ngon ng assembly s dung cac ieu a cho e giai quyet bai toan theo yeu
cau au ra.
c. Nhap chng trnh vao may tnh
d. Chuyen ma ng cho chng trnh (assembling)
e. Cho chay chng trnh
f. anh gia ket qua
g. Bao tr
S dung cac ky hieu sau:
K hieu bat au/ket thuc

Nhap/xuat

Lenh/thao tac

Lenh re nhanh

Goi chng trnh con

V du : Viet chng trnh nhap 1 so va in ra tr so tuyet oi cua so o.
Ve lu o giai thuat :
Bat au

Nhap 1 so


Tnh tr tuyet oi


In ket qua

Ket thuc



#include<iostream.h>
#include<stdio.h>
#include<math.h>
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

117
#include<conio.h>
void main()
{
float a,b;
printf(\nNhap mot so:);
scanf(%f,&a);
b=(float)fabs((double)a);/*tinh tri tuyet doi cua a*/
printf(Tri tuyet doi cua %8.2f la %8.2f,a,b);
getch();
}
Lu chung trnh vi ten la tinhttd.cpp

3. Gii thieu IDE cua C++ Builder
-Khi ong : Double-click chuot vao bieu tng C++ Builder
Man hnh C++ Builder 1.0 nh sau :

H1.15
Project mi tao ta la project 1, unit1.cpp, va Form1
-Tren cung la menu : File, Edit, Search, View, Project, Run, Component, Database,
Tools, Options, va Help.
Ca so tren cung chia la hai phan chnh : cac thanh cong cu nhanh(speedbar) bean trai
va Component Palette bean phai. Thanh cong cu nhanh cung cap cac tac vu truy xuat
nhanh bang cach click chuot nh m file, lu va bien dch project. Component palette
cha chuoi cac thanh phan ma ta tha vao vao form, nh la text label, edit control, list
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

118
box.. Ca so di speed bar va component palette la Object Inspector bean trai va ca
so Form bean phai, di form la man hnh soan thao lenh. Object Inspector co 1 hay 2
tab tuy thuoc component hien c chon. Tnh chat (property) cua component ch ra
cach component hoat ong.
4. Th d:
Th du 1 : Chng trnh Hello World
-Chon File, New. Ca so sau xuat hien:

H1.16
-Chon Application va Ok. Man hnh C++ Builder xuat hien nh hnh 1.16 vi project 1,
co unit 1.cpp va Form 1.
-Nhap chuot vao Component palette, chon Label (A) va tha vao Form1.
Trong Object inspector ,
Caption property : Label 1 ang sang . Chon muc nay va go Hello world thay cho Label
1.
- Nhan Run hay nhan F9, hay chon menu Run, Run
- Qua trnh bien dch hoan tat va chng trnh thc thi :

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

119
H1.17
- Lu File, Save .Chon unit1.cpp la helloworld.cpp va project1 la helloworld.mak
Ma nguon helloworld.cpp:
#include "helloworld.h"
//---------------------------------------------------------------------------
#pragma resource "*.dfm"
TForm1 *Form1;
//---------------------------------------------------------------------------
__fastcall TForm1::TForm1(TComponent* Owner)
: TForm(Owner)
{
}
//-------------
-Muon tao tap tin helloworld.exe, chon Menu Project, Make(Ctrl +F9)


H1.18
Co the chon Project, Build All
Th du 2: Chng trnh Hello world Win 32 Console Application chay DOS.
-Khi ong C++ Builder
-Chon File, New
Man hnh Object Repository (H1) xuat hien, ta chon Console App , OK
e tao 1 project :
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

120
H1.19
Project1.cpp ban au nh sau :
//---------------------------------------------------------------------------
#include <vcl\condefs.h>
#include <stdio.h>
#include <stdlib.h>
#include <string.h>

#pragma hdrstop
//---------------------------------------------------------------------------
USERES("Project1.res");
//---------------------------------------------------------------------------
int main(int argc, char **argv)
{
return 0;
}
//---------------------------------------------------------------------------

-Lu project1 vi ten mi Hello
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

121

H1.20
-Viet lai chng trnh hello.cpp nh sau :
//---------------------------------------------------------------------------
#include <vcl\condefs.h>
#include <stdio.h>
#include <stdlib.h>
#include <string.h>
#include <iostream.h> //them 2 dong
#include <conio.h> // nay

#pragma hdrstop
//---------------------------------------------------------------------------
USERES("Hello.res");
//---------------------------------------------------------------------------
int main(int argc, char **argv)
{
cout<<"Hello world"<<endl;
cout<<endl<<"Press any key to continue..";
getch();

return 0;
}
//---------------------------------------------------------------------------


-Chay chng trnh: Run, Run (F9):
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

122

H1.21
Nhan phm bat k e tiep tuc.
-Tao tap tin hello.exe: chon menu Project, Make (Ctrl+F9) hay Project, Build All.



4.1. LAP TRNH XUAT NHAP NGOAI VI
4.1.1.Lap trnh xuat nhap
a.Lap trnh hp ng
Xuat data ra cong co a ch address
Mov dx, address
Mov ax,data
out dx, ax
Nhap so lieu t cong co a ch address
Mov dx, address
in ax, dx
mov data, ax

b. Dung ngon ng QBasic :
Lenh xuat d lieu ra cong : OUT a ch cong, d lieu
V du : OUT &H303, &h80 ; xuat ra t ieu khien co a ch 303h mot so 80h, e port
A, B, C xuat, hoat ong mode 0
OUT &h304, &hF; xuat Fh ra cong A co a ch 304h
Lenh oc d lieu t cong : INP(a ch cong)
V du : INP (&h304); oc d lieu t cong A
Cach s dung t ieu khien va lap trnh cho 8255
PPI 8255A (U5) co t ieu khien co a ch 303h/307h
Port A co a ch 300h/304h
Port B co a ch 301h/305h
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

123
Port C co a ch 302h/306h
PPI 8255A (U9) co t ieu khien co a ch 30Bh/30Fh
Port A co a ch 308h/30Ch
Port B co a ch 309h/30Dh
Port C co a ch 30Ah/30Eh


Th du 1: viet chng trnh en chay (dung port a cua 8255(1)-U5 ieu khien led)
0 0 0 0 0 0 0 0
0 0 0 0 0 0 0 1
0 0 0 0 0 0 1 0
0 0 0 0 0 1 0 0
0 0 0 0 1 0 0 0
0 0 0 1 0 0 0 0
0 0 1 0 0 0 0 0
0 1 0 0 0 0 0 0
1 0 0 0 0 0 0 0
0 0 0 0 0 0 0 0
Bai giai :
CLS
OUT &H303,&H80
DO
OUT &H304,&H0
SLEEP 1
OUT &H304,&H1
SLEEP 1
OUT &H304,&H2
SLEEP 1
OUT &H304,&H4
SLEEP 1
OUT &H304,&H8
SLEEP 1
OUT &H304,&H10
SLEEP 1
OUT &H304,&H20
SLEEP 1
OUT &H304,&H40
SLEEP 1
OUT &H304,&H80
SLEEP 1
LOOP WHILE INKEY$=
END

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

124
Th du 2 :Chng trnh hien th ch C : (dung moun MPE303)
Port b (a ch 309h) ieu khien hang, Port C (a ch 30Ah) ieu khien cot cua ma tran
led. (dung 8255(2)-U9)
Bai giai :
CLS
OUT &H30b,&H80
DO
OUT &H309,&H1
OUT &H30A,&HFF
SLEEP 1
OUT &H309,&HFF
OUT &H30A,&H1
SLEEP 1
OUT &H309,&H40
OUT &H30A,&HFF
SLEEP 1
LOOP WHILE INKEY$=
END

c. Pascal
Dung lenh port
Xuat d lieu ra a ch reg:
Port[reg]:=data;
Nhap so lieu port a ch reg vao bien valve:
Valve:=port[reg];
d.Lap trnh C/ C++/Microsoft Visual C++/ Borland C++ Builder
Turbo C 2.0
Xuat :
outportb (address,data );
outportb (0x21, 0x80h);/* xuat d lieu 80h ra a ch 21h*/
Nhap :
inportb(0x21) /* oc d lieu t cong co a ch 21h */
Turbo C 2.0 co cac ham :
Inportb : oc byte outportb: xuat byte
Inportw: oc t outportw: xuat t
Turbo C++ 3.0/Borland C++ 3.1/5.0/C ++ Builder : Dung lenh INP va OUTP
Nhap: Control=inp(0x61)
Xuat : Outp(0x43,0xb6)
Visual C++ 6.0 co cac ham va thu tuc :
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

125
Inp : oc byte
Outp : xuat byte
Inpw : oc mot t
Outpw : xuat mot t
Inpd : oc t kep
Outpd: xuat t kep
e.Delphi
Dung hp ng :
Function inport(address : word): byte;
Var data: word;
Begin
Asm
Mov dx,address
In ax,dx
Mov data,ax
End;
Inport:=data;
end;
Procedure outport(address : word;data:word);
Begin
Asm
Mov dx,address
Mov ax, data
Out dx,ax
End;
End;
f. Visual Basic
Khong ho tr xuat nhap port, ta phai dung th vien lien ket ong ( dynamic link
library DLL).
4.1.2. Viet file lien ket ong DLL
File th vien lien ket ong co uoi .dll
Tai sao can en DLL
1. Chia xe ma lenh, tai nguyen va d lieu gia nhieu tuyen trnh.
2. Che dau viec cai at.
3. Tao cac thanh phan ieu khien.
4.1.2.1. Dung BORLAND DELPHI

I. Gii thieu Delphi 3.0/5.0:
1.1.La m quen Delphi 3.0
Khi ong Delphi:
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

126

Th du 1: Chng trnh Hello, world:
. Chon File, New, Application t trnh n Delphi tao cho ban mot ca so Form at
trong unit1.pas va tap tin d an project1.dpr.
. Chon File, Save All. at ten unit la MainFrm.pas thay cho unit1.pas va at ten d an la
Hellowin.dpr thay cho project1.dpr.
.Trong bang cong cu Standard chon oi tng Label (A) va at vao ca so Form.
.Trong ca so Object Inspector, nhap thuoc tnh cho oi tng Label nh sau:
Caption : Hello World
Font : Times New Roman, size 12, Bold
Nhan Ctrl+ F9 e bien dch va Nhan F9 e thc thi.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

127

Chay chng trnh : Run, Run hay nhan F9

Th du 2: Chng trnh Hello, world theo phong cach Pascal:
.Chon File, New t trnh n .Trong New Items chon Application. Bam OK.
. Chon File, Close va chon No khi Delphi hoi ban co mun lu Unit1.pas khong. Ta
cha dung Form trong phan nay.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

128
. Chon File, Save All. Delphi yeu cau ban at ten cho chng trnh . Hay go vao ten
Helloworld.dpr thay cho ten project1.dpr.
. Chon View, Project Source.. Ma nguon chng trnh nh sau:

program Project1;

uses
Forms;

{$R *.RES}

begin
Application.Initialize;
Application.Run;
end.
Hay loai bo tat ca cac lenh trong bo khung do Delphi nh san, viet lai chng trnh
theo th du sau:
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

129


Ma nguon nh sau:
program Helloworld;

uses
Forms;
{$APPTYPE CONSOLE}
{$R *.RES}

begin
writeln('Hello world');
readln;
end.
Nhan Ctrl+F9 e bien dch va nhan F9 e chay chng trnh(khac vo Pascal, F9 e
bien dch con Ctrl+F9 e chay chng trnh).
1.2. Ngon ng Object Pascal
1) Mot chng trnh gom ba phan:
. Phan tieu e danh cho chng trnh.
. Menh e uses (tuy chon).
. Cac khai bao khoi cung nh cau lenh ieu khien.
Th du: Cau truc chng trnh chnh
1 program Editor;
2
3 uses
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

130
4 Forms
5 Reabout in REABOUT.PAS { About Box};
6 ReMain in ReMain.PAS { Main Form};
7
8 {$R*.RES}
9
10 Begin
11 Application. Initialize;
12 Application. CreateForm(TmainForm, MainForm);
13 Application.Run;
14 End.
Chng trnh bat au bang t khoa program theo sau la ten chng trnh va dau
cham phay(;). Phan than chng trnh bat au bang t khoa begin sau o la noi
dung , ket thuc bang end va dau cham (.).
Chu y cac ky hieu 1,2,3,.. nham giai thch chng trnh.
2) Cau truc unit va cu phap:
Unit la mot n the oc lap cua chng trnh. Unit bao gom cac khai bao kieu (bao
gom ca khai bao lp), hang bien, va cac thu tuc va ham. Ma nguon cua moi unit
c at trong tap tin .PAS.
Moi unit bat au bang tieu e, tiep en la phan oan interface, implementation,
initialization va finalization (phan oan initialization va finalization la tuy chon). Bo
khung unit co the trnh bay nh sau.:
Th du:
Unit unit1; {ten unit}
Interface
Uses {liet ke cac unit khac can s dung}
{ phan oan khai bao cac ham giao tiep}
implementation
uses {liet ke cac unit can cho qua trnh cai at}
{ phan oan cai at cho noi dung unit}
initialization
{phan oan cho khi tao unit}
finalization
{phan oan danh cho qua trnh ket thuc}
end.
*Tham chieu nhieu unit va tham chieu unit gian tiep
Th du:
Uses A, B;
Begin
{goi ham Getname cua B}
B.Getname;
{goi ham Getname cua unit A}
A.Getname;
3)Kieu lp
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

131
Can khai bao trc khi tao oi tng.
Cu phap :
Type classname=class (ancestor class)
Memberlist
End;
Th du: type TmyObject=class
.
End;
Va type TmyObject=class (Tobject)
.
End;
Lp (class) c dung e nh ngha mot cau truc d lieu bao gom cac thanh phan:
trng, phng thc va thuoc tnh. Mot bien khai bao theo kieu lp cu the goi la oi
tng.
Th du: khai bao lp TlistColumns trong unit Controls.
Phng thc khi dng: Create()
Phng thc huy: Free()
Ban oc tm hieu ve Turbo Pascal nen oc them tai lieu cua ca c ta c gia Nguyen trung
trc, oan Nguyen Hai va Nguyen Dung, Lap trnh can ban, HBK Tp. HCM, 1997.
Ban oc nghien cu ve Borland Delphi nen oc them tai lieu cua Le Phng Lan, Ly
thuyet va Bai tap Borland Delphi, NXB GD, 2000.


II. Tao th vien lien ket ong PortLib .DLL:
1.Khi ong Delphi.
2.Chon File, New. Trong New Items chon DLL

Bam OK
3.Man hnh DLL xuat hien nh sau:
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

132

Ma nh sau :
library Project1;
uses
SysUtils,
Classes;

begin
end.
4.Ten project ban au la Project1. Chon File, Save All chon ten project la PortLib.dll
Ta chen cac lenh sau vao trc begin : tao PortLib.dll
library PortLib;


uses
Windows,Messages;

Procedure Outport(Adr:word;Data:word);export;Stdcall;
begin
asm
mov dx,adr
mov ax,data
out dx,ax
end;
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

133
end;
Function Inport(Adr:word):byte;export;Stdcall;
var data:word;
begin
asm
mov dx,adr
in ax,dx
mov data,ax
end;
inport:=data;

end;
exports {khai bao cac chuong trinh}
Outport index 1,
inport index 2;
begin

end.
5.Chon Menu Project, Build All e Delphi tao ra tap tin lien ket ong PortLib.dll
Th du : Trong Visual Basic ta se viet hai dong khai bao, v du nh :
Public Declare Sub outport Lib PortLib(By val Adr As long, By val Data As long)
Public Declare Function Inport Lib PortLib(By val Adr As long)As byte.

4.1.2.2. Dung VISUAL C
Tao file lien ket ong .dll dung Visual C++ 6.0
viet th vien at ten la INOUT.dll gom thng trnh xuat ra port at ten
OUTPORT va thng trnh nhap d lieu INPORT.
au tien dung phan mem soan van ban viet hai file .DEF(define) va
.CPP(chng trnh C++).
INOUT.DEF listing:

Library inout
Description dll for I/O card
Exports
Outport @1
Inport @2
.
Hang au la ten file INOUT, hang th hai la chu thch, cac hang sau liet ke ten
cac chng trnh trong th vien. Sau ten thng trnh la dau @ va so th t.
INOUT.CPP listing:
// file nguon.CPP cho INOUT.dll
#include<stdio.h>
#include<conio.h>
//cha ham INP va OUTP
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

134
Short-stdcall OUTPORT(int PortAddress, int PortData)
{ Short Dummy;
Dummy=(Short)(-outp(PortAddress, PortData));
Return(Dummy);
};
Short-stdcall INPORT(int PortAddress)
{ Short PortData;
PortData=(Short)(-inp(PortAddress);
Return(PortData);
};
Sau khi a soan xong hai file .def va .cpp ta cat vao mot th muc . Th du
C:\port. Vao Visual C chon File-New-Projects-Win32DLL anh ten INOUT va a ch
C:\port\INOUT roi bam OK. Man hnh INOUT- Microsoft Developper Studio se xuat
hien va project INOUT classes c tao ra, ta se cong them hai file INOUT.def va
INOUT.cpp vao project INOUT roi bam FILE-SAVE ALL.
Sau khi tao ra project INOUT , bam Build va chon Build INOUT.dll, neu khong
co g sai sot file INOUT.dll se c tao ra va cat trong C:\port\INOUT\debug. Sau o
cat file vao th muc he thong cua Windows, v du C:\Windows.
Cac chng trnh viet tren ngon ng khac nh Delphi, Visual Basic co the goi
ham INPORT va OUTPORT sau khi a khai bao cac ham nay va INOUT.dll.
Th du : Trong Visual Basic ta se viet hai dong khai bao, v du nh :
Public Declare Sub outport Lib INOUT(By val Adr As long, By val Data As long)
Public Declare Function Inport Lib INOUT(By val Adr As long)As byte.
Private cho biet cac ham va thng trnh sau Declare la cuc bo. Sau Declare ta
viet Function neu tra ve tr, con khong dung SUB, sau o la ten. T Lib va kem theo
cho biet ni tm tap tin dll, thng phai kem ng dan.
Sauk hi a khai bao ta co the s dung cac ham va chng trnh con:
Th du :
Muon xuat gia tr val ra a ch 300h, ta viet : Dummy=OUTPORT(768,val)
Dummy la bien nguyen a khai bao (Dim Dummy as Integer;)
Muon nhap mot tr a ch ControlPort vao bien Value ta viet:
Value=import(ControlPort);
4.1.3. V du lap trnh card chuyen oi AD ICL 7109
Vi mach ADC ICL 7109 chuyen oi tn hieu analog ra dang so nh phan 12 bit
va cho phep ghep noi vi may tnh qua vi mach giao tiep song song 8255 dung hai port
A va port B, port C ieu khien cac chan LBEN, HBEN, R/H.
Vi mach ICL 7109 hoat ong mode direct, khi R/H=1 chuyen oi lien tuc, khi
R/H=0 se ngng chuyen oi.
Khi LBEN mc 0 th xuat byte thap ra portA, khi HBEN mc 0 th xuat byte
cao gom 4 bit d lieu cao, DPL (cc tnh) OR (qua tam) va status(trang thai).

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

135

Hnh 4.1
Qua trnh oc ket qua nh sau:
Cho R/H lean mc cao e bat au chuyen oi, sau o ch status xuong mc 0 oi xong,
roi cho /LBEN va /HBEN mc 0 e oc d lieu vao.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

136
Qui nh a ch cua cac thanh ghi vi mach 8255 n h sau:
portA=3-4h, portB=305h, portC=306h,ctrlReg=307h.
Viet chng trnh ieu khien chuyen oi A/D dung ngon ng C++.
#include<iostream.h>
#include<conio.h>
wait_aseccond()
{
for(int i=0;i<=30000;i++) { };
}
main()
int portA=0x300h, portB=0x305,portC=0x306,ctrlReg=0x307;
float adc;
int i;
outportb(ctrlReg,0x92);/*8255 co portA, portB: nhap; portC: xuat*/
outportb(portC,0x04); /*R/H=1: chuyen oi*/
wait_aseccond(); /* ch 1giay, status=0 (PB6)*/
outportb(portC,0x00);/* R/H=0: ngng chuyen oi*/
adc=inportb(portB); /*oc vao 4 bit cao*/
adc=adc*256; /*dch trai 8 bit*/
adc=adc | inportb(portA); /*oc portB: 4 bit cao, dch trai 8 bit, OR vi 8 bit thap
portA*/
return 0;
}
4.2.S DUNG NGAT TRONG IEU KHIEN
Khi oc d lieu t cong ngoai vi thng dung hai phng phap : tham do
(polling) va ngat(interrupt).
Cac ngat trong may vi tnh IBM PC/XT dung vi x l 8086/8088, PC-AT dung
80286:

Lap trnh ngat
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

137
C ch iu khin tn s ly mu
Ta c th dung phan mem e ieu khien tan so lay mau s dung ngat mem
a. ong ho he thong va c che ieu khien tan so lay mau :
He thong cac may IBM - PC , co ba bo em thi gian lap trnh ( Timer /
Counter ) c ieu khien bi vi mach 8254 - 2 va chung c goi la cac kenh 0, 1, 2.
Cac ngo ra va nhiem vu cua cac kenh nh sau :

Kenh Nhiem vu Ngo ieu
khien(Gate)
ClkIn Clkout
0 ong ho he thong Noi len mc 1 1.190 Mhz 8259A - 2,
IRQ0
1 Lam ti bo nh Noi len mc 1 1.190 Mhz Chu ky lam
ti bo nh
2 ieu khien tan so
loa
ieu khien bi
bit. 0 cua cong
61h . PPI bit

1.190 Mhz c a ra
loa

Bang 2 Cac bo em cua vi mach 8254-2 va nhiem vu cua chung
C che hoat ong cua 8254 - 2 :
Moi kenh ong ho trong bo 8254 - 2 co mot thanh ghi 2 bytes ( R : so chia )
va mot bo em 2 byte ( C : counter ). Ban au Counter c at bang R, moi khi co
mot xung Clk In a ti , Counter se giam i mot n v, cho ti khi Counter bang
zero th ngo ra Clk out se nang t mc thap len mc cao, ong thi Counter c gian
lai bang R . Ti chu ky Clk In tiep theo Clk out tr ve mc thap va qua trnh tren lai
dien ra. Nh vay tan so Clk out co the c tnh bang cong thc :



PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

138
1190000
Clk out = ------------ ( Hz )
R

1190000
Hoac R = ------------
Clk out
Vi mach 8254 - 2 s dung cac cong vao ra t 40h - 43h. Trong o cong 43 la
cong ieu khien ( control port ). Cac cong 40h, 41h, 42h dung e lap trnh cac thanh ghi
trong bo
8254 - 2.
Xet rieng kenh 0, ban au chng trnh Boot may trong ROM BIOS a cai
at R
0
=
OFFFF h, v vay tan so Clk
0
out se bang 1190000/ 65535 =18,2Hz. Mat khac ngo ra
Clk
0
out c noi vi ng IRQ0 cua 8259A ( tng ng vi ngat 8 - ngat ong ho )
, v vay ngat 8 se c he thong goi en khoang 18 lan trong mot giay. Va do o ta
hoan toan co the s dung ngat 8 ( hoac ngat phu cua no la ngat 1Ch e xuat lenh Start
ra cong 301h cua card AD. e thay oi tan so lay mau theo y muon, ta se thay oi gia tr
thanh ghi R
0
sao cho Clk
0
out bang tan so mong muon. ieu nay co the thc hien
bang cach xuat mot so chia R =

1190000
R= ----------------
F
s

ra cong 40h.
Ham sau viet bang ngon ng Pascal , cho phep lap trnh thay oi tan so ngat ong
ho.
Procedure SetTimer ( Freq :Word );
const Clk = 1190000 ;
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

139
var RegOut :Word;
begin
RegOut = Clk div freq ;
Port[ $43]:= 182 ; / * Khi ong che o oc d lieu kenh 0 */
Port[$40 ]:=Lo ( RegOut ) ; / * Xuat so chia 8254 - 2 kenh 0 */
Port[$40]:=Hi ( RegOut ) ;
end;
Trong o : Clk : tan so xung Clk cua he thong .
freq : tan so can tao ra.
RegOut ( so chia ) : gia tr can xuat ra 8254 - 2.
Cong so 40h ( kieu byte ) c xuat d lieu lam 2 lan : lan au la byte thap cua so
chia
( word ) , lan sau la byte cao.
b.Xuat xung lay mau ( Start ) cua bo ADC :
Trong he thong IBM - PC, ngat so 8 c s dung e thc hien nhieu cong
viec quan trong. Khi khong can thiet ta khong nen s dung. Thay vao o ta se s dung
ngat 1Ch ( con c goi la User Timer Interrupt ) la mot ngat se c goi trong chng
trnh phuc vu ngat 8, v vay ngat 1Ch co tan so hoat ong bang vi tan so ngat 8.
Ta se cai oan chng trnh phuc vu ngat 1Ch lam nhiem vu xuat lenh Start ra
cong 301h ( xuat mot gia tr bat ky ra 301h ) . oan chng trnh sau thc hien ieu o :
Var Saveaddv : Pointer ;
procedure NewInt1C ; interrupt ; { phuc vu ngat 1Ch }
begin
Port [ $ 301h ] : = 0 ;
end ;
begin
GetIntVec ( $ 1C , Saveaddr ) ; { lu a ch ngat 1Ch }
SetIntVec ( $ 1C , @ NewInt1C ) ; { thay a ch 1Ch thanh a ch mi }
- - - -
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

140
{ do anything }
- - - -
SetIntVec ( $ 1C , Saveaddr ) ; { tra lai 1Ch khi thoat chng trnh }
end.
c.oc gia tr c lay mau :
Mot thi gian ngan sau khi xuat lenh Start ra 301h, bo AD se hoan tat qua
trnh lay mau va a mot ng IRQ nao o ( do ngi dung cai at khi gan card vao
may ) len mc cao, bao hieu qua trnh lay mau hoan thanh va yeu cau oc d lieu vao
.
Gia s chung ta s dung IRQ5, tng ng vi IRQ5 la ngat 0Dh, ta se phai
cai at oan chng trnh phuc vu ngat cng ODh thc hien oc d lieu vao t cong
302h . Mot cong viec cai at ngat cng c thc hien nh sau :
- Cho phep ngat cng ( Enable Interrupt ) : muon cho phep mot IRQ so n co the
kch hoat ngat tng ng cua no ta phai xuat mot byte d lieu X ra cong vao ra 21h
vi Bit th n cua X c at bang 0, cam ngat bang cach at Bit n bang 1 :
V du cho IRQ = 5 :
X : = Port [ $ 21 ] ; { lay gia tr cong 21h }
X : = X and Dfh ; { Dfh = 1101 1111b ; at Bit 5 bang 0, cac Bit con lai
c gi nguyen }
Port [ $ 21 ] : = X ; { xuat ra 21h }
- Cai at a ch ngat : thc hien giong nh oan chng trnh trong phan b.

Var Saveaddr : Pointer ;
procedure Int0D ; Interrupt ; { phuc vu ngat cng 0Dh }
Var X : byte ;
begin
X : = Port [ $ 302 ] { oc gia tr mau }
- - - -
{ do anything / nen thc hien cong viec that ngan gon }
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

141
- - - -
end ;
begin
GetIntVec ( $ 0D, Save Addv ) ; { lu a ch ngat 0Dh }
SetIntVec ( $ 0D , @ Int0D ) ; { thay a ch 0Dh thanh a ch mi }
{ cho phep ngat cng }
- - -
{ do anything }
- - -
{ cam ngat cng }
SetIntVec ( $0D , Saveaddr ) ; { tra lai 0Dh khi thoat chng trnh }
end .
Bai tap : Viet cac chng trnh tren bang ngon ng C
1. Chng trnh thay oi tan so ngat :
2.Chng trnh xuat xung Start cho ADC :
3. Chng trnh oc gia tr mau : ( Chon ngat cng IRQ7, ngat 0Fh ).
Delphi khong ho tr lap trnh cho ngat.
Lap trnh ngat bang hp ng :
Dung ngat 21H cua DOS e thay oi vect ngat.
Ngat 21H, ham 25H a vect ngat vao bang vect ngat.
Vao AH=25H
Ra AL=so ngat.
DS : DX= a ch ISR
Ngat 21H, ham 35H lay vect ngat t bang vect ngat.
Vao AH=35H
AL=so ngat.
Ra ES : BX= so vect ngat.
Trong ma sau DI cha a ch bo em vect cu.
SI ch bo em vect mi.
;.
MOV AH,35H; dung INT 21H, ham 35H cat vect cu.
INT 21H
MOV [DI], BX; cat a ch offset
MOV [DI+2], ES; cat a ch oan
MOV DX,[SI]; lap vect mi DX cha offset
PUSH DS; cat DS
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

142
MOV DS,[SI+2]; DS cha a ch oan
MOV AH,25H
INT 21H
POP DS
;..phuc hoi DS
Khai niem DMA : Direct memory access: truy xuat trc tiep bo nh, thc hien trao oi
khoi d lieu gia bo nh va ngoai vi khong qua CPU.
Khai niem May tnh cong nghiep: May tnh nhung (EPC) co the ch gom mot bo mach
cha CPU va cac thiet b can thiet cho viec lu tr, x l, trao oi tin, khong can man
hnh hoac gom nhieu bo mach ghep chong len e tang chc nang cua may tnh va co
man hnh tinh the long i kem.
Yeu cau cua EPC la o tin cay, th hai la kch thc nho gon. Hien nay thong
dung la cac bo ma ch theo chuan PC/104, co kch thc c a mem 3.5 inch 3.6x3.8
inch(90x96 cm), cac bo ma ch ket noi vi nhau theo tuyen ISA gom 104 chan (t o
co ten PC/104) va tuyen PCI 120 chan(PC/104 Plus).
May tnh cong nghiep cua hang Wonderware: may tnh nhung, may tnh cong nghiep,
may tnh Touch panel, may tnh xach tay dung trong cong nghiep.

4.3. Vi ieu khien 8051 va lap trnh C
Ve phan cng vi ieu khien 8051, cac phng phap a ch hoa, tap lenh c ban, lap
trnh hp ng cho 8051 ban oc xem them trong giao trnh Vi x l.

Phn mm Keil Vision2

1. Gi i thi u phn mm m phng Keil Vision2
Trong b i n y t c gi tr nh b y c ch s d ng Vision2 bin dch chng trnh hp ng
8051 . Vision2 cn dng lp trnh C cho h vi iu khin 8051 nh l 8051, 89C51, 89C2051. H vi iu
khin Philips c chn l P89C664, mt vi iu khin 16 bit thuc h 8051.

-u tin chng ta khi ng Vision2

-Chn menu Project, New project v t tn d n l test1.uv2
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

143

-Chn nh sn xut v chip : Philips P89C664 l vi iu khin 16 bit


-Nhp (Click) chut phi vo target1
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

144

-Chn Options for Target Target 1

T n s dao ng m c nh l 33 Mhz, ta s a l i l 11.0592 Mhz (th c t ).
- Nhp chu t tr i v o Output, c a s thay i v ta nhp chut ch n Create HEX File
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

145

-Ch n File, New t o ra m n h nh so n th o:
M ngun chng trnh :
ORG 0 ;dia chi reset
SJMP START ;nhay toi start
ORG 40H ;dia chi bat dau chuong trinh
START: SETb P1.7 ; bat bit P1.7 len logic 1
CLR P1.7 ;xoa bit P1.7 ve logic 0
SJMP START ; lap lai
END ; ket thuc hop ngu

-M menu File, ch n Save As v t t n t p tin gi ng t n d n, nh ng c u i l .a51 : test1. a51

-Nh p chu t tr i v o d u + b n tr i target
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

146

Nh p chu t ph i v o Source Group 1 t o ra c a s m i, ch n Add Files to Group Source Group 1


-C a s kh c xu t hi n v i m c nh ch ng tr nh C. Ch n Files Asm v nh p chu t tr i v o t n
test1.a51. Nh p chu t v o Add. T p tin test1.a51 c a vo Group Source Group 1


V ch n Close, t o ra d u + b n tr i Source Group. Nh p chu t tr i v o d u + , t p tin ch ng tr nh
xu t hi n nh sau :

-Nh p chu t ph i v o bi u t ng test1. a51, ch n Translate test1. a51 ho c ch n menu Project,
Translate n bi n d ch ch ng tr nh h p ng .

C a s Build xu t hi n. Chng trnh ki m tra l i ng ph p , thong bo kh ng c l i
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

147

N u ta ghi SET thay v SETB th chng trnh b o l i ng php:

t o ra file Hex, ta ch n Project, Build target. Tp tin test1.hex c to ra.


2.Lap trnh C cho 8051 dung ph n m m Keil Visi on 2:
y l ch ng tr nh bi n d ch m C , h p ng cho 8051. Ch ng tr nh h p ng 8051 c
t o ra v d ch ra t p tin *.HEX. Ngo i ra ta c th vi t ch ng tr nh b ng ng n ng C chu n
(ANSI C) v d ng Keil Visi on 2 d ch ra t p tin th c thi *.EXE v *.HEX.
B n d ng th c t i v t website : http://www.keil.com/.
Lap trnh vi ieu khien bang ngon ng C
4.3.2.1.Loai d lieu:
Bo bien dch C51 cung cap loai d lieu C chuan va them nhieu loai d lieu m rong
e ho tr ho vi ieu khien 8051.
a. bit
loai d lieu nay dung e khai bao bien 1 bit
Th du: bit co;
co=1;
b. signed char/ unsigned char
loai d lieu nay dung khai bao d lieu kieu k t co dau va khong co dau. Signed
char co gia tr t -128 en +127; unsigned char co gia tr t 0 en 255.
Th du: unsigned char var1, var2;
var1=0xA4;
var2=var1;
c. signed short/unsigned short
loai d lieu nay dung khai bao bien ngan (short) dai 2 byte co dau va khong co dau.
Signed short co gia tr t -32768 en +32767 va unsigned short co gia tr t 0 en
65535.
Th du: unsigned short temp;
unsigned short wind;
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

148
temp=0x0C200;
wind=temp;
d. signed int/unsigned int
loai d lieu nay dung e khai bao bien so nguyen dai 2 byte co dau va khong co
dau. Signed int co gia tr t -32768 en + 32767, va unsigned int co gia tr t 0 en
65535.
Th du: unsigned int n1, n2;
n1=10;
n2=n1;
e.signed long/unsigned long
Loai d lieu nay dung e khai bao so nguyen dai 4 byte.
Th du: unsigned long temp;
temp=250000;
f. float
loai d lieu nay dung khai bao bien thc dau cham ong.
Th du: float t1, t2;
t1=25.4;
t2=sqrt(t1);
g. sbit
Loai d lieu nay khai bao bien bit cu the trong thanh ghi chc nang ac biet (SFR).
Th du: dung loai d lieu nay e truy xuat tng bit cua moat port I/O.
Th du: sbit congtac=P1^3;
congtac=0;
h. sfr
Loai d lieu nay giong nh sbit nhng dung e khai bao bien 8 bit.
Th du: sfr P1=0x90 /*port 1 co a ch 0x90 c gan vao P1*/
sfr P2=0xA0;
unsigned char my_char;
my_char=P1;
P2=my_char++;
i.sfr16
loai d lieu nay giong nh sfr nhng dung khai bao bien 16 bit.
Th du: Timer 2 cua 8052 dung a ch 0cCC va 0xCD cho byte thap va byte cao,
byte thap nen trc byte cao.
sfr16 T2=0xCC;
T2=0xAE01;
4.3.2.2. Mo hnh bo nh
Kien truc 8051 ho tr ca vung nh chng trnh (hay ma) va vung nh d lieu.
Vung nh chng trnh la ch oc va khong the ghi vao. 8051 co 4KB bo nh ROM,
89C2051 co 2KB bo nh ROM. Bo nh nay co the m rong ti 64 KB. Bo nh d
lieu trong 8051 la 128 byte RAM. 8052 co 256 byte RAM.
Co nhieu loai mo hnh bo nh la SMALL, COMPACT va LARGE. Mo hnh bo nh
SMALL c dung neu nh tat ca cac bien nam ben trong bo nh noi. Mo hnh bo
nh nay tao ra ma hieu qua nhat va nhanh nhat. Trong mo hnh bo nh COMPACT,
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

149
tat ca ca c bien name trong moat trang cua bo nh d lieu ngoai. Toi a 256 byte cua
bien co the c dung. Mo hnh bo nh COMPACT khong hieu qua bang loai
SMALL. Trong mo hnh bo nh LARGE tat ca cac bien name bo nh ngoai. Toi a
64 KB d lieu co the c dung.
4.3.2.3. Ngat
Bo bien dch C51 cho phep chung ta khai bao cac chng trnh con phuc vu ngat
(ISR) trong ma C va sau o chng trnh t ong nhay ti ma nay khi co ngat xay
ra.
Mot ISR c khai bao giong nh moat chng trnh con nhng so ngat c ch ra
nh moat phan cua khai bao ham. Th du khai bao ISR cho ngat timer 1
void timer1() interrupt 3
{
oan ma phuc vu ngat nay
}
Tng t ISR cho ngat timer 0 c khai bao nh sau:
void timer0() interrupt 1
{
oan ma phuc vu ngat nay
}
Chu y la chung ta co the ch ra bank thanh ghi c dung cho ISR vi thuoc tnh
using.
void timer0() interrupt 3 using 2
{
oan ma phuc vu ngat nay
}
4.3.2.4. Cau truc cua chng trnh C da tren vi ieu khien
ay la chng trnh mau
/*******************************************
Ten d an:
Tap tin:
Ngay:
Soan thao:
********************************************/
#include<AT892051.h>
#define /*a nh ngha lenh*/
sbit /* a nh ngha bit */
int /* khai bao bien toan cuc*/
char
void func1() /* khai bao ham*/
{
}
main() /*chng trnh chnh */
{

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

150
..
}
4.3.2.5. Ngon ng mo ta chng trnh
Co nhieu phng phap ma lap trnh vien co the mo ta giai thuat e thc hien chng
trnh. Lu o la moat phng phap hay dung.
a. START-END
Chng trnh phai bat au bang START va ket thuc bang END.
Th du: start
.
End
b.Tuan t (Sequencing)
Neu s tuan t thc hien trong chng trnh
Th du: Bat van
Xoa bo em
Bat led
c.IF-THEN-ELSE-ENDIF
Neu lenh ieu kien re nhanh.
Th du: IF switch=1 THEN
Bat loa
ELSE
tat loa
bat led
ENDIF
d.DO-ENDDO
Dung DO va ENDDO e minh hoa lap lai trong ma lenh.
Th du:
Bat led
DO 5 lan
Bat clock lean 1
Bat clock ve 0
ENDDO
e. REPEAT-UNTIL
Chng trnh thc hien lap cho ti khi ieu khien ung.
Th du: Chng trnh i cho ti khi switch=1.
REPEAT
Bat loa
oc gia tr switch
UNTIL switch=1
4.3.2.6. Mot so website
C compiler
C51
http://www.keil.com/
Pascal compiler
Embedded Pascal-8051/8051
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

151
http://www.grifo.it/SOFT/Lawicel/uk_EP_51.htm
Basic Compiler
BASCOM
http://www.x54all.nl/~mcselec/bascom.html
Useful site on 8051 software and hardware:
http://www.cis.ohio-state.edu/hypertext/faq/usenet/microcontroller-faq/8051/faq.html
4.3.2.7. oc them
The C Programming Lanuage (2
nd
edition)
Kernighan and Richie
Prentice hall, Inc
ISBN 0-13-110370-9
C51 Compiler, Optimizing 8051 C Compiler and Library Reference
Users Guide
Keil Elektronik GmbH.
Pham Van At, Lap trnh C, NXB Giao thong van tai.


M t s ng d ng :
L p tr nh C v i vi i u khi n 89C2051 c c c ng d ng : i u khi n led n, i u khi n led
7 o n, i u khi n led ma tr n , i u khi n nhi t , i u khi n truyn ni tip ,vv..
Th d : i u khi n led n ( 1: led s ng, 0: led t t)
/* Chuong trinh den chay */
#include< AT892051.h>
/* tri hoan*/
void wait_a_seccond()
{
unsigned int x;
for (x=0;x<33000;x++);
}
/* start program*/
main()
{ unsigned char LED=128;
for( ; ; )
{ P1=~LED; /* dao va ngo ra*/
LED = LED>>1; /*dich phai*/
If (LED==0) LED=128; /*set 128*/
Wait_a_seccond();
}
}
Minh h a :
1 0 0 0 0 0 0 0
0 1 0 0 0 0 0 0
0 0 1 0 0 0 0 0
0 0 0 1 0 0 0 0
0 0 0 0 1 0 0 0
0 0 0 0 0 1 0 0
0 0 0 0 0 0 1 0
0 0 0 0 0 0 0 1
0 0 0 0 0 0 0 0


3. Kt lun
Phn mm Keil Vision2 d ng vi t ch ng tr nh v m ph ng vi i u khi n h 8051 b ng
ng n ng C v h p ng . Ph n m m cho ph p l a nhi u ch n h ng s n xu t v chip , nh l Atmel
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

152
, Philips,vv... y l m t c ng c h c t p l p tr nh t t b n c nh ph n m m m ph ng TopView ,
Proteus.

T i li u tham kh o :
1. Dogan Ibrahim, Microcontroller projects in C for the 8051, Newnes, 2000.
2. Phn mm Keil Vision2
Website : http://www.keil.com/
4.4. Nen tang phan cng va phan mem:
-Matlab/Simulink va moi trng phat trien cap cao khac (Borlad C++ Builder/ Visual
C++, Delphi..).
-Bo ieu khien nhung va ng dung oc lap; xPC Target toolbox, tao ma t ong.
-Card thu thap d lie va ieu khien PCL 818L hac PCI 1711.

Cau hoi va bai tap:
Chng 4: Lap trnh cho may tnh ieu khien
1.Viet chng trnh xuat nhap ieu khien:
-8 led sang dan(mc logic 1: led sang, mc logic 0: led tat).
-8 led tat dan.
-8 led sang hai ba vao ra.
-8 led chay uoi.
Qui nh a ch cua cac thanh ghi vi mach 8255 nh sau:
portA=304h, portB=305h, portC=306h, CtrlReg=307h
2. Viet chng trnh chuyen oi A/D ICL 7109 hnh sau (H4.1 trong sach: [1]. Nguyen
c Thanh, o lng ieu khien bang may tnh, HBK Tp. HCM NXB HQG,
2002):
Qui nh a ch cua cac thanh ghi vi mach 8255 nh sau:
portA=304h, portB=305h, portC=306h, CtrlReg=307h
Dung mot trong cac ngon ng lap trnh : hp ng/QBASIC/Pascal/C/C++.
3.Trnh bay cac ham xuat nhap cua ngon ng C++. Cho th du minh hoa: dung 8255 vi
port A ieu khien led sang dan (mc logic 1: led sang, mc logic 0: led tat).
Qui nh a ch cua cac thanh ghi vi mach 8255 nh sau:
portA=300h, portB=301h, portC=302h, CtrlReg=303h
Trang thai led:
0 0 0 0 0 0 0 1
0 0 0 0 0 0 1 1
0 0 0 0 0 1 1 1
0 0 0 0 1 1 1 1
0 0 0 1 1 1 1 1
0 0 1 1 1 1 1 1
0 1 1 1 1 1 1 1
1 1 1 1 1 1 1 1
4.Thiet ke mot card trao oi tin so song song vao ra 8 bit va 16 bit co a ch c s t
300h , che o chng trnh (bit trang thai d0 ti d7) va che o ngat chng trnh co cac
mc ngat IRQ3 va IRQ5.
5*. Thiet ke mach em so tn hieu va nh thi gian dung vi mach 8253/8254 co a ch
c s t 304h va cac bit trang thai d1, d3, d5.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

153
6. Th vien lien ket ong (DLL) la g? Tai sao lai can en DLL? Trnh bay cach tao file
DLL dung Delphi va Visual C++.
7. Trnh bay cach lap trnh ngat may tnh IBM-AT/XT dung ngon ng Pascal / C, va
hp ng.
8.Download chng trnh windriver va nghien cu cach viet driver va ngat cho card
ISA, PCI.
9. Viet chng trnh Visual Basic o va ieu khien nhiet o dung card ISA co ADC 0809
va 8255.
Tham khao :
[1]. Nguyen c Thanh, o lng va ieu khien bang may tnh, NXB HQG Tp.
HCM, 2002 va 2005(lan 2).
[2]. Ngo Dien tap, Lap trnh ghep noi may tnh trong Windows, NXB KHKT, 2001.
[3]. Bui Th Cao, Lap trnh Visual Basic 6.0, Trng ai hoc Cong nghiep Tp. HCM,
2006.
[4].Gustaf Olsson and Gianguido Piani, Computer systems for automation and control,
Prentice Hall ,1992.
[5].George C. Barney, Intelligent Instrumentation, Prentice Hall 1988.
[6]. Ngo Dien Tap, o lng va ieu khien bang may tnh, NXB KHKT, Ha noi, 1999.
[7]. Bui Huy Quynh, Lap chng trnh tren may vi tnh bang ngon ng Basic, CSP Tp.
HCM, 1988.
[8]. Nguyen Trung Trc, oan Nguyen Hai, Nguyen Dung, Lap trnh can ban Pascal,
HBK Tp. HCM,1997.
[9]. Pham Van At, Ngon ng C : ly thuyet va thc hanh ,vi 80 chng trnh mau trong
KHKT va quan l kinh te, NXB Nang lng, 1990.
[10].Le Minh Tr, T hoc ky nang lap trnh Visual Basic 6.0, NXB Thong ke, 2001.
[11]. Le Phng Lan, Ly thuyet va Bai tap Borland Delphi, NXB GD, 2000.
[12].Nguyen Quang Tan, Vu Thanh Hien, Lap trnh vi hp ng, NXB Thong ke, 1997.
[13]. GS. Pham Van At, C++ va Lap trnh hng oi tng , NXB KHKT, 2000.

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

154

Chng 5A: CARD thu thap d lieu va ieu khien PCI- 1711
ien cu 1: CARD thu thap d lieu va ieu khien PCL- 818L Advantech
Xem trong sach o lng va ieu khien bang may tnh cua tac gia Nguyen c Thanh.

ien cu 2: CARD thu thap d lieu va ieu khien PCI- 1711 Advantech

PCI-1711 la card PCI co nhieu chc nang dung e o lng va ieu khien. Do
tnh u viet cua card, viec tm hieu hoat ong cua no rat can thiet e tiep can ky thuat
thu thap d lieu bang may tnh DAS (Data Acquisition System)
Card PCI-1711 l mt card thu thp d liu a chc nng cho bus PCI. Mch u tin cho
php ngi dng s dng cho chc nng o lng v iu khin nh b chuyn i A/d 12 bit, b
chuyn i D/A, ng vo s ,ng ra s, b counter v b timer.
c im ca card l mch chuyn knh t ng/ mch qut li. Mch ny iu khin
s chuyn mch a hp trong thi gian ly mu. Trn mch ct gi gi tr li v cu hnh cho
mi knh khc nhau. Thit k ny cho ta thc hin nhiu knh ly mu tc cao(ln ti 100
KHZ) vi li khc nhau mi knh.
PCI-1711 c mt b m FIFO trn mch n c th ct gi mu ln n 1K A/D.Ngi
dng c th cho php hay khng cho php yu cu ngt ca b m FIFO. Trong khi yu cu ngt
FIFO th ang cho php, ngi dng c th ch r liu yu cu ngt c gi mi khi s ly mu
xy ra hoc khi b m FIFO b y phn na. Ci ny cho php chuyn i d liu tc cao vi
s thc hin chnh xc cao trong h thng window.
PCI-1711 c trang b vi b lp trnh counter m phc v nh mt b Trigger cho s
chuyn i A/D
ng dng PCI -1711 cho : iu khin v gim st qu trnh,thu thp d liu , iu khin
nhit nhiu knh v iu khin tc ng c DC.


1. c tnh ca PCI-1711
16 ng vo tng t .
B chuyn i tng t sang s A/D 12 bit, vi tn s ly mu ln ti 100khz
Lp trnh d dng cho mi knh vo
Knh t ng qut d dng
B m FIFO mu 1K trn mch
Hai knh ng ra tng t 12 bit (i vi PCI-1711)
16 ng vo s v 16 ng ra s.
Th vin chng trnh lp trnh c- b m.
2. Nhng c im k thut ca PCI-1711
Ng vo tng t (Analog)
Knh : 16 knh n
phn gii 12 bit
Kch thc FIFO: mu 1K
Tan so lay mau cc ai: 100 KS/s max
Thi gian chuyn i :10s
Tm in p ng vo v li
li 1: ng vo 10V
li 2: ng vo 5V
li 4: ng vo 2.5V
li 8: ng vo 1.25V
li 16: ng vo 0.625V
in th qu p ti a: 15V
Ng vo bo v: 70 Vp-p
Tr khng ng vo : 2 Mohm/5 pF
Ch Trigger : phn mm, th vin lp trnh trn mch hoc bn ngoi.
S Tri (ppm/ C ):
li 1: Zero=15, li=25
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

155
li 2: Zero=15, li=25
li 4: Zero=15, li=25
li 8: Zero=15, li=30
li 16: Zero=15, li=40
Bng thng tn hiu Samll cho PGA:
li 1: bng thng 4MHZ
li 2: bng thng 2MHZ
li 4: bng thng 1MHZ
li 8: bng thng 0.65MHZ
li 16: bng thng 0.35MHZ
chnh xc:
DC:
INLE: 0.5 LSB
S n iu 12 bit
Sai s offset: iu chnh ti 0
Sai s li: 0.005% FSR ( li=1)
AC:
SNR: 68 dB
ENOB: 11 bits

Ng vo/ ra s
Knh ng vo: 16
in p ng vo:
Thp nht 0.4v
Cao nht 2.4v
Knh ng ra : 16
in p ng ra:
Thp nht 0.8v , .@0.8 mA (sink)
Cao nht 2.4v, .@-0.4 mA (source)
Thng s tng qut
Kiu kt ni I/O:68 chn SCSI-II ci
Kch thc: 175 mm x 100 mm (6.9" x3.9")
Ngun tiu th:
Thng dng: +5V@850mA
Ti a : +5V@1.0A
Nhit :
Hot ng : 0~+60C(32~158F)(ng vi ERC 68-2-1,2)
Bo qun: -20~+70C(-4~158 F)
m tng i: 5~95% khng ngng t RH (ng vi IEC 68-2-3)
Counter / Timer
phn gii 16 bit
Tng thch mc TTL
Xung c bn knh 1: 1 MHZ
Tn s ng vo ti a 1 MHZ
Xung c bn knh 0: bn ngoi MHZ (max) chon bi phan mem, bn trong 100KHZ
Xung c bn knh 2 nhp vo t ng ra ca knh 1.
Xung clock ng vo:
cao 2.0 V min.
thp 0.8 V max.
Cng ng vo:
cao 2.0 V min.
thp 0.8 V max.
Ng ra counter:
cao 2.4 V min.@-15 mA
thp 0.5 V max.@+24 mA
Ng ra tng t
in th tham chiu
Bn trong -5 V hay -10 V
Bn ngoi -10V ~ +10 V
Tm ng ra:
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

156
Tham chiu bn trong 0 ~ +5V, 0 ~ +10V
Tham chiu bn ngoi ~ +x V@ -x V (-10x10)

Sai s li: iu chnh v 0
Tc li (slew): 11V/s
S tri (ppm/ C ): 40 ppm/ C
Ti tng thch : 3 mA
Tn s 38 kHz (min)
Tr khng ng ra 0.81
Thi gian xc lp 26 s (n 1/2 LSB ca FSR)
Knh 1
phn gii 12 bit
chnh xc
Tng i LSB
Khng tuyn tnh vi phn LSB

Hnh card thu thp d liu v iu khin PCI-1711











































PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

157



























































3. S kt ni I/O ca PCI-1711
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

158




























Tn hiu Tn hiu tham
chiu
Phng thc M t
AI<0...15> AIGND Input Ng ra tn hiu tng t t knh 0-15
AIGND Ng vo tng t ni dt
AO0_REF
AO1_REF
AOGND Input Ng ra tng t 0/1 tham chiu bn
ngoi
AO0_OUT
AO1_OUT
AOGND Output Ng ra tng t 0/1
AOGND Ng ra tng t ni t
DI<0..15> DGND Input Knh ng vo s
DO<0..15> DGND Output Knh ng ra s
DGND Kt ni t
CNT0_CLK DGND Input Ng vo xung clock counter0
CNT0_OUT DGND Output Ng ra counter 0
CNT0_GATE DGND input iu khin cng counter 0
PACER_OUT DGND Output Ng ra xung clock chng trnh
TRG_GATE DGND Input Cng trigg bn ngoi A/D
EXT_TRG DGND Input Trigg ngoi A/D
+12V DGND Output Ngun 12v
+5V DGND Output Ngun 5v

3.1 Kt ni ng vo tng t
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

159




















3.2 Kt ni ng ra tng t








3.3 V tr cc chn cu ni, bin tr v cc u ni ca card PCI-1711



PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

160
















VR Chc nng
VR1 iu chnh offset A/D lng cc
VR2 iu chnh li gii hn y cho A/D
VR3 iu chnh gii hn y cho knh 0 ca D/A
VR4 iu chnh gii hn y cho knh 1 ca D/A


4. S khi ca card PCI -1711




















PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

161








5. Cach thanh ghi cua card PCI 1711:

5.1 a ch xut nhp I/O ca card PCI-1711



PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

162



























































PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

163





















































5.2 Cc thanh ghi
ca Card PCI-1711
a. Thanh ghi d liu A/D v s knh (Channel Number and A/D Data)




PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

164




AD11-AD0: dng chuyn i A/D
CH3-CH0: dng chn knh cho A/D

b. Thanh ghi thiet lp gii hn knh A/D(A/D Channel Range Setting)








G2-G0: m li
c. Thanh nghi iu khin MUX(MUX Control)








STA3_STA0: s knh bt u qut
STO3_STO0: s knh bt dng qut
d. Thanh ghi iu khin(Control Register)








SW: bit cho php kch bng phn mm, 1:cho php, 0: khng cho php
PACER: bit cho php kch bng lp trnh, 1:cho php, 0: khng cho php
EXT: bit cho php kch bn ngoi, 1:cho php, 0: khng cho php
GATE: bit cho php chc nng cc cng kch bn ngoi,1:cho php, 0: khng cho php
IRQEN: bit cho php ngt, 1:cho php, 0: khng cho php
ONE/FH: bit ngun ngt.
CNT0: bit chn ngun xung clock counter 0.
AD16/12: phn gii ng vo tng t.
CAL: bit gii hn I/O tng t.
e. Thanh ghi trng thi (Status Register)












F/E: bo c FIFO rng
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

165
F/H: bo c FIFO trn phn na
F/F: bo c FIFO trn
IRQ: bo c ngt
f. Thanh ghi FIFO v xa ngt (Clear Interrupt and FIFO)







g. Thanh ghi ng ra D/A knh 0(D/A Output Channel 0)











h. Thanh ghi ng ra D/A knh 1(D/A Output Channel 1)








k. Thanh ghi iu khin tham chiu D/A(D/A Reference Control)






















l. Thanh ghi I/O s(Digital I/O Registers)
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

166






















m. Thanh ghi nh kch thc (Calibration Registers)








D7-D0: nh gii hn d liu
CM3-CM0: lnh nh gii hn

n. Thanh ghi ID( Board ID Registers)

p. Thanh ghi Timer/Counter(Programmable Timer/Counter Registers): a ch l BASE+24,
BASE+26, BASE+28 v BASE+30

6. Chuyen oi A/D, D/A va DO, DI:
6.1. Lap trnh trc tiep
1. Chuyen oi A/D
2. Chuyen oi D/A
3.Digital input va output (DI va DO)
6.2. Lap trnh theo software driver cua nha san xuat
7. Lap trnh cho card PCI 1711
7.1. Unit dung cho card PCI 1711 trong Delphi


7.2. Th vien ADSAPI32.DLL
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

167
Tap tin adsapi32.dll do hang cung cap c cai vao th muc he thong
C:\windows\system32 hay C:\windows\system, trong chng trnh ng dung phai khai s
dung driver khai bao cac ham trong dll. S dung cac ham trong th vien mang tnh
chuyen nghiep hn, co the lap trnh ngat, DMA trong he ieu hanh Win98, Win2000,
Win Xp. V file driver (.pas, .h, .bas) kha ln nen ch trnh bay mot phan nho ay (xem
website www.advantech.com)
au tien phai cai driver vao may tnh th muc advantech\adsapi\include se cha
cac file driver (.pas, .h, .bas) khai bao ca c ham cua adsapi32.dll (cha trong
c:\windows\system). Sau khi a gan card vao may tnh chay chng trnh Device
installation e cai at thong so card cho may, card se c gan mot so la devicenum.
Khi lap trnh can phai khai bao s dung driver, cac ha m c goi en kem theo thong
so do driver qui nh. Cu the tuy theo ngon ng lap trnh s dung.

Gii thiu mt s hm lp trnh giao tip I/O cho PCI1711 dng ngn ng cp cao.
1/DRV_DeviceOpen
Tt c cc hm xut nhp u phi ng sau hm khi ng m thit b. hm DRV_DeviceOpen. Hm ny
cho php thit b hot ng, cho php ngi iu khin cc hm I/O.
Cu trc lnh nh sau:

LRESULT DRV_DeviceOpen (
ULONG DeviceNum
LONG * DriverHandle );
2/DRV_DeviceClose
Hm ng thit b, hm ny ngc li vi hm m thit b, DRV_DeviceClose thc
hin sau khi tt c cc hm iu khin ngng hot ng nh cc hm DIO, AO v AI.
Cu trc lnh nh sau:

LRESULT DRV_DeviceClose ( LONG * DriverHandle );

3/DRV_SelectDevice
Hm chn thit b trn danh sch, v chn thng tin u I/O ca thit b.
Cu trc lnh nh sau:

LRESULT DRV_SelectDevice (
HWND hCaller
BOOL GetModule
ULONG * DeviceNum
UCHAR * Description );
4/DRV_DeviceGetList
Hm cho bit thit b kt ni cng COM.
LRESULT DRV_DeviceGetList (
DEVLIST * DeviceList,
SHORT MaxEntries,
SHORT * OutEntries );

Hm c analog.
5/DRV_AIConfig
Hm ci t phn gii ca ng vo cc knh Analog.
LRESULT DRV_AIConfig (
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

168
LONG DriverHandle,
LPT_AIConfig lpAIConfig );

6/DRV_AIGetConfig
Hm ci t cu hnh kt ni.
LRESULT DRV_AIGetConfig (
LONG DriverHandle,
LPT_AIGetConfig lpAIGetConfig );


7/DRV_AIBinaryIn
Hm chuyn i nh phn sang in p.
LRESULT DRV_AIBinaryIn (
LONG DriverHandle,
LPT_AIBinaryIn lpAIBinaryIn );
8/DRV_AIScale
Hm chuyn i nh phn sang d liu khc, bt k dng no, c th l in p, dng in
LRESULT DRV_AIScale (
LONG DriverHandle,
LPT_AIScale lpAIScale );

DRV_AIScale
Hm c gi tr in p ca cc ng vo.
LRESULT DRV_AIScale (
LONG DriverHandle,
LPT_AIScale lpAIScale );

9/DRV_MAIConfig
Hm ci t cu hnh phn gii ng vo analog vi cc li p khc nhau.
LRESULT DRV_MAIConfig (
LONG DriverHandle,
LPT_MAIConfig lpMAIConfig );
10/DRV_MAIVoltageIn
Hm tr li mt danh sch cc gi tr in p chuyn i gn nht.
LRESULT DRV_MAIVoltageIn (
LONG DriverHandle,
LPT_MAIVoltageIn lpMAIVoltageIn );

Cc hm xut Analog
11/DRV_AOConfig
Hm ci t li cu hnh xut analog , nu khng, thit b s lu gi cu hnh c trong
registry
LRESULT DRV_AOConfig(
LONG DriverHandle,
LPT_AOConfig lpAOConfig );


12/DRV_AOScale
Hm ci t li phn gii ca ng ra Analog.
LRESULT DRV_AOScale (
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

169
LONG DriverHandle,
LPT_AOVoltageOut lpAOScale );


13/DRV_AOBinaryOut
Chuyn i nh phn sang tr in p ra analog.
LRESULT DRV_AOBinaryOut(
LONG DriverHandle,
LPT_AOBinaryOut lpAOBinaryOut );


14/DRV_AOVoltageOut
Thay i trng thi in p ra tng knh.
LRESULT DRV_AOVoltageOut(
LONG DriverHandle,
LPT_AOVoltageOut lpAOVoltageOut );

Cc hm port I/O.

15/DRV_ReadPortByte
Hm c 1 byte t port I/O
LRESULT DRV_ReadPortByte (
LONG DriverHandle,
LPT_ReadPortByte lpReadPortByte );

16/DRV_WritePortByte
Hm ghi mt byte vo a ch tuyt i ca Port I/O.
LRESULT DRV_WritePortByte (
LONG DriverHandle,
LPT_WritePortByte lpWritePortByte );



17/DRV_ReadPortWord
Hm c 1 word ( 2 byte) t port I/O
LRESULT DRV_ReadPortWord(
LONG DriverHandle,
LPT_ReadPortword lpReadPortWord );

18/DRV_WritePortWord
Hm ghi 1 word.
LRESULT DRV_WritePortWord (
LONG DriverHandle,
LPT_WritePortWord lpWritePortWord );

Cc hm xut nhp dng s

19/AdxDioReadDiPorts
Hm c ng vo DI ( Digital Input)
LRESULT AdxDioReadDiPorts(
LONG DriverHandle,
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

170
ULONG dwPortStart,
ULONG dwPortCount,
LPBYTE pBuffer );

20/AdxDioWriteDoPorts
Hm xut ng ra s, DO
LRESULT AdxDioWriteDoPorts(
LONG DriverHandle,
ULONG dwPortStart,
ULONG dwPortCount,
LPBYTE pBuffer );

Cc hm m s kin.
21/DRV_CounterEventStart
LRESULT DRV_CounterEventStart (
LONG DriverHandle
LPT_CounterEvenStart lpCounterEventStart );


22/DRV_CounterEventRead
LRESULT DRV_CounterEventRead (
LONG DriverHandle,
LPT_CounterEventRead lpCounterEventRead );

23/DRV_CounterFreqStart
LRESULT DRV_CounterFreqStart (
LONG DriverHandle
LPT_CounterFreqStart lpCounterFreqStart );
24/DRV_CounterFreqRead
LRESULT DRV_CounterFreqRead (
LONG DriverHandle,
LPT_CounterFreqRead lpCounterFreqRead );
25/DRV_CounterReset
Hm reset b m.
LRESULT DRV_CounterReset (
LONG DriverHandle,
LPARAM counter );

Cc hm m tc cao:
26/DRV_CheckEvent
Xa trng thi c v cp nht trng thi mi vi tn s rt cao.
status = CheckEvent (DriverHandle, lpCheckEvent)

27/DRV_EnableEvent
Hm cho php hay khng cho php m s kin.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

171
status = DRV_EnableEvent (DriverHandle, lpEnableEvent)
7.3.Chng trnh ng dung
7.3.1. Chng trnh C oi tng ong ra so dung kch mem
7.3.2.ng dung oc ngo vao analog
C++:
//* Description : Demo program fors analog input function with
//* software triggering
//* Boards Supp. : PCL-818 series/816/1800/812PG/711B, MIC-2718,
//* PCM-3718, PCI-1710/1713, PCL-813B, Demo board,
//* ADAM-4011/4011D/4012/4014D/4018/4018M/
//* 5018/4017/4013/5017/4016
// * APIs used : DRV_DeviceOpen,DRV_DeviceClose,DRV_GetErrorMessage
//* DRV_AIConfig, DRV_AIVoltageIn
//*
//* Revision : 1.00
//*
//* Date : 4/2/2009


#include <windows.h>
#include <windef.h>
#include <stdio.h>
#include <conio.h>
#define WIN_CONSOLE
#include C:\Program Files\Advantech\Adsapi\Include\driver.h //DUONG DAN VAO
DRIVER.H
/******************************
Local function declaration *
******************************/
//// CHUONG TRINH DOC GIA TR ANALOG VAO TU CARD PCI-1711
// CHUONG TRINH CHAY KHI GAN CARD PCI-1711 VAO

void ErrorHandler(DWORD dwErrCde);
void ErrorStop(long*, DWORD);
void main()
{
DWORD dwErrCde;
ULONG lDevNum;
long lDriverHandle;
USHORT usChan;
float fVoltage;
PT_AIVoltageIn ptAIVoltageIn;
PT_AIConfig ptAIConfig;
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

172
//Step 1: Display hardware and software settings for running this
// example
printf( Application card pci-1711 to read value analog input\n);
printf( sinh vien thuc hien: VO HOANG MINH-DHDT1B \n);
printf( Before running this example, please\n);
printf( use the device installation utility to add the device.\n);
//Step 2: Input parameters
printf(\n Please input parameters:);
printf(\nDevice Number (check the device installation utility): );
scanf(%d, &lDevNum);
printf(Input Channel: );
scanf(%d, &usChan); //nhap gia tri chanel vao
//Step 3: Open device-MO CARD PCI

dwErrCde = DRV_DeviceOpen(lDevNum, &lDriverHandle);
if (dwErrCde != SUCCESS)
{
ErrorHandler(dwErrCde);
printf(Program terminated!\n);
printf(Program will close!\n);
printf(Please you put card pci-1711 enter pc-thank you!\n);
return ;
}
//Step 4: Configure input range-CAU HINH NGO VAO ANALOG
ptAIConfig.DasChan = usChan; // channel: 0
ptAIConfig.DasGain = 0; // gain code: 0
dwErrCde = DRV_AIConfig(lDriverHandle, &ptAIConfig);
if (dwErrCde != SUCCESS)
{
ErrorStop(&lDriverHandle, dwErrCde);
return;
}
// Step 5: Read one data-DOC 1 GIA TRI DU LIEU

ptAIVoltageIn.chan = usChan; // input channel
ptAIVoltageIn.gain = 0; // gain code: ref
// manual fo
ptAIVoltageIn.TrigMode = 0; // 0: internal tri
// 1: extern
ptAIVoltageIn.voltage = &fVoltage; // Voltage retrieve
dwErrCde = DRV_AIVoltageIn(lDriverHandle, &ptAIVoltageIn);
if (dwErrCde != SUCCESS)
{
ErrorStop(&lDriverHandle, dwErrCde);
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

173
return;
}
// Step 6: Display reading data-THE HIEN GIA TRI LEN MAN HINH
printf(Reading data = %10.6f\n, fVoltage);
// Step 7: Close device-DONG CARD LAI

dwErrCde = DRV_DeviceClose(&lDriverHandle);
if (dwErrCde != SUCCESS)
{
ErrorStop(&lDriverHandle, dwErrCde);
return;
}
}//main-chuong trinh chinh

void ErrorHandler(DWORD dwErrCde)
{
char szErrMsg[180];
DRV_GetErrorMessage(dwErrCde, szErrMsg);
printf(\nError(%d): %s\n, dwErrCde & 0xffff, szErrMsg);
}//ErrorHandler


void ErrorStop(long *pDrvHandle, DWORD dwErrCde)
{
//Error message THONG BAO LOI
ErrorHandler(dwErrCde);

printf(Program terminated!\n);
printf(Program will close!\n);
//Close device DONG CARD
DRV_DeviceClose(pDrvHandle);
exit(0);
}//ErrorStop-dung chuong trinh
Visual Basic 6.0:
CHNG TRNH C NG VO ANALOG T PCI1711.
Trc tin tra m trnh son tho Visual Basic ln.


Tip theo ta vo View chn Project Explorer .
chy c PCI1711 ta phi ci Driver cho n. Sau khi ci xong ta Add Modul bng cch vo
Project chn Add Module.
Ta thit k giao din iu khin chnh nh sau:

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

174


CODE:
Chng trnh cho Form:
Private Sub Command1_Click()
Dim ErrCde As Long ' Error code
Dim szErrMsg As String * 80 ' Error string
Dim DriverHandle As Long
Dim AIConfig As PT_AIConfig
Dim AIVoltageIn As PT_AIVoltageIn
Dim voltage As Single
'Step 1: open device
ErrCde = DRV_DeviceOpen(0, DriverHandle) ' Make sure device number = 0
If (ErrCde <> 0) Then
DRV_GetErrorMessage ErrCde, szErrMsg
Response = MsgBox(szErrMsg, vbOKOnly, "Error!!")
Exit Sub
End If
'Step 2: configure input range
AIConfig.DasChan = 0 ' channel: 0
AIConfig.DasGain = 0 ' gain code: 0
ErrCde = DRV_AIConfig(DriverHandle, AIConfig)
If (ErrCde <> 0) Then
DRV_GetErrorMessage ErrCde, szErrMsg
Response = MsgBox(szErrMsg, vbOKOnly, "Error!!")
Exit Sub
End If
'Step 3: read value
AIVoltageIn.chan = AIConfig.DasChan
AIVoltageIn.gain = AIConfig.DasGain
AIVoltageIn.TrigMode = 0
AIVoltageIn.voltage = DRV_GetAddress(voltage)
ErrCde = DRV_AIVoltageIn(DriverHandle, AIVoltageIn)
If (ErrCde <> 0) Then
DRV_GetErrorMessage ErrCde, szErrMsg
Response = MsgBox(szErrMsg, vbOKOnly, "Error!!")
Exit Sub
End If
'Step 4: display value
txtAIValue.Text = Format(voltage, "####0.00")
'Step 5: close device
ErrCde = DRV_DeviceClose(DriverHandle)
If (ErrCde <> 0) Then
DRV_GetErrorMessage ErrCde, szErrMsg
Response = MsgBox(szErrMsg, vbOKOnly, "Error!!")
End If
End Sub

Private Sub Command2_Click()
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

175
End
End Sub
Cau hoi va bai tap
ien cu: Card thu thap d lieu va ieu khien PCI 1711
1. Trnh bay s o khoi cua card PCI-1711.
2. Trnh bay cac thanh ghi cua card PCI-1711.
3. Trnh bay th vien adsapi32.dll va cac ham th vien dung lap trnh cho card PCI-1711.
Tham khao:
1. Bo mon ieu khien t ong, khoa ien-ien t, Trng ai hoc Bach khoa Tp. HCM
Website: http://www.hcmut.edu.vn/
2. sach [1]

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

176
CHNG 5: GIAO TIEP QUA CONG SONG SONG
5.1.Tng quan v cng song song
Cong song song thng dung e giao tiep may vi tnh vi oi tng ben ngoai
nh cac ac iem:
-Lap trnh n gian, de ket noi.
-Toc o nhanh.
Khuyet iem cua cong song song la khoang cach ngan do tnh chong nhieu
kem. Theo tieu chuan IEEE 1284 nam 1994 co 5 che o hoat ong cho cong song
song.
-SPP cong song song chuan co 3 mode la :
+ Compatibility
+Nibble
+Byte
-EPP cong song song tang cng(enhanced parallel port).
-ECP cong m rong kha nang(extended capasility port).
Tuy loai mainboard co the ho tr ca 5 mode hay ch vai mode.
5.2.Cu truc ca cng song song
5.2.1.Cng SPP
Cong song song co au noi 25 chan cai thng dung e ket noi vi may in au
noi centronics 34 chan.
Bang 5.1 cho s o chan va y ngha cac chan cua cong SPP, dau / co y ngha
tch cc mc thap. Th du chan 15 la /Error hng vao, neu chan nay xuong mc 0
la co loi.
Cong SPP co toc o truyen d lieu song song t 50kbytes/s en 150 Kbytes/s.
Cu hnh:

Gm 3 thanh ghi

a. Thanh ghi d liu: cho php c v ghi trc tip ln thanh ghi
ny ti 378h.

b. Thanh ghi trng thi: ch cho php c ti a ch 379h.

c. Thanh ghi iu khin: cho php c v ghi (truyn lnh ra
thit b ngoi vi hay yu cu ngt cng v b vi x l) ti a ch 37Ah.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

177


S lc cong may in :
Ngoai cac thanh phan ben trong (CPU, RAM ) va cac chng trnh , may tnh
con co kha nang giao tiep vi the gii ben ngoai qua nhieu hnh thc khac nhau nh :
cong noi tiep, cong song song, cong USB, cac khe cam PCI, ISA, EISA,
Trong phan nay , ta ch e cap en cong song song (do cong nay thng dung cho
may in nen ngi ta goi la cong may in) va ng dung no e ieu khien day en nhay
dung trang tr , quang cao
Cong may in dung loai 25 chan am (cac lo cam) nh mo ta :
(Ban can phai lu y la may tnh cung co cong 25 chandung cho cong noi tiep, thuoc loai
cong dng, bao gom cac chan cam)
Bang 5.1
Chan Ky hieu Mo ta Hng
1 /Strobe Xung kch hoat may in Xuat
2-9 D0-D7 8 bit d lieu Xuat
10 /ACK May in bao san sang
nhan d lieu
Nhap
11 Busy May in bao ban Nhap
12 PE May in bao het giay Nhap
13 Select Tn hieu bao may tnh co
noi may in hay khong
Nhap
14 /Auto feed Yeu cau may in sang
trang mi
Xuat
15 /Error Bao loi truyen Nhap
16 /Init Khi tao may in Xuat
17 /Select in Tai lieu chon may in Xuat
18-25 GND Noi at
1 13
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

178
o o o o o o o o o o o o o
o o o o o o o o o o o o
14 25
Giao tiep song song vi may in thng c thc hien theo H5.1




Ten tn hieu va so chan pha may tnh

/STROBE 1
D0 2
D1 3
D2 4
D3 5
D4 6

D5 7
D6 8
D7 9
/ACK 10

BUSY 11

OUT OF PAPER 12

SELECT 13
/AUTO FEED 14

/ERROR 15

/INITIALIZE PRINTER 16
/SELECT INPUT 17
GROUND 18-25








May tnh
May in
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

179
Strobe


Busy


ACK


Data

H5.1. S o ket noi va bat tay.
Ban au d lieu 8 bit c a ra, may tnh oc chan busy neu mc thap th may
in ranh, se a ra tn hieu strobe rong 1 sec va may in oc d lieu, oc xong se
bao tr lai bang /ACK rong 5 sec. Neu may in ban th Busy se mc cao.
Viec giao tiep c thc hien qua 3 thanh ghi: thanh ghi d lieu, thanh ghi ieu
khien va thanh ghi trang thai. Thong thng hai a ch goc la :
378H cho LPT1(line printer 1)
278H cho LPT2
Mot so may tnh dung a ch 03BC H.
Muon giao tiep hai hng co the dung s o nh sau: dung 74LS244, 74LS245,
74LS373. Mot so mainboard ho tr giao tiep hai chieu qua thanh ghi d lieu, bit
D5 cua thanh ghi ieu khien bang 1 th cho phep cac chan 29 cua thanh ghi d
lieu co chieu i vao, ngha la co the a tn hieu vao cac chan nay roi oc thanh
ghi d lieu.
Cac chan cua thanh ghi ieu khien co ngo ra cc thu h nen co the nhan tn hieu
vao neu trc o ta a nap 8 bit sao cho cac ngo ra ng vi thanh ghi nay len 1
(hnh 5.2). Do cac tn hieu/busy, /select, /AF, va /strobe a uc ao phan cng
nen ta them cac cong ao, logic oc vao phan anh ung mc tn hieu.















PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

180



D lieu ra D0 D7
Chan 2-9



11 Busy D7
10 /ACK D6

12 Paper out D5
D lieu vao 8 bit
13 Select in D4

OC
17 /Select D3 Cac cong NOT lai
OC
16 /Init D2 cc thu h

14 /AF OC D1

1 /Strobe OC D0


H5.2. Giao tiep song song hai chieu qua cong SPP
Trong mot so trng hp, cac chan ra cua thanh ghi ieu khien khong dung loai cc thu
h ma dung coat totem ch co mot chieu xuat, luc o co the dung bo phan kenh e oc
4 bit d lieu vao thanh ghi trang thai, oc 2 lan se c 8 bit d lieu (hnh 5.3).
Trong s o chan /strobe c dung e chon na byte thap khi mc 0 tc la bit D0 cua
thanh ghi ieu khien mc 1.
Vi s o nayviec xuat nhap d lieu 8 bit c thc hien nh sau:
1. Xac nh a ch goc cua cong LPT.
2. Muon xuat d lieu, ghi d lieu 8 bit vao thanh ghi d lieu, muon xuat nhieu hn 8
bit co the dung kem cac tn hieu Init, Select,, bang cach ghi vao thanh ghi ieu
khien byte thch hp.
3. Muon oc d lieu, ghi bit D0=1 vao thanh ghi ieu khien, oc 4 bit cao cua thanh
ghi trang thai (4 bit thap cua d lieu vao), roi di phai 4 bit, sau o cho D0 cua
thanh ghi ieu khien bang 0, oc 4 bit cao cua thanh ghi trang thai (4 bit cao cua
d lieu vao), ket hp hai lan oc ta c 1 byte roi exclusive or vi 88h e ao
hai bit D7 va D3 (do busy ao).



Thanh ghi
d lieu





Thanh ghi
trang thai










Thanh ghi
ieu khien
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

181
+5V
16

11 /Busy 4 3 D7

10 ACK 7 6 D6

12 Paper out 9 10 D5 8 ngo vao

13 Select 12 13 D4

2 D3
5 D2

11 D1
1 /Strobe 1 14 D0

15
8


Hnh 5.3. Vao 8 bit vi 74LS157
V du lap trnh
Xuat tr oval 1 ra thanh ghi d lieu va oval 2 ra thanh ghi ieu khien, nhap gia tr
inval t thanh ghi trang thai.
Trong ngon ng C:
#define DATA 0x0378
#define STATUS DATA+1
#define CONTROL DATA+2

/*.*/
int oval1, oval2, inval;
oval1=0x81;
outportb(DATA,oval1);
oval2=0x08;
outportb(CONTROL,oval2);
inval=(inportb(STATUS))^0x80
Trong ngon ng QBASIC
DATA=&H378
STATUS =DATA+1
CONTROL =DATA+2
Oval1=129
Out data,oval1
Vcc
1Y 1B

2Y 2B

3Y 3B

4Y 4B

74LS157 1A

2A

3A

A/B 4A

/G GND
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

182
Oval2=75
Out CONTROL,oval2
Inval==(inp(STATUS)XOR 128)AND &HF8)/8
S dung ngat
Khi bit 4 cua thanh ghi ieu khien mc 1 se cho phep ngat neu chan
ACK chuyen t 1 xuong 0, chng trnh phuc vu ngat IRQ7 se c goi(cung co
khi ngat tac ong khi ACK chuyen t 0 len 1).
Xem v du trong sach [1], trang 154-155.
5.2.2.Cng EPP
Cong EPP la san pham lien ket cua Intel, Xircom va Zenith, co hai chuan
la EPP1.7 va EPP1.9, van toc truyen t 500kbytes/s en 2 Mbytes/s nh s ho
tr cua phan cng.
C 4 chu k truyn:
Chu k ghi d liu
Chu k c d liu
Chu k ghi a ch
Chu k c a ch
Cc tn hiu giao din song song trong ch EPP

CHN TN HIU NGHA
1 Write, ra Xung 0 khi ghi ln 1 khi c
14 Datastrobe, ra Xung 0 khi c hoc ghi d liu
16 Addrstrobe, ra Xung 0 khi c hoc ghi a ch
17 Reset, ra Khi ng thit b ngoi vi khi bng 0
10 Intr, vo c dng truyn yu cu ngt t thit b ngoi
vi v my ch
11 Wait, vo Wait=0 cho php bt u chu k truy nhp, Wait=1
cho php kt thc chu k truy nhp
12 Tu chn, vo Cho php thit b ngoi vi t qui nh
13 Tu chn, vo Cho php thit b ngoi vi t qui nh
15 Tu chn, vo Cho php thit b ngoi vi t qui nh
2-9 Vo/ra Bus d liu hai chiu

Ch EPP cn nhiu thanh ghi hn v b sung thm 3 thanh ghi (a ch lch t 3 n 7).
a ch cc cng ny c tnh bng cch cng a ch lch (offset) vi a ch c s ca
cng song song 378h.
Cc thanh ghi trong ch EPP:

Tn thanh ghi Offset

c /ghi Y ngha
Thanh ghi d liu SPP 0 Ghi Thanh ghi d liu c bn
Thanh ghi trng thi SPP 1 c c cc tn hiu trng hi
c bn
Thanh ghi iu khin SPP 2 Ghi Vit ln cc tn hiu iu
khin c bn
Thanh ghi a ch EPP 3 c/ghi To ra mt chu k c hoc
ghi a ch
Thanh ghi d liu EPP 4 c/ghi To ra mt chu k c
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

183
hoc ghi d liu
D tr 5-7 c/ ghi D tr cho nh sn xut c
th dng lm cng vo ra
16 hoc 32 bit

Khi ghi ln cc cng c bn 378h-37Ah s nhn c phn ng ca giao din song song
chun SPP.
Chu k c ghi a ch c tin hnh khi ghi hoc c cng 37Bh.
Khi ghi d liu ra cng 37Ch phn cng s t ng qun l tn hiu bt tay ca chu k ghi.
Tc truyn: 500KB n 2MB/1giy.

5.2.3.Cng ECP
Cong ECP c phat trien bi Hewlett Packard va Microsoft s dung
phan cng ho tr cho viec truyen d lieu nen co van toc truyen nhanh, tng t
cong EPP. ac iem cua cong ECP la nen d lieu khi truyen cho phep tang toc
o truyen d lieu.
Ch ECP c thit k nhm ci thin qu trnh truyn d liu gia my ch v
my in. Ch ECP cho php hai chu k truyn d liu theo hai hng:
Chu k d liu.
Chu k lnh.
Chu k lnh c chia thnh hai loi:
m chiu di chy-RLE (run-length count)
Knh a ch (channel address)
RLE cho php nn d liu, phng php ny rt thun li cho my in hay
my qut c d liu dng bitmap v c nhiu d liu lp li.
Vi du: nu mun gi mt chui gm 25 k t A, th u tin my tnh s gi mt byte
RUN COUNT (xc nh s ln lp li ca byte theo sau n) bng 24. Tip theo gi byte
A.Thit b ngoi vi khi nhn c lnh RLE, n s lp li byte tip theo mt s ln xc
nh thng qua RUN COUNT.
dng c RLE, c thit b ngoi vi v my ch cn ci t n.
Phng php nh a ch cho php truy nhp nhiu knh khc nhau trong
mt thit b vt l duy nht (chng hn mt my a chc nng FAX,my in, MODEM).
Phng php ny cho php nhiu thit b ca my a chc nng chy ng thi v cng trao
i d liu vi my tnh ch qua mt giao din song song duy nht.
v phn bit lnh l RLE hay a ch th phi da vo bit 7 ca ng d
liu:
Bit 7 bng 1 th bit 0 n bit 6 l a ch knh 0 n 127 ca thit b ngoi vi.
Bit 7 bng 0 th bit 0 n bit 6 ls m RLE (0-127).


Cc tn hiu trong ch ECP:

Chn Tn hiu Vo/ra ngha
1

Hostclk Ra Cng vi periphack truyn d liu v a
ch ra thit b ngoi vi
2-9 D0-D7 Vo/ra Bus d liu 2 chiu
10 Hostack Ra Bo trng thi d liu hay lnh ca hng
ra, cng vi periphclk nhn d liu t
thit b ngoi vi
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

184
11 1284active Ra Ln 1 khi chun 1284 hot ng
12 Reverserequest Ra Xung 0 ng d liu c chiu vo, ln 1
ng d liu c chiu ra
13 Periphclk Vo Cng vi hostack nhn d liu v a ch t
thit b ngoi vi
14 Periphack Vo Bo trng thi d liu hay lnh ca hng
vo, cng vi hostclk truyn d liu ra
thit b ngoi vi
15 Ackrerseve Vo Xumg 0 khi thit b ngoi vi chp nhn
rerserverequest
16 Xflag Vo C byte m rng
17 PeriphRequest Vo Thit b ngoi vi cho xung 0 khi cho php
hng vo my ch
18-25 Ground ground

Tc truyn : 2MB/giy.
v u im cng song song:
Tc truyn nhanh do kh nng xut ra 8 bit d liu cng mt lc.
v Khuyt im:
Khng truyn i xa qu 8m. Do hin tng lch tn hiu v chp chn tn hiu.
Hin tng lch tn hiu l hin tng cc tn hiu khng n u
nhn cng mt lc mc d n c gi i cng mt lc u pht. Cp cng di th khong
thi gian gia cc thi im tn hiu u tin v tn hiu cui cng n u nhn cng ln
( lch cng ln).
S chp chn tn hiu l xu hng cc tn hiu dao ng quanh in
p nh mc trong mt khong thi gian ngn.
tc cao, cc tn hiu song song c khuynh hng gy nhiu ln nhau gia cc
ng tn hiu lin k nhau.

5.3.Ghep ni hai may tnh bng cng song song
Hai may tnh co the ghep vi nhau qua cong song song hay cong noi tiep e
truyen so lieu thong qua tien ch Direct Cable Connection cua Windows hay tien
tch tng t cua Norton Commander.
5.3.1.Ghep noi song song
Dung cap noi vi hai au c DB25
Chan Chan
D0 2 15
D1 3 13
D2 4 12
D3 5 10
D4 6 11
Error 15 2

Select 13 3
Paperout 12 4
ACK 10 5
Busy 11 6
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

185
GND 25 25


5.3.2. Ghep noi noi tiep
Bang 5.1. Dung cap noi hai au cai DB9 hay DB25
9 chan 25 chan 25 chan 9 chan
GND 5 7 7 5
TxD 3 2 3 2
RTS 7 4 5 8
DSR 1,6 6 20 4
RxD 2 3 2 3
CTS 8 5 4 7
DTR 4 20 6 1-6

Truyen bang ng song song nhanh gap 8 lan en mi lan truyen noi tiep.
Neu cong song song hai may co cau hnh ECP th van toc truyen con nhanh hn.


5.4.Mch ng dng
5.4.2.Mach en nhay :
Chung ta se thiet ke mot mach en nhay gom 8 led, viec nhay nh the nao (chay
uoi, sang dan, tat dan) la hoan toan phu thuoc vao chng trnh tren may tnh.
Mach ch can 8 led, 8 ien tr 330, va mot au noi 25 chan dng. a so cac
mach dung may tnh ieu khien eu co u iem la n gian nhng lai co rat nhieu chc
nang.
Hnh ve di la s o mach va PCB.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

186
330 Ohm x8
R
D7
LED
D1
LED
R8
R
R7
R
J2
CON25
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
R6
R
D4
LED
D6
LED
D8
LED
D2
LED
R5
R
+5V
DB25 duong
R4
R
R3
R
R2
R
D3
LED
D5
LED


H 5.5.S o chi tiet va PCB mach en nhay
Chng trnh tren may tnh :
Tren may tnh co the co toi a en 4 cong song song va moi cong can 3 a ch cho 3
thanh ghi : d lieu. trang thai, ieu khien. Tuy nhien ta ch dung thanh ghi d lieu va oi
vi may tnh thong thng th a ch cua thanh ghi nay la : 378H (cong LPT1). Chng
trnh ay minh hoa day led sang tat dan viet bang Pascal, neu ban a tng viet chng
trnh th co the tuy bien thanh nhieu kieu nhay khac nhau, con neu khong biet viet
chng trnh th cung khong sao, hay m Pascal va go oan chng trnh sau roi cho
chay.
Program LED;
Uses CRT;
Var port_address :word;
I : integer;
A : array[0..15]of byte ={$FF, $7F, $3F, $0F, $07, $03, $01, $00, $80, $A0, $E0,
$F0, $F8, $FA, $FE};
Loop : boolean;
Begin
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

187
Port_address:=$378; {co the thay oi tuy theo may}
Loop :=true; {lap vong}
I:=0;
While (loop) do
Begin
If (I>15) then I:=0;
Port{port_address}:=a[I];
I:=I+1;
End;
End.
Tham khao : Bai bao Dung cong may in ieu khien en nhay cua Le Phc Thanh ,
tap ch Computer Fan, so cuoi thang 8/2000, Hoi vo tuyen ien t Viet nam.).
5.4.3.Tao xung vuong goc D0 (chan 2)cua thanh ghi d lieu:
Bang hp ng :
Mov dx, 378h; a ch LPT1
Mov bx, const1; so xung c xuat ra
Xuat_ra: mov al,1
Out dx, al; high chan 1
Mov al,0
Out dx, al;low chan 2
Cho_doi: mov cx,const2
Loop cho_doi; thi gian ch, an nh bang const.2
Dec bx
Jnz xuat_ra
Bang turbo C:
For(i=1;i<=const1;i++)
Outportb(0x378,0);
Outportb(0x378,1);
Delay(const2);
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

188
Hang so 1 xac nh so xung c xuat ra, hang so 2 xac nh so mili giay gia hai xung
ke tiep.
Mot oan chng trnh tng ng :
For(i=1;i<=const.1;i++)
Outportb(0x378,0);
Delay(const2);
Outportb(0x378,1);
Delay(const3);
Hang so 1 xac nh so xung c xuat ra, hang so 2 xac nh o keo dai cua xung va
hang so 3 xac nh o trong cua xung, cong lai thanh chu k xung , lay nghch ao ta
nhan c tan so xung .

5.4.4.ieu khien en giao thong:
D3
LED
D2
D1
R2
R
vang
D2
LED
do
D0
D1
LED
xanh
R3
R
R1
R

H5.6
Dung thanh ghi d lieu (1 : SANG, 0: TAT)
D0: neon xanh
D1: neon vang
D2: neon o
#include <dos.h>
mian()
{
while (1)
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

189
{
outportb(0x378,1);/* en xanh*/
delay(1000);
outportb(0x378,2);/* en vang*/
delay(1000);
outportb(0x378,4);/* en o */
delay(1000);
outportb(0x378,6);/* en o va vang*/
delay(1000);
}
}
Cau hoi va bai tap:
Chng 5: Giao tiep qua cong song song
1.Viet chng trnh tao xung vuong goc.
2.Viet chng trnh ieu khien en giao thong dung cong LPT1(a ch 378h).
Thanh ghi ieu khien:
D3
LED
D2
D1
R2
R
vang
D2
LED
do
D0
D1
LED
xanh
R3
R
R1
R

3.Viet chng trnh ieu khien en nhay dung cong LPT1.
4. Ghep noi hai may tnh bang cong may in.
5. Trnh bay cong may in: SPP, EPP va ECP.
6. Nghien cu ghep 8255 vi cong may in e tang so ngo logic.
7. Trnh bay card chuyen oi 8 kenh 12 bit dung cong may in : phan cng va chng
trnh ieu khien.
8. Viet chng trnh ieu khien led ma tran dung PC ghep noi 8051 qua cong LPT.
9. Viet chng trnh ieu khien nhiet o dung may tnh PC giao tiep qua cong LPT (che
o ECP).
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

190

Tham khao :
[1]. Nguyen c Thanh, o lng va ieu khien bang may tnh, NXB HQG Tp. HCM,
2002 va 2005(lan 2).
[2]. Ngo Dien Tap, o lng va ieu khien bang may tnh, NXB KHKT, Ha noi, 1999.
[3].Nguyen Manh Giang, Ky thuat ghep noi may vi tnh, NXB GD, tap 1: 1998, tap 2:
2006.
[4]. Ngo Dien Tap, Ky thuat ghep noi may vi tnh.
[5]. PC-AT Technical Reference
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

191
CHNG 7: GIAO TIEP QUA CONG NOI TIEP VA USB
6.1.Tng quan v cng ni tip
Giao tiep noi tiep bat ong bo
Giao tiep noi tiep bat ong bo la giao tiep ma cac bit lan lt c gi ra ng
truyen va xung ong bo ni phat khong nhat thiet phai ong bo vi xung ong ho ni thu
Ngoai cac bit d lieu muon phat th tren ng truyen con co them cac bit e bat
tay va e kiem tra ngi ta goi la thong tin khung

1-2 bit dng
Bit khi ong bit kiem tra chan le
Trong thc te bit khi ong ngc vi trang thai ng truyen, bit dng cung vi
trang thai ng truyen
So bit dng : 1; 1,5; 2 bit
o dai ky t : 5, 6, 7, 8 bit







H6.2
Cac IC chuyen dung e thu phat bat ong bo : 6402, 6850, 8251, 8250

6.2.Cu truc ca cng ni tip
Cac ma ch logic dung ien ap 5 V do o can bo chuyen oi mc TTL/CMOS >
RS232 e co ien ap phu hp cong COM tren may tnh, co hai dang au noi c D-
25 chan va D-9 chan.
Giao dien RS-232
Khi muon truyen thong tin i khoang cach xa, chung ta khong the truyen trc tiep
mc TTL (0v-5v) v :
-Do suy giam ni thu , kho phan biet c mc tn hieu 0 va 1
-Do lan nhieu ni thu
V vay ngi ta phai :
-ieu che tn hieu phat khi bang mot song mang t b suy giam tren ng day
-Tang mc ien ap TTL
-S dung ng day ien thoai
oi vi mach trao oi thong tin khoang cach xa v mc ien ap TTL cua cac thiet
b so khac vi mc ng day ien thoai, do o can phai co cac khoi ghep noi chuan.
Tuy toc o trao oi tin, khoang cach va cac loai modem cung nh ng day truyen ma
ta co cac phoi ghep chuan khac nhau : RS232C, RS 449, RS423A

Vi x
ly 1
Khoi ghep
noi song
song- noi
tiep
Khoi ghep
noi noi tiep
- song song
Vi x
ly 2
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

192










H6.2
+Thong so ky thuat cua chuan RS-232C
Chuan RS232C ap dung cho toc o truyen so lieu toi a la 20kbps va khoang
cach cc ai la 15 m
o la khoi ghep noi chuyen mc TTL (0-5v) len mc ien the cua ien thoai (+/-
15v)
Trong o cac ng truyen so lieu dung mc logic am :
-tn hieu logic 1 gia 5v - -15v
-tn hieu logic 0 gia +5v - +15v
Tuy nhien tn hieu ieu khien dung tn hieu logic dng

+S o chan cua RS232C :
Chot ra o 9 chan Chot ra o 25 chan Chc nang
1 8 DCD :data carrier detect
2 3 RXD :receive data
3 2 TxD :transmit data
4 20 DTR : data terminal ready
5 7 GND : mass
6 6 DSR :data set ready
7 4 RTS :request to send
8 5 CTS :clear to send
9 22 RI :ring indicator

-Cac ng d lieu
TxD: d lieu c truyen t modem tren ng truyen
RxD : d lieu c thu bi modem tren ng truyen
-cac ng bao hieu thiet b san sang
DSR:bao modem a san sang
DTR:bao rang thiet b au cuoi a san sang
-Cac ng bat tay ban song song
RTS:tn hieu bao thiet b au cuoi yeu cauphat d lieu
CTS :modem ap ng nhu cau gi d lieu cua thiet b au cuoi
-Cac ng trang thai song song va tn hieu ien thoai
Vi x
ly
Khoi ghep
noi song
song- noi
tiep
RS2
32C
Mod
em
Mod
em
RS2
32C
Khoi ghep
noi noi
tiep- song
song
Vi x
ly
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

193
CD:modem bao cho thiet b au cuoi biet rang a nhan c mot song mang hp le t
ng truyen
RI: modem t ong tra li (bao rang a phat tn hieu chung t ng truyen).
u im khi kt ni vi cng ni tip:
Kh nng chng nhiu ca cc cng ni tip cao hn so vi cong my in thit b
ngoi vi c th tho lp ngay c khi my tnh ang c cp in.
Cc mch in n gin c th nhn c in p ngun nui qua cng ni tip
thng thng th vic s dng cng ni tip i hi chi ph nhiu hn v c s bin i d
liu c truyn theo ni tip thnh d liu thnh song song.Vi nhng bi ton ghp ni
khng phc tp,trong ch s dng mt vi ng dn vo/ra th ta c th s dng trc
tip cc ng dn ph tr c lin quan ca giao din.Tng cng c n hai ng dn li
ra v bn ng dn li vo,c th c trao i trc tip bng cc lnh n gin.
Vic trao i d liu qua cng ni tip trong cc trng hp thng thng u qua
ng dn truyn ni tip TxD v ng dn nhn ni tip RxD. Tt c cc ng dn cn
li c chc nng ph tr khi thit lp v khi iu khin cuc truyn d liu.Cc ng dn
ny gi l cc ng dn bt tay bi v chng c s dng theo phng php k nhn
gia cc thit b. u im c bit ca ng dn bt tay l trng thi ca chng c th t
hoc iu khin trc tip.
c trng ca cng ni tip l hot ng song cng ngha l c kh nng thu,pht
ng thi.Ngoi ra port ni tip cn c mt c trng khc,vic m d liu khi thu ca
port ny cho php 1 k t c nhn v lu trong b m thu trong khi k t th tip tuc
c nhn vo.Nu CPU c k t th nht trc khi k t th hai c nhn y ,d
liu s khng b mt.

Mach chuyen mc:
Khi ghep noi cong COM may tnh vi vi ieu khien hay mach TTL can phai co mach
chuyen mc TTL > RS232 va ngc lai. Cac vi ma ch thng dung la cap MC1488-
MC1489, MAX232 (maxim) hoac DS275 (dallas), SN75150-SN75154. Loai MAX232
thong dung hn ca v ch can nguon 5V, nguon 10V do mach dao ong 16 Khz ben
trong cung cap.
MAX232
Mach lai ng day : cac IC thong dung la MAX232, MC 1488 va MC 1489,
DS275
Trong cac he phat trien se c trnh bay, viec ghep noi vi may tnh c thc
hien qua cong noi tiep. Thong thng, co 2 cach ghep noi bo vi x ly vi cong noi tiep.
1/ Cach n gian la dung transistor lam bo em.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

194
2/ Ghep noi cac ng truyen va nhan (TxD va RxD, chan 10 va 11) cua bo vi x ly vi
bo em /nhan dung vi mach, chang han loai MAX232 cua hang MAXIM.
Vi mach MAX232 chuyen oi mc TTL loi vao thanh mc +10V hoac 10V
pha truyen va cac mc +3V+15V hoac 3V-15V thanh mc TTL pha nhan.Hnh
sau mo ta cach sap xep chan va s o cau truc vi mach MAX232.

U2
MAX232
13
8
11
10
1
3
4
5
2
6
12
9
14
7
R1IN
R2IN
T1IN
T2IN
C+
C1-
C2+
C2-
V+
V-
R1OUT
R2OUT
T1OUT
T2OUT

(a)





5V
+

DTR
RTS



Loi vao Loi ra

TTL/CMOS RS232
Loi ra Loi vao






(b)

Hnh 6.3:Sap xep chan (a ) va s o cau truc (b) cua vi mach MAX232
ng dan TxD dan trc tiep en chan 11 cua vi mach MAX232, con bo em
loi ra chan 14 c noi trc tiep vi chan 2 cua cong noi tiep.
+5V +10V
---------------------
+10V -10V



PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

195
Viec sap xep chan cong noi tiep c la chon sao cho co the dung 1 cap noi
trc tiep cua he phat trien vi cong noi tiep cua may tnh , thng dung COM2.
Vi ng dan RxD, moi viec cung dien ra tng t, chan 13 cua vi mach c
noi vi chan 3 cua cong noi tiep.
Vi ma ch MAX232 co 2 bo em va 2 bo nhan. ng dan ieu khien loi vao CTS, ieu
khien viec xuat ra d lieu cong noi tiep khi can thiet, c noi vi chan 9 cua vi mach
MAX232. Con chan RTS ( chan 10 cua MAX) noi vi ng dan bat tay e ieu khien
qua trnh nhan. Thng th cac ng dan bat tay c noi vi cong noi tiep qua nhng
cau noi, e khi khong dung en co the e h mach cac cau nay. Cach truyen d lieu n
gian nhat en may tnh PC la ch dung 3 ng dan : TxD, RxD va GND ( hoac mass).

6.3.IC thu phat vn nng bt ng b UART
Viec trao oi so lieu cua may tnh c thc hien thong qua vi mach
UART(Universal Asynchronous Receiver Transmitter), con vi vi ieu khien hay
PLC th co cac vi mach chuyen dung hoac c tch hp trong vi x l.
Gii thieu UART 8251/8250
8250: UART, bo thu phat bat ong bo a nang.
8251: USART, bo thu phat bat ong bo va ong bo a nang.

6.3.1. USART 8251


song song D0-D7
TxD noi tiep



RxD

Hnh 6.4
Ket noi 8251 va vi x ly.
1.ac tnh:
1.1. Che o bat ong bo
Khung d lieu truyen noi tiep gom bit start, d lieu, parity va bit stop.
-so bit cua ky t : 5 8 bit.
-stop bit: 1, 1+1/2,2 bit.
-toc o: co cac tha so toc o 1xclock, 16xclock, va 64xclock.
-co the phat hien sai: kiem tra parity, loi khung.
1.2. Che o ong bo
-so bit: 5 8 bit.
-ong bo ben trong, ong bo ben ngoai.
ong bo ngoai: chen them ky t ong bo (ky t sync).
2.S o khoi:
S o chan:
CPU 8251

TxDRDY

RxDRDY
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

196


1 28

2 27
3 26

4 25
5 24

6 23
7 22

8 21
9 20
10 19

11 18

12 17
13 16

14 15

Hnh 6.5
/DSR: data set ready.
/DTR: data terminal ready.
/RTS: request to send.
/CTS: clear to send.
S o khoi:














D2 D1

D3 D0

RxD Vcc

GND /RxC

D4 /DTR

D5 /RTS

D6 8251 /DSR

D7 RST

/TxC CLK

/WR TxD

/CS TxE

C//D /CTS

/RD SYNDET/BD

RxRDY TxRDY
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

197



D0-D7 TxD



TxRDY
Reset
CLK TxE
/TxC
/RD
/WR
C//D

/CS
RxD


/DSR
/DTR
RxRDY
/CTS /RxC
/RTS

SYSDET/BD
Bus d lieu ben trong

Hnh 6.6: S o khoi 8251

3.Lap trnh 8251:
-T lenh mode.
-T lenh command.
C//D: =0 :port ieu khien.
=1: port d lieu.









Data bus
buffer
Read/write
control logic
Modem
control
Transmitter
buffer
Transmitter
control
Receive
buffer
Receive
control
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

198


TxD

RxD

TxRDY
TxE

RxRDY
Syndet
/CS
/RxC
/TxC





/Reset
Hnh 6.7

Mach giai ma: vao ra tach biet.
+port ieu khien : a ch 71h
+port d lieu: a ch 70h.
A7 A6 A5 A4 A3 A2 A1 A0
0 1 1 1 0 0 0 0/1

A1

A2

A3
A4
/CS
A5

A6
A7

/IORQ

Hnh 6.8
Chu y:
CPU D0-D7


/RD

/WR



A0
8251
D0-D7

/RD


/WR


C//D

/CS


Reset /CTS
Baud rate
generator
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

199
.CLK>30 lan toc o truyen, nhan.
Th du: toc o truyen 19200 baud CLK=30x19200=576 Khz.
. Toc o thu phat:
he so toc o : x1, x16, x64
he so 16: neu toc o baud =1200 baud th tan so thu va phat la 1200x16=19200 Hz.
3.1.Lap trnh 8251 che o bat ong bo.
Xuat ra port ieu khien.
-xoa (xoa ben trong hay xoa ben ngoai).
-phat lenh MODE.
-lenh command.
Lenh MODE che o bat ong bo :
D7 D0
S2 S1 EP PEN L2 L1 B2 B1

B2 B1 :
0 0 sync mode
0 1 : 1x
1 0 : 16x
1 1 :64x
L2 L1
0 0 : 5 bit
0 1 : 6 bit
1 0 : 7 bit
1 1 : 8 bit
PEN=0 : disable
=1 : enable
EP(even parity gen/check)= 1 : even (chan)
=0: odd (le).
S2 S1 so stop bit
0 0 : 0
0 1 : 1
1 0 : 1,5
1 1: 2
Th du: t mode =0DAh= 1 1 0 1 1 0 1 0
Lenh Command : (cho ca hai cha o Async va Sync).
D7 D0
EH IR RTS ER SBRx RxEN DTR TxEN
TxEN =1 : cho phep truyen.
=0 :DIS
DTR= 1 : /DTR=0
=0 : /DTR=1
RxEN (receive enable)
=1 : EN cho phep
=0 : DIS cam
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

200
SBRx (send break char)=1 : TxD=0
=0 :normal
ER (error reset)= 1: reset OE, PE, FE ve 0.
RTS=1: /RTS=0
=0: /RTS=1
IR (Internal reset cho UART ve mode (reset noi)
EH (enter hunt mode)=1 : EN (che o sync).
Th du : lenh command la 37H= 0 0 1 1 0 1 1 1
Th du: Khi ong 8251 che o bat ong bo, 7 bit data, 2 stop bit, parity le, toc o x16,
at /DTR va /RTS active (tch cc).
Chng trnh (viet bang lenh cua Z80 CPU)
LD A, 40H; reset
OUT (71H), A ; lenh command
LD A, 0DAH; 7 bit data, 2 stop bit, odd parity, 16x.
OUT (71H), A; lenh mode
LD A, 37H; RTS, DTR, error reset, EN
OUT (71H), A; lenh command
Lenh hp ng 8051:
Mov dptr,#71h
Mov A,#40h
Movx @dptr, A
Mov a,#0DAh
Movx @dptr, A
Mov A,#37h
Movx @dptr, A
Phat: canh xuong TxC.
Thu: canh lenh RxC , lay mau gia bit.
oc trang thai:
oc trang thai cua 8251: C/D=1
D7 D0
DSR SYNDET FE OE PE TxE RxRDY TxRDY


Theo nh ngha cac chan

TxRDY bitTxRDY pin


TxRDY pin=/CTS. TxRDY bit. TxEN bit


3.2. Lap trnh 8251 che o ong bo.
Xuat ra port ieu khien:
-reset (ben trong hay ben ngoai).
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

201
-lenh mode.
-Mot hoac 2 ky t ong bo.
-Lenh command.
*Lenh mode 8251 che o ong bo:
SCS ESD EP PEN L2 L1 0 0

L2 L1:
0 0: 5 bit
0 1: 6 bit
1 0: 7 bit
1 1: 8 bit
PEN=1: EN
=0: DIS
EP=1: even parity
=0: odd parity
ESD: external sync detect
=0: syndet: out (ong bo ben ngoai)
=1: syndet in (ong bo ben trong)
*Lenh command (giong Async)
char c gi i

Sync1 Sync 2 Char 1 Char 2 ... Char N Sync 1 Sync 2
Data

/CTS=0 /CTS=1
TxEmpty=1 (trong)
khi ong 8251

6.4.Cac chun va phng thc truyn qua cng ni tip
-RS232 (xem 6.2)
-RS485
6.4.1. Chuan RS 232C: xem 6.2

6.4.2.MANG 485
Chuan RS232 dung ng truyen khong can bang v cac tn hieu en lay iem
chuan la ng mass chung, do o toc o truyen va khoang cach truyen b gii han.
Khi can tang khoang cach va toc o truyen phng phap truyen 2 day tr nen
hu hieu hn v hai day co ac tnh giong nhau, tn hieu truyen i la hieu so ien ap
gia hai day, o o loai tr c nhieu chung. Hai chuan thng dung la RS 422 va RS
485. Tuy nhien chuan RS 422 t thong dung hn.
Chuan RS 422 dung 4 day do o cho phep truyen song cong tc la cung luc co
the thu va phat. Tn hieu t may tnh theo chuan RS 232 co mc ien ap +/-12V phai
oi sang mc TTL 0-5V dung vi mach MAX232, sau o tn hieu n c oi sang
tn hieu vi sai dung vi mach MAX 485. ien ap vi sai phai ln hn 200mV. Neu
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

202
V
AB
>200mV th ta coi nh logic 1 c truyen con neu V
AB
<-200mV th logic 0 c
truyen.
Chuan RS 422 khong cho phep co hn hai thiet b truyen nhan tin tren ng
day v vay chuan 485 thong dung hn.
Vi chuan 485 ta co the noi 32 thiet b thu phat tren 2 day khoang cach toi a
1200 met va van toc truyen 10 Mbit/s.
-Chuan ghep noi RS-422A: dung truyen can bang va at c hieu suat cao hn: 100
kbps 1200 m ti 10 Mbps 12 m.
Ch co 10 mach dung cho hieu suat cao la :
Send data (SD) Request to send(RS)
Receive data (RD) Clear to send (CS)
Terminal timing(TT) Receive Ready(RR)
Sending timing(ST) Terminal Ready(TR)
Receive timing(RT) Data nmode(DM)
Moi ma ch tren oi hoi hai day, dung day dan xoan. Ca hai day can bang va khong can
bang thng ng chung trong mot vo cap.
-Chuan ghep noi RS-485: chuan nay m rong chuan RS-422, cho phep truyen toi a 32
tram(co ac tnh ba trang thai-cau truc BUS) so vi chuan RS-232C ch co 2 tram. Mc
logic 1 giong chuan RS-422 ngha la nam trong vung ien ap t -1,5V en -6V, con
mc logic 0 trong vung ien ap t +1,5V en +6V. Giong nh RS-422, RS-485 tao
tren hai day dan truyen mot ien ap vi phan 5V

Hnh 6.10: Nguyen ly giao tiep RS-485(Hnh 10.3 trong sach [2], trang 340)
Cac bo thu phat ghep vi ng day qua bo chuyen oi RS232-485.




PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

203





ien tr au
cuoi












Hnh 6.11:

Bo chuyen oi s dung vi mach oi mc ien ap t 12V sang 0 5V, thng dung vi
mach MAX 232, ADM 232 co hai bo chuyen oi TTL 232 va hai bo chuyen oi
232 TTL. Vi mach ADM 235 co nam bo chuyen oi moi loai. Sau o tn hieu n
TSD c oi ra vi sai dung vi mach Max 485, LTC 1485.. con tn hieu vi sai vao c
oi ra n RXD. Vi mach 485 dung nguon +5V.



Vcc=+5V
RO

/RE B


DE A


DI GND



MAX 485
75176B

May
tnh
Chuyen
oi
CHuye
n oi
Chuye
n oi
Thiet b
dung
chuan
RS232
Thiet b
dung
chuan
RS232
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

204
Hnh 6.12:
/RE: cho phep thu, RO thay oi theo V
AB
; neu V
AB
>200 mV th RO=High, neu V
BA
>200
mV th RO=Low.
DE: cho phep phat, khi mc thap at A va B tong tr cao. Khi DI=High, DE=High
V
AB
>200 mV, DI=Low, DE=High V
BA
>200 mV.






































Hnh 6.13: Mot so vi mach chuyen oi TTL-232 thong dung (H7.9 trong sach [1]).
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

205







































Hnh 6.14: Mach chuyen oi RS232-485(H7.10 trong sach [1]).
Mach chuyen oi RS232 RS485:
My tnh PC h tr mt s cng giao tip vi bn ngoi nh cng ni tip (RS232 ) , hay cng song
song (LPT) hoc giao tip qua Slot card hay USB. Trong iu kin cho php v mt k thut c hai giao tip
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

206
c th thc hin d dng l giao tip ni tip v giao tip qua cng song song, giao tip bng Slot card hay
USB rt kh thc hin i hi cng ngh cao v mt s IC chuyn dng.
Vi yu cu ca b iu khin l giao tip d liu i hi tc truyn ln khong 10Kbyte/1s v truyn tng
cm data. Tc ca port ni tip ln nht m vi iu khin c th thc hin c l 9600bit/s hay
1,2Kbyte/s.
Nhim v: chuyn i tn hiu t cng RS232(COM) ca my tnh sang cng RS485, do chun ca my tnh
l cng COM v mun truyn thng data i xa th phi chuyn i sang RS485(ng dng mng truyn thng
cng nghip).
S nguyn l kt ni MAX232

S nguyn l khi pht vi b chuyn i tn hiu TTL thnh RS485:

Chn DE c ni ln Vcc cho php SN75176B thc hin chc nng pht tn hiu. Tn hiu TTL t ng ra
chn 12 MAX232 qua SN75176B chuyn i thnh tn hiu RS485 vi ng truyn 2 dy cn bng t i
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

207
n cc mun iu khin. Mch c led hin th trng thi pht v in tr R2 ng vai tr nh in tr ko
ln ti chn pht. Jumper H c th tho ra khi khng cn dng n hai in tr phn cc.
Xc nh in tr u cui
in tr u cui R3 c xc nh da vo tr khng c tnh ca ng truyn. Thng th tr khng c
tnh ca ng truyn ph thuc vo cu to, chiu di ca dy v c gi tr trong khong 100 - 120. Qua
tham kho nhiu ti liu th gi tr in tr u cui thng c s dng l 120.
Trong trng hp c bit l mt mng ch c mt trm pht v trm pht li nm u cui ca ng
truyn th khng cn t in tr u cui ngay pha trm pht v lc ny tn hiu truyn i c xut pht t
chnh trm pht u cui ny.
Nh vy vi h thng iu khin nh trn th khi pht vi b chuyn i tn hiu TTL thnh RS485 ng vai
tr nh mt trm pht u cui v n ri vo trng hp c bit. in tr R3 lc ny c th c b i
gim ti cho khi pht.


S khi thu vi b chuyn i tn hiu RS485 thnh TTL.
Chn RE c ni xung mass cho php SN75176B thu nhn tn hiu RS485 vo v chuyn i n thnh tn
hiu TTL a vo MAX232. Mch thu do s dng bus ring nn cn in tr u cui v hai in tr phn
cc R4, R5. Mch thu c led hin th v ng ra R c in tr ko ln 1k.
Xc nh in tr phn cc R4, R5
in tr R4 v R5 l 2 in tr phn cc dng p ng truyn ln mc cao khi ng truyn rnh v ch
c gn m-un chuyn i gia RS232 v RS485. Chng gip cho in p sai bit VAB trn 2 dy A, B
lun 200mV. Gi tr ca R4 v R5 ph thuc vo gi tr ca hai in tr u cui v s lng cc trm
RS485 (1 trm c th coi nh l 1 IC SN75176B) trn ng truyn.
tin vic m rng s m-un sau ny, ta s tnh ton in tr R4, R5 cho mt h thng c 32 m-un tng
ng vi 32 trm. V d nhin hai gi tr in tr ny s p ng tt cho h thng c t hn 32 m-un. Nh
vy ta c:
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

208
32 trm RS485, tr khng ng vo 12K cho 1 trm
2 in tr u cui 120
VCC = 5VDC
Chng ta hnh dung 32 trm v 2 in tr u cui s mc song song vi nhau to nn 1 ti RT, v in tr
RT ny li mc ni tip vi 2 in tr phn cc R4, R5
32 trm song song s c gi tr in tr 375
2 in tr u cui song song s c gi tr in tr 60
in tr RT:
RT = 375 x 60/(375+ 60) = 52
c t nht VAB = 200mV, ta cn c dng chy qua in tr RT l:
I = V/RT
= 200mV/52
= 3,8mA
in p cn li 4,8V s ri u trn 2 in tr phn cc:
VR4 = VR5 = 4,8V/2 = 2,4V
Cui cng ta c:
R4 = R5 = 2,4V/3,8mA = 631
Vy trong mt chng mc cho php gi tr in tr R4, R5 631 s m bo cho VAB 200mV. y ta
chn gi tr R4 = R5 = 620 l hp l.

6.5. Mach giao tiep cong noi tiep ,cac chng trnh mu


6.5.2.Mach giao tiep cong noi tiep va Chng trnh mau
Mach giao tiep cong noi tiep
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

209

H6.16. Chuyen oi A/D dung cong noi tiep 9600, 8, E,1

Chng trnh oi AD noi tiep viet bang ngon ng C
/*Chuong trinh doi AD noi tiep*/
#include<dos.h>
#include<stdio.h>
#include<conio.h>
#define PORT1 0x3F8
#define INTVECT 0x0C
int bufferin=0;
int bufferout=0;
unsigned char ch;
char buffer[1025];
void interrupt PORT1INT /*IRS cho PORT1*/
{
int c;
do { c=inport(PORT1+5);
if (c&1) (buffer[bufferin]=inportb(PORT1);
bufferin++;
if (bufferin=1024) {bufferin=0;}
}while (c&1);
outportb(0x10,0x20);
}
void main(void)
{
int c;
outportb(PORT1+1,0) /*cam ngat port 1*/
oldport1isr=getvect(INTVECT);
setvect(INTVECT,PORT1INT);
/* PORT1-Dat che do*/
outportb(PORT1+3,0x80);/*SET DLAB ON*/
outportb(PORT1+0,0x0C);/*Dat Baud rate 9600 bps*/
outportb(PORT1+1,0x00);
outportb(PORT1+3,0x03);/*8bits, No parity, 1 stop bit*/
outportb(PORT1+2,0xC7);/*FIFO Control Register*/
outportb(PORT1+4,0x0B);/*Cho DTR, RTS, va OUT2 ON*/
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

210
outportb(0x21,(inportb(0x21)&0xEF));
outportb(PORT1+1,0x01);/* interrupt khi nhan data*/
printf("\n\chuong trinh doi AD noi tiep. Nhan ESC de thoat\n");
do {
if (bufferin!=bufferout){ch=buffer[bufferout];
bufferout++;
if (bufferout==1024){bufferout=0;}
printf("%u\n",ch);
printf("%f volts\n",(float)ch/256*5);}
if (kbhit(){c=getch();
outportb(PORT1,c);
}while(c!=27);

outportb(PORT1+1,0);
outportb(0x21,(inportb(0x21)|0x20));
setvect(INVECT,oldport1isr);
}


Chng trnh truyen noi tiep dung ngon ng C
/*Xuat ky tu nhan duoc ra man hinh va doc ky tu tu ban phim gui noi tiep*/
#include<dos.h>
#include<stdio.h>
#include<conio.h>
#define PORT1 0x3F8
/*COM1 0x3F8*/
/* COM2 0x2F8 */
/* COM3 0x3E8 */
/* COM4 0x2E8 */
void main(void)
{
int c;
int ch;
outportb(PORT1+1,0);/*Cam ngat port 1*/
/* Dat cau hinh port1 */
outportb(PORT1+3,0x80);/*SET DLAB ON*/
outportb(PORT1+0,0x03);/*Set baud rate-Divisor Latch Low byte*/
/* Default 0x03=38,400 bps*/
/* 0x01=115,200 bps*/
/* 0x02=56,700 bps*/
/* 0x06=19,200 bps*/
/* 0x0C=9,600 bps*/
/* 0x18=4,800 bps*/
/*0x30 = 2400 bps*/
outportb(PORT1+1,0x00);/*Set baud rate-Divisor latch high byte*/
outportb(PORT1+3,0x03);/*8bits, No parity, 1 stop bit*/
outportb(PORT1+2,0xC7);/* FIFO Control register*/
outportb(PORT1+4,0x0B);/*Turn on DTR, RTS and OUT2*/
printf("\nj Press ESC to quit\n");
do
{
c=inportb(PORT1+5);/* Xem co nhan duoc ki tu khong*/
if(c&1){ch=inportb(PORT1);
printf("%c",ch);}/*Xuat ki tu ra man hinh*/
if(kbhit()) {ch=getch();/*Doc phim bam*/
outport(PORT1,ch);} /*Gui ki tu*/
}while(ch!=27);
}

Chng trnh giao tiep noi tiep dung ngat
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

211
#include<dos.h>
#include<stdio.h>
#include<conio.h>
#define PORT1 0x2E8
#define INTVECT 0x08 /*Com port s IRQ here*/
int bufferin=0;
int bufferout=0;
char ch;
char buffer[1025];
void interrupt (*oldport1isr)();
void interrupt PORT1INTO(); /*Interrupt Service Routine (IRS) for PORT1*/
{
int c;
do { c=inportb(PORT1+5);
if (c&1) {buffer[bufferin]=inportb(PORT1);
bufferin++;
if (bufferin==1024) bufferin=0;}
} while (c&1);
outportb(0x20,0x20);
}
void main(void)
{
int c;
outportb(PORT1+1,0);
oldport1isr=getvect(INTVECT) ;/*cat vect ngat cu*/
setvect(INTVECT, PORT1INT) ; /* ac vect ngat mi*/

/*COM1 0x0C*/
/* COM2 0x0B */
/* COM3 0x0C */
/* COM4 0x0B */
outportb (PORT1+3, 0x80);/*SET DLAB ON*/
outportb (PORT1+0,0x03);/* Set baud rate-Division Latch Low Byte*/
/*default 0x03=38,400 bps*/
/*0x01=115,200 bps*/
/*0x20=56,700 bps*/
/*0x06=19,200 bps*/
/*0x0C=9,600 bps*/
/*0x18=4,800 bps*/
/*0x30=2,400 bps*/
outportb (PORT1+1,0x00);/*Set baud rate-Division Latch Hight Byte*/
outportb (PORT1+3,0x03);/*8 bits, No parity, 1 Stop bits*/
outportb (PORT1+2, 0xC7);/*FIFO Control Register*/
outportb (PORT1+4,0x0B);/*Turn on DTR, RTS, and OUT2*/
outportb (0x21,(inportb(0x21)&0xF7));/*Set programmable Interrupt Controller*/
/*COM1 (IRQ4)=0xEF*/
/*COM2 (IRQ3)=0xF7*/
/*COM3 (IRQ4)=0xEF*/
/*COM4 (IRQ3)=0xF7*/
outportb (PORT1+1,0x01); /* Ngat khi thu*/
printf(\n Press ESC to quit\n);
do{
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

212
if(bufferin!=bufferout) {ch=buffer[bufferout];
bufferout++;
if (bufferout==1024) bufferout=0;
printf(%C,ch);}
if (kbhit()) {c=getch();
outportb (PORT1,c);}
}while (c!=27);
outportb (PORT1+1,0);/*Turn off interrupt-port1*/
outportb (0x21, (inportb(0x21)|0x08));/*MASK IRQ using PIC*/
/*COM1 (IRQ4)=0x10*/
/*COM2 (IRQ3)=0x08*/
/*COM3 (IRQ4)=0x10*/
/*COM4 (IRQ3)=0x08*/
setvect(INTVECT,oldport1isr) ;/*hoi phuc vect ngat cu*/
6.6. Modem
Modem la thiet b bien oi tin so (dang 0,1) thanh tin tng t cua song mang
cao tan (ieu che, ieu bien hay bien hoan) va ngc lai, loc tn hieu ieu bien (tn
hieu song mang cao tan co bien o hay tan so hoac pha thay oi theo tn hieu so)
thanh tin so (giai ieu che, giai ieu bien hay giai bien hoan). Tom lai, modem cho
phep bien oi cac tn hieu so nh phan thanh cac tn hieu tuan hoan hnh sin tng t
co tan so phu thuoc bang c s, co ac tnh ve bien o, ve tan so hoac ve pha la ac
tnh cua tn hieu so nh phan.
Khi can truyen tn hieu i xa , nhat thiet phai dung modem e truyen cac tn hieu so tren
ng day ien thoai co san danh truyen cac tn hieu tng t am tan co dai tan t
300Hz en 3300Hz e chong nhieu, chong suy giam bien o do o nang cao ty so tn
hieu/ tap am.

6.7.Mch lap trnh vi ieu khien ATmel 89C51
Mach nap vi ieu khien Atmel AT89C51/52/55 dung cong noi tiep COM 1 /COM2
(RS232C).

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

213

Hnh 6.17
Tham khao : 1/.Website: http://www.kmitl.ac.th/~kswichit/
2/.Bai bao Bo nap cho 89C5X cua tac gia Nguyen Huy Thuy, inh Cong Huan, Tap
ch T ong hoa ngay nay, trang 56-57, Thang 8(so 48), 2004.
Cau hoi va bai tap:
Chng 6: Giao tiep qua cong noi tiep
1.Nhc iem cua trao oi tin song song va yeu cau trao oi tin noi tiep.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

214
2.Phan loai trao oi tin noi tiep. ac iem va ng dung cua tng loai trong trao oi tin
thc te.
3.So sanh chuan truyen noi tiep RS-232C, RS-422A va RS-485.
4.So sanh cac che o trao oi tin khong ong bo va ong bo, neu ac iem va ng dung
cua tng loai.
5.Trao oi tin trong may vi tnh IBM-PC va tren mang may tnh (LAN, Internet, cong
nghiep).
6. Ve giai thuat va viet chng trnh trao oi tin noi tiep che o khong ong bo cho
may PC-IBM vi vi mach Intel 8251/8250 bang hp ng.
7. Nghien cu va viet chng trnh cho mach ghi AT89C51.
8. Cho mach giao tiep cong noi tiep:


Viet chng trnh oi AD noi tiep viet bang ngon ng C
Chng trnh truyen noi tiep dung ngon ng C
Chng trnh giao tiep noi tiep dung n gat.
Tham khao :
[1]. Nguyen c Thanh, o lng va ieu khien bang may tnh, NXB HQG Tp. HCM,
2002 va 2005(lan 2).
[2]. Ngo Dien Tap, o lng va ieu khien bang may tnh, NXB KHKT, Ha noi, 1999.
[3].Nguyen Manh Giang, Ky thuat ghep noi may vi tnh, NXB GD, tap 1: 1998, tap 2:
2006.
[4]. Ngo Dien Tap, Ky thuat ghep noi may vi tnh.
[5]. PC-AT Technical Reference
[6]. Serial port complete, Jan Axelson.

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

215
6.8.GIAO TIEP QUA CONG USB

Trao oi tin noi tiep tren ng day a nang(USB)
6.8.1.Tng quan v USB
Ngay nay cac may tnh eu co trang b t nhat hai cong USB (universal serial
bus) e ket noi vi may in, camera, chuot, thanh nh flash rom, modem,.. ac iem
USB la toc o truyen ln, plug and play, co the gan va thao nong, khong can nguon
cung cap cho thiet b va co the ket noi nhieu thiet b tren mot bus chung. USB la san
pham cua nhieu cong ty nh Intel, Compaq, HP, lucent, Microsoft, NEC, Philips. Co
hai chuan USB 1.1 (nam 1998) va USB 2.0(nam 2000) nhanh hn. Ba van toc truyen
480 Mb/s, 12 Mb/s va 1,2 Mb/s.
Yeu cau trao oi tin noi tiep tren ng day USB
6.8.1.1. Cac cong noi tiep cua cac may PC-IBM hien ai
May vi tnh PC-IBM vi vi x l Pentium III va IV co cac cong noi day n h
hnh. Ngoai cac cong COM truyen thong(PS/2 Mouse va PS/2 keyboard, COM1,
COMa, COMb) con co cong cho mang LAN(LAN port) va cong USB (USB port).
6.8.1.2. Yeu cau trao oi tin vi nhieu thiet b ngoai noi tiep
Ngoai chuot , ban phm va trao oi vi thiet b ngoai noi tiep khac(thiet b au
cuoi, may vi tnh khac qua cong COM RS232), may vi tnh hien ai con yeu cau trao
oi tin noi tiep vi nhieu thiet b ngoai khac.
Cac thiet b a phng tien (Multimedia) nh may in, TV/Video, camera so, may
ien thoai, a hnh/tieng.. can ghep noi vi may vi tnh e lu tr, x ly d lieu va
van hanh. Nh may vi tnh, cac thiet b ngoai so tren co the van hanh che o ON
LINE(tren ng day) di s ieu khien cua may vi tnh, em lai nhieu hieu qua va
tnh nang vt troi.
e ap ng yeu cau trao oi tin vi cac thiet b ngoai, chung ta khong the to
chc nhieu o cam tren may vi tnh, ma phai to chc ng day(Bus) ghep noi noi
tiep vain nang(USB) vi cac au tiep xuc HUB theo kieu tang gom nhieu hnh sao
noi tiep.
6.8.2.c tnh cng USB
To chc ng day
6.8.2.1. Cach ghep noi

6.8.2.2. ng day va o cam vao may vi tnh
Cong USB co 4 chan gom hai day nguon va hai day tn hieu vi sai.
Chan Ten Mau day Cong dung
1 Vcc o +5V DC
2 D- Trang D lieu(-)
3 D+ Xanh luc D lieu(+)
4 GND en at
Khi cam thiet b vao cong USB ien ap tren day 2 va 3 thay oi bao cho bo ieu
khien USB co thiet b gan va bat au mot loat trao oi thong tin nhan dang thiet b
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

216
gan vao e nap driver phu hp cho thiet b. Muon gan nhieu thiet b vao mot cong ta
dung hub. So lng thiet b USB toi a la 127.
6.8.2.3. Bo truyen nhan

6.8.2.4. Vi ieu khien USB 8x930
6.8.2.5. Phien ban USB 2.0

Nhieu may tnh khong con thiet ke cong COM, do o gay bat tien khi can giao
tiep vi cac thiet b khong ho tr USB. Nhieu hang a che tao ca c ma ch chuyen oi
t USB sang RS232 hay RS422, RS485. Nguyen tac la dung mot vi mach la m gao
tiep vi may tnh theo chuan USB va giao tiep vi thiet b khac theo chuan cua cong
COM. Phan mem driver se coi thiet b nh la cong COM bnh thng va ta lap trnh
giao tiep vi thiet b ngoai vi nh la vi cong COM, qua trung gian mach chuyen oi.
Th du : xet san pham cua hang FTDI (future technology devices international
Ltd.)dung vi mach FT232BM, s o khoi vi mach nay trnh bay hnh 7.





























PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

217




Hnh 6.18: S o khoi vi mach FT232 chuyen oi USB-COM.
Phan sau mo ta cac khoi chnh
3.3V LDO regulator: tao nguon 3,3V cho cac khoi khac.
USB transceiver: lai tuyen d lieu.
USBDPLL: vong khoa pha.
Serial interface engine: chuyen oi song song noi tiep, nen tn hieu va kiem tra
chong sai.
USB protocol engine: tao va kiem tra giao thc USB.
Dual port TX buffer: cha d lieu truyen.
Dual port RX buffer: cha d lieu thu.
UART FIFO controller : ieu khien truyen d lieu gia buffer va thanh ghi UART.
EEPROM interface : cha thong so nhan dang, neu khong co linh kien nay th dung
thong so do nha san xuat cai san trong chip.



























PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

218















Hnh 6.19: S o mach chuyen oi USB-RS232

6.8.3.Trao oi tin
6.8.3.1. Dang tin
a)Mc va dang tn hieu:
Tn hieu cua tin USB la tin so TTL vi mc tn hieu la 0V va 3,3V, hoan toan tng
thch vi tn hieu so cua may vi tnh, khong can khoi ghep noi chuyen oi mc nh
RS-232. Tn hieu c truyen theocac goi d lieu USB, khoang cach gia cac goi la
1ms. Tuy toc o , moi goi tin co o rong 667ns(toc o cham vi 1,5 Mbit/s) hay 83,3
ns (toc o cao vi 12 Mbit/s).
b)Cac khung tin:
6.8.3.2. Thu tuc trao oi tin
6.8.3.3. Cac loai truyen d lieu cua USB
6.8.3.4. Cai at , g thiet b ngoai
6.8.3.5.Phan mem phuc vu USB
a) Cac bang liet ke
b) Phan mem ieu khien USB(driver)
Board phat trien :
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

219

Bang : Nhieu nha san xuat tao ra bo ieu khien USB ma tng thch vi cac ho vi ieu
khien hien hanh.

Cac nha san xuat e ngh board phat trien va phan mem sa loi kem theo lam
cho cac nha phat trien de dang s dung chip.

Hnh 6.20: Board I2C/IO cua hang DeVaSys Embedded Systems cha EZ-USB va mot
so tuy chon cho I/O.
EZ-USB la s chon la t nhien v phan deo( firmware) co the tai ve t host nen ta
khong phai quan tam ve lap trnh phan cng. Board I2C/IO cua hang DeVaSys
Embedded Systems cha chip AN2131 EZ-USB, mot ket noi vi 20 bit I/O, giao tiep I2C
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

220
cho truyen noi tiep ong bo va 1 giao tiep bat ong bo. 24LC128 tren mach la eeprom
I2c cha 16 Kbytes d lieu, bao gom IDs cua nha san xuat va san pham, va phan deo .
Board co the nap phan deo cua no t eeprom, hay t host ket noi hay khi bat ien.

6.8.4.Lp trnh giao tip thit b ngai vi qua cng USB
Hien nay e giao tiep vi cong USB co hai cach:
-Tao cong ao, tren c s cong USb sau o truy cap len cong USB nh la trao oi vi mot
cong RS-232.
-S dung file *.DLL, mot file th vien lien ket ong. Chnh file *.DLL ma thng la
PORT.DLL a oi hoi trnh o lap trnh mc ban chuyen nghiep.
Trong trng hp s dung file port.dll, ngi dung can co kinh nghiem lap trnh cac tap
tin ieu khien trong Windows nhieu hn, nhng tat nhien tnh chuyen nghiep cua san
pham viet ra cung cao hn. Tap tin port.dll giup ngi dung vt qua nhng kho khan
khi lam viec trong moi trng Windows la tiep can cac giao dien cua may tnh PC.
Nhng nhiem vu at ra khi viet tap tin port.dll la:
M cac giao dien.
Truyen d lieu theo cach noi tiep.
Tiep can en cac ng dan giao dien.
Nhap vao va xuat ra cac cong.
Phat khoang thi gian e co thi lng chnh xac en miligiay.
Truy nhap ti card am thanh.
Truy nhap qua cong tro chi.
Mot giai phap mi va toan dien hn cua cong ty National Instrument (Hoa Ky) a lam
giam nhe kho khan cho ngi dung muon xay dng cac he thong o lng va thu thap
d lieu qua cong USB bang viec gii thieu vi th trng hai moun NI USB 6008 va NI
USB 6009. Cac thong so ky thuat chnh cua hai moun nay co the ke ra la:
Tam kenh loi vao analog vi o phan giai 12 bit oi vi NI USB 6008 va 14 bit
vi NI USB 6009; toc o lay mau at ti 48 kS/s.
Cap noi mot au vi cong USB tren may tnh, mot au vi cac lo co vt bat tren
moun cho phep moun co the hoat ong theo kieu cam la chay.
Phan mem ieu khien c cung cap kem theo co the chay trong moi trng
Windows, Mac OS X, va Linux OS.
Cac cong vao ra co the s dung cho cac phep o n gian va thu thap d lieu.
Co the c cap ien t USB.

Truyen d lieu gia hai may tnh dung cong USB : chng trnh Superlink
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

221

Hnh 6.21
6.8.5. Cong hong ngoai
Cong hong ngoai (IrDA infrared data association) thng c trang b tren may
tnh xach tay e ket noi vi thiet b so nh may tnh, ien thoai di ong, camera so.. s
dung song tan so 875nm, khoang cach lien lac chng 1m.
Chuan IrDA 1.0 co van toc truyen 2400115,200 kb/s, tng t nh chuan cua
UART. Chuan IR 1.1 co ba van toc truyen 0,576; 1,152 va 4Mb/s truyen tin theo goi
gom hai byte start, a ch, d lieu, CRC va bit stop.

Hnh 22: Chuan IR 1.0

Cau hoiva bai tap:
Chng 7: Giao tiep qua cong USB
1.So sanh chuan truyen noi tiep RS-485 va chuan USB.
2.Trnh bay cac cong noi tiep cua may PC-IBM hien ai.
3.ng day va o cam vao may tnh: au noi bus USB.
4. To chc ng day: cach ghep noi, ng day va o cam vao may vi tnh, bo truyen
nhan, vi ieu khien USB 8x930 va phien ban USB 2.0.
5.Trao oi tin: dang tin, thu tuc trao oi tin, va cac loai truyen d lieu cua USB.
6*. Tm hieu phan mem SuperLink dung ghep noi hai may tnh bang cong USB.
7. Trnh bay cong hong ngoai.
Tham khao :
[1]. Nguyen c Thanh, o lng va ieu khien bang may tnh, NXB HQG Tp. HCM,
2002 va 2005(lan 2).
[2]. Ngo Dien Tap, o lng va ieu khien bang may tnh, NXB KHKT, Ha noi, 1999.
[3].Nguyen Manh Giang, Ky thuat ghep noi may vi tnh, NXB GD, tap 1: 1998, tap 2:
2006.
[4]. Ngo Dien Tap, Ky thuat ghep noi may vi tnh.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

222
[5]. PC-AT Technical Reference
[6]. USB complete, Jan Axelson.


PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

223
CHNG 7: LAP TRNH GIAO TIEP NO I TIEP
7.1. Lap trnh trong DOS
7.1.1.Ngon ng Qbasic:
Lenh khi ong cong COM n:
OPEN COM n,[Baud], [Parity], [Data], [Stop] for RANDOM as#m
N=1,2,3,4; m=1 255
Th du : OPEN COM 2, 9600, E, 7, 2 FOR RANDOM AS#1
Lenh xuat ra mot chuoi :
PRINT #,S$
Lenh oc vao mot chuoi:
INPUT #1, R$
Ngoai ra con co cac lenh truy xuat thanh ghi cua vi mach UART.
7.1.2.Ngon ng Pascal va C:
Dung cac lenh truy xuat thanh ghi.
7.1.3.Trong MsDOS dong lenh anh :
MODE COM n : 96, E, 7, 1 se m COM n.
7.2. Lap trnh dung ngon ng Visual Basic 6.0:
Ngon ng Visual Basic co modul phan mem MSCOMM.OC phuc vu cho
truyen thong , vi Visual Basic 4.0 la MSCOMM16.OCX con vi Visual Basic 6.0 la
MSCOMM32.OCX.
7.2.1.S dng MSComm
MSComm l yu cu iu khin cho thng tin trn cng ni tip
Cai at:
ActiveX MsComm c b sung vo mt Visual Basic Project thng qua menu
Project > Components


Tnh cht
MSComm:c mt s tnh cht lin kt.Tnh cht lin h ti cu hnh ca port,truyn d
liu,s dng tn hiu bt tay,v nhn ra iu khin
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

224
Cu trc:
CommID: tra li vic iu khin khi nhn ra thit b
Commport: t v tr li v tr ca port
Inbuffersize: t v tr li kch thc ca b m.(bng byte)
Inputlen: t v tr li nhng con s hoc nhng k t ng vo s c
Inputmode:t v tr li kiu d liu.(dng ch hay nh phn)tr li bng ng vo
hay c ng ng ra
Nulldiscard:xc nh k t c rng hay khng.(Chr.(0).) c truyn t port ti
b m nhn hoc c b qua
Outbuffersize:t v tr li kch thc ca b m truyn (khong 512 byte)
Parityreplace:kim tra c chn l
Portopen: t v tr li trng thi ca port.(gi tr boolean)
Rthreshold:t v tr li mt s hoc k t ti b nhn trc khi so snh ti
comEvReceive
Settings:t v tr li t truyn,c chn l v d liu v bit stop
Sthreshold:t v tr li s hoc k t nh nht trong b m truyn trc khi so
snh vi comevsend
Phn truyn d liu:
Commevent:tr li hu ht cc s kin hoc li gn y
Inbuffercount:tr li mt s hay k t trong b m truyn
Input:tr li v xo d liu t b m truyn
Outbuffercount:tr li mt s hoc mt k t trong b m truyn
Output:ghi d liu ra b m truyn
Phn iu khin c s bt tay
Break:t hoc xo i tn hiu b hng
Cdholding:tr li trng thi ca CD
CTSHoding:tr li trng thi ca CTS
DSRHolding:tr li trng thi ca DSR
DTREnable:t hoc xo DTR
Handshaking:t v tr li chun bt tay
RTSEnable:t v xo RTS

Th d:
Private Sub Form_Load
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

225
Dim Buffer$ as string
Dung COM1, 9600 baud, khong parity, 8 bit data, 1 bit stop
MSComm1.Comport=1
MSComm1. Settings=9600, N, 8, 1
oc toan bo bo em
MSComm1. Inputlen=0
M cong va gi lenh en modem che o tra li bang ch
MSComm1.PortOpen=True
MSComm1.Output=ATV1Q0 & Chr$(13)
Ch tra li Ok, neu co Ok th ong cong
Do
DoEvents
Buffer$=Buffer$ & MSComm1.Input
Loop Until InStr (Buffer$, OK&vbCrLf)
MSComm1.PortOpen=False
End Sub
Chng trnh tren dung ky thuat hoi vong. Ta co the dung ky thuat s kien
object.commevent. Khi co s kien xay ra chng trnh cho cong object_oncomm() se
c goi e x ly cac s kien hay cac loi.
Private Sub MSComm1_OnComm()
Select Case MSComm1.CommEvent
X ly s kien hay loi bang cach at lenh di moi phat bieu Case
Loi
Case ComEventBreak Nhan Break
Case ComEventFrame Sai frame
Case ComEventOverrun Mat d lieu
Case ComEventRXOver em thu tran
Case ComEventRXParity Sai parity
Case ComEventTXFull em phat ay
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

226
Case ComEventDCB Sai khi oc DCB
S kien
Case ComEvCD ng CD thay oi
Case ComEvCTS CTS thay oi
Case ComEvDSR DSR thay oi t 1 xuong 0
Case ComEvRing RI thay oi
Case ComEvReceive So byte em thu at mc Rthreshold
Case ComEvSend So byte em phat t hn Sthreshold
Case ComEvEOF Nhan ky t EOF ket thuc file (ma ASCII 26) trong chuoi nhap
End Select
End Sub
7.3. Lap trnh dung ngon ng lap trnh DELPHI 5.0 va VISUAL C++ 6.0
Hai ngon ng nay dung cac ham cua Win API32.
Do tnh chat phc tap cua cac ham va gii han cua giao trnh, xin trnh bay van
tat e ap dung vao chng trnh trong Delphi. Chi tiet co the oc trong Win 32 Program
Reference.
M cong COM dung ham Createfile, ham nay tra ve mot bien (handle). Neu
khong m cong uc bien tra ve -1 ,ong cong dung closehandle bien tra ve la khac
zero, neu tra ve zero la co loi.
Get Commstate lay cau hnh hien tai cua cong cat vao khoi DCB(device control
block).
Set Commstate at cau hnh cong theo noi dung cua DCB.
Purge Comm_ xoa bo em vao ra, cham dt oc , viet.
Writefile viet data (xuat ra cong com).
Readfile oc cong.
EscapeCommFinetion at va xoa RTS hay DTR.
7.4. Lap trnh dung Matlab
Gii thiu v giao tip ni tip gia Matlab vi thit b ngoi vi qua cng
COM:
Cc lnh dng cho vic giao tip:
Instrcallback: Thng tin v s kin khi mt s kin xy ra.
Instrfind: Truy xut cc i tng cng COM t b nh xut ra
Workspace.
Instrfindall: Tm cc i tng cng COM c thuc tnh n v khng
n.
Readasync: c d liu t thit b ngoi vi gi ln theo phng thc
bt ng b.
Record: Lu gi li d liu v thng tin v s kin vo mt tp tin.
Serial: To mi i tng cng COM.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

227
Serial.clear: Xa i tng cng COM khi workspace.
Serial.delete: Xa i tng cng COM khi b nh.
Serial.fgetl: c chui k t c gi ln t thit b ngoi vi nhng
khng c k t kt thc.
Serial.fgets: c chui k t c gi ln t thit b ngoi vi bao gm
c k t kt thc.
Serial.fopen: Kt ni i tng cng COM vi thit b ngoi vi.
Serial.fprintf: Gi mt chui k t xung thit b ngoi vi.
Serial.fread: c d liu nh phn t thit b gi ln.
Serial.fscanf: c d liu t thit b gi ln di dng vn bn.
Serial.fwrite: Gi d liu nh phn xung thit b.
Serial.get: Xem cc thuc tnh ca i tng cng COM.
Serial.isvalid: Xc nh i tng cng COM c hp l hay khng.
Serial.length: Chiu di ca mng i tng cng COM.
Serial.load: Np cc i tng cng COM cng nh cc bin lu
trc vo Workspace.
Serial.save: Lu cc i tng cng COM cng nh cc bin thng
mt tp tin *.mat.
Serial.set: Thit lp hoc hin th cc thuc tnh ca i tng cng
COM.
Serial.size: Xem kch thc ca mng i tng cng COM.
Stopasync: Ngng thao tc c/ghi bt ng b.

Bai tap:
Chng 7: Lap trnh giao tiep noi tiep
1.Viet chng trnh hp ng 8051 va Visual Basic 6.0 e giao tiep va ieu khien led
n. P0 noi 8 led, P1 noi nut nhan.
-Viet chng trnh hp ng 8051 : en sang dan, sang don , sang tat.
-Chng trnh Visual Basic 6.0 tao giao dien ieu khien.
2. Lap trnh giao tiep noi tiep trong DOS.
3. Lap trnh giao tiep noi tiep dung Visual Basic 6.0.
4. Lap trnh giao tiep noi tiep dung Delphi va Visual C++6.0/Borland C++ Builder 5.0.

Tham khao :
[1]. Nguyen c Thanh, o lng va ieu khien bang may tnh, NXB HQG Tp. HCM,
2002 va 2005(lan 2).
[2]. Ngo Dien Tap, Lap trnh ghep noi may tnh trong Windows, NXB KHKT, Ha noi,
2001.
[3]. Ngo Dien Tap, o lng va ieu khien bang may tnh, NXB KHKT, Ha noi, 1999.
[4].Nguyen Manh Giang, Ky thuat ghep noi may vi tnh, NXB GD, tap 1: 1998, tap 2:
2006.
[5]. Ngo Dien Tap, Ky thuat ghep noi may vi tnh.
[6]. Serial port complete, Jan Axelson.

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

228
Chng 8: CAC BO CHUYEN OI D LIEU TNG T - SO ( ADC ) VA SO-
TNG T (DAC). HE THONG THU THAP D LIEU
Tong quan ve vao ra may tnh









Hnh 8.1: Tong quan ve vao ra may tnh
ac iem ri rac hoa va thi gian thc:
-He ieu hanh thi gian thc, ngat.
-Gian o thi gian, mo ta chuoi tuan t-s kien.
-Thu thap d lieu dung may tnh.
-Sai so ri rac hoa, lay mau va khoi phuc d lieu. Loc anti-aliasing, hien thc tng t.
-Sai so lng t hoa.

8.0. H THONG THU THP D LIU NHIU KNH
1 Thu thp d liu
Mc ch ca thu thp d liu l thu thp thng tin ca cc hin tng hay cc
i lng vt l nh l in p, dng in, nhit , p sut hoc m thanh. S thu
thp d liu trn PC s dng mt s kt hp gia m un phn cng, phn mm ng
dng v mt my tnh thc hin vic thu thp. Trong khi mi h thng thu thp d
liu c nh ngha bi yu cu ng dng ca n. Mi h thng chia s mt mc
ch chung thu c, phn tch v nhn thng tin hin c. Nhng h thng thu thp
d liu hp nht nhng tn hiu, cc cm bin, nhng c cu chp hnh, nhng trng
thi tn hiu, nhng thit b thu thp d liu v phn mm ng dng.
Gia
cong
tn hieu
Ngo vao may tnh
May tnh




Kiem tra
ngo ra

X l
Loc so

Kiem tra tn
hieu

Ngo ra may tnh
DAC
loc
Gia
cong
ADC a
hp
Loc
tng
t
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

229

(a)Chc nang cua he thu thap d lieu









Hnh 8.2 : s h thng thu thp d liu

2 .H thng thu thp d liu nhiu knh
H thng thu thp d liu nhiu knh l h thng c th thc hin vic thu
thp d liu, gim st v iu khin ca nhiu i tng cng mt lc, cc i tng
c th ging nhau hay khc nhau. Cc i tng c th l nhit , p sut, lu
lng, H thng thu thp d liu nhiu knh l s thu thp d liu trn my tnh
PC s dng mt s kt hp gia m un phn cng, phn mm ng dng v mt
my tnh o thc hin vic thu thp.
H thu thp d liu thc hin cc nng nng nh sau:
Thu thp d liu t cc thit thit b cng nghip hoc cc cm bin.
Phn tch, x l v thc hin cc php tnh ton trn cc d liu thu thp
c.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

230
Hin th cc d liu thu thp c, kt qu x l, lu tr thng tin thu thp
c ln my tnh.
Vit chng trnh giao tip, gim st v iu khin trn my tnh.
Nhn cc lnh t ngi iu hnh v gi cc lnh n cc thit b iu
khin.
S h thu thp d liu 1 knh:





Hnh 8.3 : S h thu thp d liu 1 knh
S/H:ly mu v gi, ADC chuyn i tng t sang s, DAC chuyn i s
sang tng t
Chng ta c th thit k mt card thu thp d liu 8 bit hoc12 bit giao tip
vi my tnh hoc s dng card thu thp d liu v iu khin ca hng sn xut nh
l card PLC 818L, PCI 1711/1718 HDU ca hng Advantech.

He thong thu thap d lieu nhieu kenh :











Cam
bien
Khuec
h ai
S/H
ADC May vi
tnh
DAC
Khuech
ai
Cam
bien
Qua
trnh
Multip
lexer
Demultip
lexer Khuech
ai
Chap
hanh
Khuech
ai
Chap
hanh
Qu
trnh
Cm bin Gia cng
tn hiu
S/H ADC H vi
x l
v
My
tnh
DAC Khuch i
Chp hnh
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

231

Hnh 8.4: He thong thu thap d lieu nhieu kenh
S/H: lay mau va gi.
ADC: chuyen oi tng t-so.
DAC: chuyen oi so-tng t.
8.1.CHUYEN OI TNG T - SO ( ADC )
Bien oi AD la thanh phan can thiet trong viec x ly thong tin va cac chc nang
ieu khien s dung phng phap so. Tn hieu thc dang analog. Mot he thong thu thap
d lieu phai co cac bo phan giao tiep AD. No se oi d lieu t mot hay nhieu au tiep
nhan ( hay cam bien ) thanh d lieu so a vao bo x ly so. Thng mot he thong thu
nhap d lieu gom cac phan : cam bien, khuech ai, loc , mach lay mau va gi, bo phan
kenh va cac bo AD.
Trong chng nay ta se nghien cu cac khai niem c ban ve bien oi AD, cac ky
thuat thc hien va viec chon la cac phng phap giao tiep.
8.1.1. Bien oi AD :
a. Quan he vao / ra c ban :
Bien oi AD co tnh chat t le. Tn hieu vao tng t V
i
c bien oi thanh mot
phan so x bang cach so sanh V
i
vi tn hieu tham chieu V
ref
. au ra cua bo ADC la
ma cua phan so nay. Bat ky mot sai so V
ref
nao cung dan ti sai so mc ra, v vay
ngi ta co gang gi cho V
ref
cang on nh cang tot.Hnh 2.1.a minh hoa quan he vao /
ra.
Neu ma ngo ra bo AD la n bit th so mc ri rac la 2
n
. oi vi tng ng
mot - mot, tam vao lng t hoa ung theo mc nay. Moi mc ( lng t ) nh vay la
mot gia tr tng t c phan biet vi hai ma ke tiep nhau. No chnh la kch thc cua
bit co trong so nho nhat ( LSB : Least Significant bit ).

n
FS
LSB Q
2

ay Q la mot lng t, LSB ch ra gia tr analog cua mot LSB va FS la mc ngo
vao analog ay thang
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

232
Tat ca cac gia tr analog trong pham vi mot lng t Q eu c bieu dien bi ma so
giong nhau, ma ma nay tng ng vi gia tr trung bnh , c goi la mc ngng. V tn
hieu vao sai khac vi mc ngng i mot ga tr t 1/2 LSB va van c bieu dien b
ma giong nhau nen co sai so lng t hoa la Q = t1/2 LSB trong bat ky qua trnh
chuyen oi AD. Sai so nay co the giam thieu bang cach tang so bit ma ra cua bo AD.
Hnh 8.5.b minh hoa chuyen oi AD 3 bit l tng.
Kch thc 1 LSB la 1/8 FS va tam gia tr vao c lng t hoa thanh 8 mc
t 0 en 7/8 FS . Chu y rang ngo ra nh phan cc ai 111 tng ng vi 7/8 FS ch
khong phai ay thang ( FS - Full Scale ). V co mot ma ra gan cho zero nen ma ra cc
ai cua ADC luon luon la gia tr tng t ay thang tr i 1 LSB.
V
ref


V
i
ADC Ngo ra so
(a)
Ngo ra :
ref
i
V
V
x
x Ma Ngo ra ADC
Q
7/8 111
110
5/8 101 Q
100
3/8 011
010
1/8 001
0 000 Ngo vao analog
0 1/8 3/8 5/8 7/8FS
Cac mc lng t (b)
Hnh 8.5 : Chuyen oi tng t - so
a) : Quan he c ba n.
b) : ac tnh l tng cua bo ADC 3 bit.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

233
b. Sai so chuyen oi :
Bo chuyen oi AD thc te se khac i so vi ac tnh l tng cho hnh 8.1.b.
Ham truyen at thc co the b dch i mot gia tr ( Hnh 8.6.a ). S lech khong (
offset ) hay sai so zero ( zero error ) la gia tr tng t lam cho ng ac tuyen
chuyen oi khong i qua iem khong, ngha la ng ac tuyen thc khong bat au ng
vi gia tr cua 1/2 LSB.
o doc cua ham truyen thc sai khac i o doc l tng ( Ham truyen at l tng
cua ADC co o doc bang 1 ) se tao ra sai so o doc hay sai so khuyech ai ( Hnh 8.6.b
). oi vi hau het ADC thng mai, sai so lech khong va sai so khuech ai hoac la rat
nho hoac c loai bo bi s ieu chnh ban au.
Sai so tuyen tnh khong the loai bo bang ieu chnh. ADC co hai loai phi tuyen .
Meo phi tuyen ( sai so tuyen tnh tch phan ) c ac trng bi s sai lech cc ai cua
ham truyen at so vi ac tnh ng thang khi ma sai so lech khong va sai so khuech
ai eu bang khong ( Hnh 8.6.c ). Con sai so n ieu ( sai so tuyen tnh vi phan ) c
ac trng bi s sai lech cua lng t Q ra khoi gia tr tng t l tng. Neu sai so nay
vt qua 1 LSB th co the mat mot vai ma so ( Hnh 8.6.d ).

Ngo ra ADC




Sai so lech khong

0 FS Ngo vao tng t
a)





PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

234

Ngo ra ADC

Sai so khuech ai




0 FS Ngo vao tng t
b)

Ngo ra ADC




Sai so tuyen tnh

0 FS Ngo vao tng t
c)
x Ma Ngo ra ADC

7/8 111
110
5/8 101
100
3/8 011
010 Ma b mat
1/8 001 Lng t khong l tng
0 000 Ngo vao analog
0 FS

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

235
d)


Hnh 8.6 : Sai so chuyen oi.
a) : Sai so lech khong.
b) : Sai so khuech ai.
c) : Sai so phi tuyen.
d) : Sai so n ieu.

c. o phan giai chuyen oi :
o phan giai la gia tr bien oi nho nhat cua tn hieu vao c yeu cau e thay
oi ma ngo ra AD len mot mc. o phan giai c a ra vi gia thiet bo chuyen oi l
tng, v vay no phan anh kha nang cua bo chuyen oi hn la s hoat ong thc te. o
phan giai c bieu th bang phan tram cua FS ( mV ) hay n gian la so bit chuyen
oi ( V du : ADC co o phan giai 8,10,12 bits .... ).
d. o chnh xac chuyen oi :
oi vi cac ADC, o chnh xac la s sai biet gia gia tr ien ap vao thc so
vi gia tr ien ap tng ng cua ma ra nh phan. o chnh xac c goi la o chnh
xac tuyet oi khi bieu th bang volt va c goi la o chnh xac tng oi khi bieu th
bang kch thc LSB . Mat khac, ay la tong cc ai cua tat ca cac sai so chuyen oi,
bao gom ca sai so lng t hoa. Cac thong so ve sai so chuyen oi thng c cho
rieng re trong tai lieu ky thuat, v vay o chnh xac thng khong c neu ra, tuy nhien
ta co the tnh toan o chnh xac de dang.
e. Toc o chuyen oi :
Toc o chuyen oi cho biet so ket qua chuyen oi trong mot giay, c goi la tan
so chuyen oi f
c
. Cung co the dung tham so thi gian chuyen oi T
c
e ac trng cho
toc o chuyen oi. T
c
la thi gian can thiet cho mot ket qua chuyen oi. Chu y rang f
c

1/ T
c
. Thng f
c
< 1/ T
c
v gia cac lan chuyen oi con co mot khoang thi gian
can thiet e cho ADC hoi phuc lai trang thai ban au. Can lu y rang, mot so ADC co
toc o chuyen oi cao, tat nhien phai tra gia bang o chnh xac giam hoac ngc lai,
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

236
ngha la yeu cau ve o chnh xac va toc o chuyen oi mau thuan vi nhau, tuy yeu cau
s dung, phai tm cach dung hoa cac yeu cau o mot cach hp ly nhat.
f. au vao bo ADC :
Tuy theo cong nghe che tao ma bo ADC co au vao n cc hay lng cc, a
so nam trong khoang 0 5v hoac 0 10v oi vi ADC n cc va - 5v + 5 v ; -
10v + 10 v oi vi ADC lng cc. Tn hieu vao can phai phu hp vi tam vao xac
nh cho tng bo ADC. Neu au vao khong het thang se tao ma vo dung au ra. Van
e nay c giai quyet bang cach chon tam au vao bo ADC sau o chnh o li thch
hp cho au vao cua nguon analog.
Khi s dung bo ADC n cc ma co tn hieu vao la lng cc trong khoang t
V
pp
th ta phai cong ien ap vao V
i
vi mot ien ap nen bang + V
pp
, khi o ta se co V
i

nam trong khoang 0 ... + 2 V
pp
. Tn hieu nay se c a ti au vao bo ADC . Neu s
dung ADC lng cc th khong can cong tn hieu va au ra ta se nhan c ma lng
cc.
g. au ra bo ADC :
a so ADC co au ra 8 bit, 12 bit, 16 bit ...., du vay cung co loai 3 (1/2 ) digit,
ma BCD, 10 bit, 14 bit. au ra cac bo ADC thng la ma nh phan t nhien, hoac co
dau. ADC dung cho may o ch th so a dung thng la ma BCD.
h. Tn hieu tham chieu V
ref
:
Moi ADC eu yeu cau co tn hieu tham chieu V
ref
. Bat ky mot sai so nao tren
V
ref
eu gay ra loi o li ac tnh ADC . V vay V
ref
la tn hieu bao am o chnh
xac va on nh cua mot bo ADC. Dung IC on ap co the thoa man ieu nay.
i. Tn hieu ieu khien :
Moi bo ADC eu phai co xung clock va tn hieu ieu khien e hoat ong. Thiet
b ngoai giao tiep vi ADC se khi ong qua trnh chuyen oi AD bang cach phat mot
xung dng vao au vao Start cua ADC. Bo ADC se nhan biet canh len cua xung Start
va ngay sau o no se keo ng BUSY hay EOC ( EOC : end of conversion : ket thuc
chuyen oi ) xuong thap ( khong tch cc ). ieu nay bao hieu vi thiet b ngoai rang
chuyen oi ang tien hanh va rang d lieu ngo ra cung cha xuat hien hay cha co mot
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

237
chuyen oi mi nao. Luc nay ADC ang thc hien qua trnh chuyen oi. Tng ng vi
moi xung clock a vao, ADC se thc hien mot bc chuyen oi. Sau mot so bc nhat
nh ( tuy theo bo ADC ) th qua trnh bien oi hoan thanh. cuoi chuyen oi hien
hanh, ADC keo ng EOC len mc cao tr lai. Viec chuyen t thap len cao cua ng
EOC thng dung tao ra mot ngat cng vi x ly hay e bao cho thiet b ngoai rang
chuyen oi a ket thuc. Sau o thiet b ngoai se gi tn hieu cho phep ngo ra ( OE :
Output Enable ) ti ADC, bao hieu cho ADC o d lieu ra. oi vi cac ADC co o
phan giai ln hn 8 bit, OE co the gom HBE ( high byte enable , cho phep byte cao ) va
LBE ( low byte enable, cho phep byte thap ). Mot t chuyen oi c at vao data bus
8bit bang hai phep truyen tuan t . Neu data bus 16 bit th ta ch can mot ng OE la
u truyen 16 bit . Hnh 8.7 minh hoa cac tn hieu vao ra tieu bieu cua ADC cung
vi dang song cua cac tn hieu ieu khien .


BUSY/EOC
V
i
{
ADC } High byte
V
ref

Start } Low byte
Clock

HBE LBE
(OE)
(a)







PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

238
Start

EOC

T
c

HBE
LBE

Chu k lay mau
(b)

Hnh 8.7 Bo chuyen oi tng t - so (a) Cac tn hieu vao va ra tieu bieu (b)
Dang song tn hieu ieu khien mach tieu bieu .
8.1.2 Cac ky thuat bien oi AD :
8.1.2.1. Bien oi AD bang phng phap ien the bac thang :

V
i
So Nguon
V
ht
sanh xung
Cong
Xung kch khi
DAC Bo em

V
ref
Ngo ra so V
ht

(a) V
i




t
T
c

(b)
Hnh 8.8 Bien oi AD ien the bac thang
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

239
(a) S o khoi
(b) Dang song ngo ra
Tai moi thi iem t
i
mach c kch khi e bat au qua trnh chuyen oi. Khi
o bo em bat au em cac xung va noi dung bo em se tang dan theo thi gian. Mat
khac noi dung cua bo em cung c bien oi thanh gia tr analog V
ht
nh bo bien oi
so - tng t (DAC). Tn hieu hoi tiep nay luon luon c so sanh vi tn hieu vao V
i
.
Khi chung bang nhau ( V
ht
= V
i
) th mach so sanh se ong cong va ket thuc qua trnh
bien oi. Khi o noi dung ghi trong bo em chnh la gia tr so tng ng vi V
i
.
Khoang thi gian t luc mach c kch khi cho ti luc nhan c tn hieu ra
c goi la thi gian bien oi T
c
( T
c
: Time of conversion ) . T
c
phu thuoc vao tn hieu
vao V
i
.
T
c max
= ( 2
n
- 1 ) T
clock
.
n : so bit cua bo em.
T
clock
: chu ky cua day xung nhp.
u iem cua phng phap nay la n gian, con nhc iem la thi gian chuyen
oi cham va T
c
con phu thuoc vao V
i
. Sai so tnh kha ln va phu thuoc rat nhieu vao
bo bien oi DA va mach so sanh.
8.1.2.2. Bien oi AD bang phng phap thang bang lien tuc :
S o khoi giong phng phap trc, nhng bo em la bo em thuan nghch.
V
ht


V
i




T
c
t

Hnh 8.9 : Dang song ADC thang bang lien tuc.
Ve ban chat phng phap nay gan giong vi phng phap ien the bac thang.
Mat khac, trong mach co s dung bo em thuan nghch. V vay phng phap ieu khien
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

240
bo em cung khac phng phap trc , ngha la neu V
i
> V
ht
th bo em se em len,
con neu V
i
< V
ht
th bo em se em xuong ( Hnh 8.5 ).
Sau khi c kch khi th bo em se em len. Khi tn hieu hoi tiep V
ht
xap x
bang hoac ln hn mot chut so vi V
i
th bo em se em xuong. Sau o neu V
ht
< V
i

th bo em lai em len.
Thi gian bien oi c coi la ket thuc khi tn hieu hoi tiep dao ong xung quanh
gia tr Vi .
u iem : n gian.
Nhc iem : -- Toc o x ly khong cao.
-- Thi gian bien oi T
c
la ai lng thay oi, phu thuoc V
i
.
-- Sai so tnh do sai so cua bo bien oi DA va bo so sanh quyet
nh chu yeu. Sai so ong phu thuoc va o thi gian bien oi.
8.1.2.3. Bien oi AD bang phng phap ham doc tuyen tnh :(Phng phap tch phan mot
o doc ) ( Hnh 8.10 )
Ve ban chat phng phap nay thc hien bien oi trung gian t ien ap ra khoang
thi gian, sau o o khoang thi gian theo phng phap so. Qua trnh bien oi xay ra
nh sau:
Sau khi c kch khi, bo em se bat au em len va mach quet se tao tn hieu
tuyen tnh theo thi gian. Tn hieu quet V
s
va tn hieu vao V
i
c so sanh vi nhau.
Khi hai tn hieu nay bang nhau th mach so sanh se ong cong khong cho xung ti bo
em na. Nh vay noi dung cua bo em se t le vi thi gian bien oi T
c
, ma T
c
lai t le
thuan vi gia tr V
i
nen noi dung bo em t le vi V
i
.
o chnh xac cua phng phap nay phu thuoc vao o tuyen tnh cua tn hieu
quet ( sai so o doc cang nho, o chnh xac cang cao ), va phu thuoc o on nh cua tan
so nguon xung.
Phng phap nay co toc o hoat ong cao hn cac phng phap ban au va o
chnh xac cung cao hn do khong can s dung bo bien oi DA.


PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

241


V
i
So sanh V
1
Cong Nguon V
i

V
s
xung V
s
t


V
2

Mach quet Bo em V
1
T
c
t

Kch khi V
2
t
Ngo ra so
(a) (b)

Hnh 8.10 : Bien oi AD ham doc tuyen tnh.
(a) S o khoi
(b) Dang song

8.1.2.4. Bien oiAD bang phng phap xap x lien tiep :
Phng phap nay c dung trong ky thuat bien oi AD toc o cao trung bnh.
No cung dung mot bo DAC ben trong e tao ra mot ien ap bang mc vao cua tn hieu,
sau ung bang n chu ky xung clock cho trong hp ADC n bit.











PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

242
T
c
= nT
clock
Ngo ra DAC
V
i

Vi
So sanh
V
ref
MSB LSB Ngo ra SAR
1 1 0
Nguon SAR & DA (b) t
xung K 111
111 110
(a) Ngo ra so 110 101
101 100
100 011
011 010
101 001
001 000

(c)
Hnh 8.11 Bien oi AD xap x lien tiep
(a) S o khoi (b) Dang song (c) Lu o logic
Phng phap nay cho phep rut ngan T
c
rat nhieu va T
c
khong phu thuoc vao tn
hieu vao V
i
. Ky thuat nay da vao s xap x tn hieu vao vi ma nh phan , sau o thay
oi cac bit trong ma nay mot cach lien tiep cho en khi at c ma gan ung nhat. Tai
moi bc cua qua trnh nay, gia tr xap x cua ma nh phan thu c se c lu vao
thanh ghi xap x lien tiep ( SAR : Successive Approximate Register ) . Viec
bien oi luon luon c bat au tai bit cotrong
so cao nhat MSB cua SAR, khi o MSB c bat len 1. Bo so sanh se so sanh au ra
cua ADC vi V
i
va ra lenh cho bo ieu khien ngat MSB neu nh gia tr ban au nay
vt qua tn hieu vao V
i
. Trong chu k xung clock ke tiep, MSB ke tiep lai c phat tr
lai (bat len 1). Mot lan na boso sanh se quyet nh lay hay huy bo MSB ke tiep nay.
S bien oi nay se tien dan en gia tr ung nhat so vi tn hieu vao va xuat d lieu nay
ra . Hnh 8.11 minh hoa s o c ban va hoat ong cua ADC xap x lien tiep 3 bit.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

243
8.1.2.5 Bien oi AD bang phng phap tch phan hai o doc :

V
ref
V
--1
-1 Nap Xa theo t le co nh
- +
V
in
Reset

Nguon Logic
xung K 0 t
T
1
T
2


Ngo ra so Bo em (b)

(a)
Hnh 8.12 Bien oi AD tch phan hai o doc
a) : S o khoi
b) : Dang song
Ky thuat bien oi AD nay c minh hoa ro tren s o khoi (Hnh 8.12a) . Ta
thay ien ap vao c tch phan trong khoang thi gian T
1
, ung bang luc bo em het.
Tai cuoi T
1
, bo em c reset va bo tch phan chuyen qua mc tham chieu am. au ra
bo tch phan giam tuyen tnh ve zero, tai o bo em ngng em va c reset. ien tch
nap tu trong khoang thi gian T
1
bang ien tch xa tu trong khoang thi gian T
2
:
T
1
V
i
= T
2
V
ref
V
i
/V
ref
= T
2
/T
1
= X
T so X cung chnh la ma nh phan cua so em ln nhat , o la gia tr em c
cuoi T
2
, cung la gia tr xuat ra. Ky thuat nay co mot so u iem, nhat la chat lng
kh on . Tn hieu vao c tch phan qua mot chu k , do o bat k mc on (nhieu) nao
co tan so la boi so cua 1/T
1
eu b loai. Chu y rang tan so xung clock khong anh hng
g en o phan giai. o phan giai cua ky thuat nay ch b gii han bi chat lng mach
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

244
analog ma khong s phat sinh ma loi au ra . V vay ma o phan giai tot rat de at
c va co the thay oi bang cach chnh kch thc vong em va tan so xung clock.
Tan so thap la nhc iem duy nhat cua phng phap. Phng phap nay thng
c dung trong cac ong ho hien so, may o a nang ch th so, cam bien nhiet o va
cac ng dung co yeu cau khong cao ve tan so lay mau.
8.1.2.6 Bien oi AD bang cach oi dien ap sang tan so : (V-F :Voltage to Frequency )

V
i



Ngo ra so

Hnh 8.13 S o khoi ADC dang V-F
Hnh 8.9 minh hoa ky thuat AD dang ien ap - tan so. Ap vao analog c mot
bo VF chnh xac bien oi thanh mot day xung co tan so t le vi ap vao. Mot bo em se
em so xung nay trong mot khoang thi gian nhat nh roi xuat so em cho bo AD : ay
la ngo ra so cua bo ADC . Giong ky thuat tch phan hai o doc, ky thuat nay co tan so
thap nhng kh nhieu tot. Neu thi gian T
c
thap mc co the chap nhan c th phng
phap ien ap - tan so la ky thuat cho phep at c o phan giai cao vi tn hieu thay
oi cham, va gia thanh ha.
u iem cua phng phap nay la kha nang ieu khien t xa trong moi trng on
( nhieu ). Co the lam mot bo ien ap - tan so ( VF : Voltage - frequency ) nh mot ham
truyen d lieu t xa di dang so en tram kiem soat. Tai ay co bo x ly ( tiep nhan +
bo em + xuat d lieu ). ieu nay tranh c viec truyen tn hieu analog qua moi
trng nhieu co kha nang lam xau tn hieu. Viec truyen bang bo VF cung co kha nang
triet nhieu cach chung, tao s cach ly gia bo bien oi oi vi thiet b cam bien, ay la
mot yeu cau quan trong trong cac tram kiem soat va ieu khien cac he thong ien cao
ap. Thc te, ky thuat nay phu thuoc vao cac vi mach VFC thong dung, re tien, co chat
lng tot ( tuyen tnh va on nh ).
8.1.2.7. Bien oi AD theo phng phap song song : ( ADC nhanh hay ADC song song )
Bo oi
ien ap
sang tan so
Bo
phat
Bo
thu
Bo
em
nh
thi
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

245
V
ref
V
i


3R/2
V
N
2
n
-1 SS2
n
-- 1

R
V
N
2
n
-2 SS2
n
-2
Ma hoa Ngo ra so
R



V
N
1 SS1

R/2



Hnh 8.14. : S o khoi cua bo ADC song song.

ADC song song c dung cho cac ng dung can ADC toc o cao, nh ky thuat
video, ky thuat radar, dao ong ky so. Trong ky thuat AD nay, tn hieu vao c so sanh
ngay lap tc vi tat ca cac mc ngng bang cach dung nhieu bo so sanh thien lech
nhau 1LSB. S phan cc nay at c nh tn hieu tham chieu V
ref
va mang ien tr
chnh xac. Bo so sanh nao co mc ngng cao hn V
i
th b ngat ( tat ), trong khi cac bo
so sanh co mc ngng thap th van c m ( bat ) . V tat ca ca c bo so sanh chuyen
trang thai ong thi nen qua trnh lng t hoa, do vay, c thc hien hoan tat trong
cung mot luc ( bc ). Bo ma hoa nhanh lap tc oi cac tn hieu au ra bo so sanh thanh
ngo ra so.
ADC song song co tan so lay mau phu thuoc vao toc o ( thi gian tre ) cua cac bo
so sanh. Thong thng vi mach so sanh co thi gian tre khoang 10 20 ns , v vay tren
ly thuyet , tan so lay mau cua bo ADC song song co the at ti 100 Mhz . Tuy nhien,
mot vi mach ADC song song co o phan giai 8 bit can ti 2
8
- 1 = 255 bo so sanh, v vay
kch thc vi mach se rat ln, chi ph thiet b ln va gia thanh cao.
8.1.3. Giao tiep ADC vi vi x l
8.1.3.1. nh ngha cac tac vu giao tiep
a) . Phng phap thu nhan d lieu mi nhat : ( Most recent data scheme )
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

246
Trong phng phap nay, ADC lam viec lien tuc. cuoi moi lan bien oi ADC
cap nhat d lieu bo em ngo ra ( theo quy tac d lieu vao trc ra trc ) va t ong
bat au mot chuyen oi mi. Bo vi x ly ch oc d lieu bo em nay vao bat c luc
nao no can d lieu mi nhat . Phng phap nay phu hp vi nhng ng dung can d lieu
c cap nhat khong thng xuyen.
b) . Phng phap bat au va ch : ( Start and wait scheme )
Bo vi x ly khi ong qua trnh chuyen oi moi lan khi can d lieu mi va vi x
ly lien tuc kiem tra ng EOC e xem xet qua trnh bien oi a hoan thanh hay cha.
Khi nhan thay qua trnh bien oi hoan thanh, no se oc d lieu. Mot dang khac cua
phng phap nay la ch i mot khoang thi gian ln hn thi gian bien oi cua ADC,
sau o chac chan chuyen oi a hoan thanh va oc d lieu so ngo ra. Phng phap
nay de thc hien nhng lai cot chat vi x ly trong suot thi gian chuyen oi.
c) . S dung ngat cua vi x ly :
Phng phap nay s dung kha nang ngat cua vi x ly. Bo vi x ly hay nguon
xung van c khi ong qua trnh chuyen oi, nhng sau o vi x ly co the lam ca c cong
viec khac. Khi chuyen oi hoan thanh, ADC gay ra mot ngat cng. Vi x ly ap ng
bang cach tam ri bo cong viec hien hanh cua mnh va lu tr cac thong tin quan trong
c yeu cau khi no quay tr lai cong viec sau o. Vi x ly se nhay en a ch cu the
va thc thi mot tap lenh ( goi la chng trnh phuc vu ngat ) e lay d lieu t ADC. Sau
khi thc thi xong chng trnh phuc vu ngat, vi x ly lai quay ve nhiem vu ban au cua
mnh.
Cong viec cai at chng trnh phuc vu thng c thc hien bi mot chng
trnh con khac ( chng trnh quet ). Chng trnh ngat nay se kiem tra tng nguon ngat
mot ( quet vong ) e xem co ng ngat nao can phuc vu. Mot cach tiep can hieu qua
hn , la dung bang vect ngat. Bang vect ngat la mot vung nh nh trc co cha cac
vect ngat . Moi vect ngat se lu tr a ch cua tng chng trnh phuc vu. e ap ng
vi mot yeu cau ngat, vi x ly se nhay ti mot o nh nh trc, o ngi s dung a
lu tr trc a ch cua chng trnh phuc vu ngat tng ng.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

247
Kha nang manh thc s cua ky thuat nay c thay trong cac he thong co nhieu
nguon ngat , nh cac may IPM PC. Nhng he thong nay thng dung mot thiet b at
trc goi la bo ieu khien ngat. Bo ieu khien ngat, v du 8259A, to chc cac tn hieu
ngat khac nhau theo mc o u tien, gi tn hieu yeu cau ngat en vi x ly va ch no en
chng trnh phuc vu ngat thong qua bang vect ngat.
Phng phap nay c dung khi yeu cau oc d lieu la khong thng xuyen.
Phng phap nay tuy kho thc hien nhng ay la phng phap kha tot v no giai phong
c CPU - trong khoang thi gian t do , CPU hoan toan co the thc hien nhng cong
viec hu ch khac. Tuy vay phng phap nay van co nhng gii han cua no, o la khi
yeu cau lay mau tan so tng oi cao ( khoang tren 10 KHz ) . Khi o tan so kch ngat
se ln ( bang vi tan so lay mau ) va khong phai CPU nao cung co the ap ng c
mot tan so ngat ln nh vay. e giai quyet trng hp nay, chung ta e xuat phng
phap th t va cung la phng phap toi u.
d) . Ket hp ngat vi x ly va bo em d lieu :
Phng phap nay s dung 2 vi mach nh RAM khoang vai Kbytes en 1
Mbytes hoat ong luan phien ( Swap ) . Gia s ban au bo ADC lam viec vi bo nh
th nhat ( A ) . Moi d lieu lay mau hoan tat se c bo ADC cat vao mot bo nh A .
Khi bo nh nay ay, bo ADC se chuyen qua nhap d lieu cua no vao bo nh th hai ( B
), ong thi no se kch hoat mot ng IRQ ( Interrupt Request : yeu cau ngat ) cua vi
x ly e gi yeu cau ngat en CPU . Chng trnh phuc vu ngat - a c cai at trc -
biet rang bo nh A a ay va thc hien oc d lieu t bo nh A vao bo nh trong cua
may tnh. Khi bo nh B ay, ADC se chuyen qua nhap d lieu vao bo em A va chng
trnh x ly se oc d lieu t bo em B . Qua trnh nay luan phien xay ra nh vay.
Phng phap nay co u iem :
Mach lay mau nhap d lieu vao mot trong hai bo em cua no thong qua
ng d lieu noi, v vay se tranh c nhng tranh chap ng d lieu vi CPU.
Tan so kch ngat se giam ang ke, co the tnh toan nh sau :
+ Gia s tan so lay mau la f
s
, vi mach nh A va B co dung lng la
C . Khi o tan so kch ngat f
i
se bang t so cua f
s
chia cho C :
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

248

C
f
f
s
i


V du, tan so lay mau la 1 Mhz , dung lng bo nh la 2 Kbytes th tan so kch
ngat se bang 1000000/2000 = 500 Hz . Vi tan so nay, mach lay mau hoan toan co the
tng thch vi nhieu loai vi x ly co toc o khac nhau.
Noi chung moi lan CPU oc d lieu t bo nh cua mach ADC, no se oc
mot lng d lieu bang vi dung lng bo nh o ( khoang vai Kbytes ) . Trng hp
nay se rat tot neu nh chng trnh oc d lieu s dung ky thuat DMA ( Direct Memory
Access : truy xuat trc tiep bo nh ) , thi gian truyen d lieu RAM-RAM se tr nen
khong ang ke.
Pham vi ng dung :
Neu khong co yeu cau lu tr d lieu, phng phap nay co the ap ng c tac
vu lay mau tn hieu len ti vai chuc MHz. Trong trng hp yeu cau lu tr d lieu , tan
so lay mau co the ap ng c se b phu thuoc vao toc o cua thiet b lu tr. Neu
dung bo nh trong e cat d lieu th tan so lay mau khong giam , nhng vi ky thuat
hien nay, viec phat trien bo nh tr nen qua ln se rat kho thc hien, v vay thong
thng ngi ta se s dung a cng cua may tnh e cat d lieu. Ky thuat hien nay a
cho phep san xuat ai tra nhng a cng co toc o oc , ghi len ti 1,2 Mbytes /
second. Neu chng trnh s dung ky thuat swap a e lu tr d lieu th toc o lay
mau se khong the vt qua toc o oc ghi a. Nhng tnh toan chu quan cho thay trong
trng hp nay, vi mot a cng c che tao tot va mot bo vi x l ho 386 tr len, tan
so lay mau co kha nang at ti 1Mhz.
8.1.3.2.Giao tiep phan mem :
D lieu truyen gia ADC va P co the to chc trong phan mem theo ba cach :
a.Truyen theo ban o bo nh :
Trong ban o bo nh ADC c gan cho mot a ch o nh that s cha s dung.
Viec truyen d lieu gia ADC va P c thc hien bang cach xem ADC nh mot o
nh. Ben canh
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

249
viec s dung toi a bo nh, ban o bo nh co the tang o phc tap trong viec quan ly (
ca bo nh va IO ) va thng oi hoi nhieu phan cng hn e giai ma a ch, bi v phan
cng toi thieu giai ma a ch se gay ra lang ph khong gian bo nh.
b). Truyen theo ban o vao / ra : ( Input / Output Mapped Transfers )
Mot vai he thong tao ra vung I / O co a ch at rieng biet. Mac du vung o,
a ch IO co the giong a ch bo nh, nhng co the phan biet chung vi bo nh bang
cach dung tn hieu ieu khien ac biet IOR va IOW tren he thong bus cua IBM - PC. S
phan biet gia I / O va vung bo nh lam cho thiet ke he thong tr nen tot hn. No cho
phep mach giai ma a ch n gian va s dung phan cng la toi thieu bi v giai ma t
vung IO n gian va tot hn vung bo nh co gia tr cha s dung.
c). Truy xuat bo nh trc tiep : ( DMA : Direct Memory Access )
Khi ch co truyen d lieu n gian gia bo nh va ngoai vi c yeu cau, viec
dung tch luy trong qua trnh truyen lam cham qua trnh mot cach khong can thiet.
Bang viec ket hp s dung phan cng dang thiet b phuc vu goi la bo ieu
khien DMA , viec truyen trc tiep co tac ong nhanh hn nhieu. Hau het cac bo vi x
ly cho phep DMA thc hien ay u bang cach cap ieu khien cua bus he thong cho
khoang nh trc. Bo ieu khien DMA lay lenh cua bus trong suot khoang nay va mang
d lieu truyen ra bang cach phat a ch yeu cau va tn hieu ieu khien. Tai cuoi
khoang, vi x ly lay lai quyen ieu khien bus. Truyen d lieu hoan toan co the lay
nhieu chu ky DMA e thi hanh.
8.1.3.3. Giao tiep phan cng :
Thiet ke phan cng theo mo hnh d lieu song song hay noi tiep .
a). Truyen d lieu song song :
Phan cng cho giao tiep d lieu song song hau het bao gom bo em ngo ra ba
trang thai ma ADC noi vi bus d lieu cua vi x ly. a ch giai ma va tn hieu ieu
khien oc cua vi x ly cho phep bo em d lieu cua ADC vao P . Giai ma a ch
tng ng va tn hieu ieu khien ghi cua P tao thanh lenh bat au cho bo bien oi. No
khong can dung ieu khien R / W rieng biet, du sao lam viec nay cho phep a ch giong
nhau ca cho lenh xuat xung khi ong ti ADC va lenh oc d lieu ngo ra cua ADC.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

250
Cac ADC mi hn co bo em ba trang thai ben trong cung vi mach ieu khien
chung. Nhng ADC nay co the noi trc tiep vi bus d lieu cua vi x ly. Khi giao tiep
vi nhieu thiet b, th ta phai cung cap a ch giai ma va oi khi mot vai cong quan ly
tn hieu ieu khien. Giao tiep vi he thong vi x ly th n gian, bi v vi x ly cung cap
a ch port trc tiep va viec giai ma a ch la khong can thiet.
b). Mo hnh truyen d lieu noi tiep :
Truyen d lieu noi tiep c dung trong viec truyen d lieu i xa. Truyen ong
bo noi tiep dung cho tuyen phuc vu tuyen ien thoai. Thiet b phuc vu goi la UART (
truyen nhan hoan toan ong bo ). UART ( Universal Asynchronous Receives and
Transmitter ) nhan va truyen d lieu dang tuan t nhng giao tiep vi P dang song
song.
8.1.4. Gii thieu ADC 0809
ADC0809 la thiet b chuyen oi analog sang digital 8 bit, multiplex 8 kenh va
tng thch vi bo vi x l. ADC0809 s dung ky thuat chuyen oi A/D xap x lien tiep.
S o khoi :


Hnh 8.15
S o chan :
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

251
U2
ADC0809
26
27
28
1
2
3
4
5
12
16
10
9
7
17
14
15
8
18
19
20
21
25
24
23
6
22
IN0
IN1
IN2
IN3
IN4
IN5
IN6
IN7
REF+
REF-
CLK
OE
EOC
D0
D1
D2
D3
D4
D5
D6
D7
A0
A1
A2
START
ALE

Hnh 8.16
*Y ngha cac chan:
IN0-IN7 : ngo vao tng t
A2, A1, A0: giai ma chon mot trong 8 ngo vao.
D0-D7: ngo ra song song 8 bit.
ALE: cho phep chot a ch.
Start: xung bat au chuyen oi.
Clock: xung ong ho.
Vref+ : ien the tham chieu (+).
Vref- : ien the tham chieu (-).
VCC: nguon cung cap.
Kha nang :
-Giao tiep de dang vi tat ca cac bo vi x l.
-Hoat ong vi thang o t le vi 5 VDC hoac ien ap chuan co the ieu chnh.
-Khong can chnh khong hay toan thang.
-Multiplex 8 kenh vi a ch logic.
-Tam ien ap vao 0-5V vi nguon cung cap n 5V.
-Ngo ra phu hp vi mc ien ap TTL.
-o phan giai 8 bit.
-Sai so khong ieu chnh c tong cong : +/- 1 LSB.
-Nguon cung cap n : 5VDC.
-Cong suat thap : 15 mW.
-Thi gian chuyen oi : 100 ns.
Mo ta chc nang :
Mach chon kenh :
Moi kenh ngo vao rieng biet c chon bang cach giai ma a ch theo bang
sau :
a ch Kenh analog c chon
A2 A1 A0
IN0 0 0 0
IN1 0 0 1
IN2 0 1 0
IN3 0 1 1
IN4 1 0 0
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

252
IN5 1 0 1
IN6 1 1 0
IN7 1 1 1
ac tnh chuyen oi :
Chan ALE len mc 1(canh len ) se chot a ch chon kenh ngo vao.
Thanh ghi xap x lien tiep (SAR-Successive Approximation Register) cua bo bien
oi A/D c reset tai canh len cua xung start(bat au chuyen oi). S chuyen oi c
bat au tai canh xuong cua xung start. Qua trnh chuyen oi se b ngat neu nhan mot
xung Start mi. S chuyen oi lien tiep co the thc hien c bang cach noi ngo ra
EOC(end of conversion- ket thuc chuyen oi) vao ngo vao start. Neu s dung che o
nay, mot xung start ben ngoai phai c cung cap sau khi bat nguon. Xung EOC se
xuong mc thap sau canh len cua xung Start t 0 en 8 xung clock va len mc cao khi
chuyen oi a xong. e cho phep oc d lieu th chan OE =logic 1.

ADC0804:
U5
ADC0804
6
7
9
11
12
13
14
15
16
17
18
19
4
5
1
2
3
+IN
-IN
VREF/2
DB7
DB6
DB5
DB4
DB3
DB2
DB1
DB0
CLKR
CLKIN
INTR
CS
RD
WR

Hnh 8.18

8.2..BIEN OI SO TNG T: (DAC)
8.2.1.S o khoi DAC:

Vref

Digital Vo/Io
Input N

Hnh 8.19: Quan he vao ra cua DAC
-Quan he vao ra : Bien oi DA co tnh chat t le. Tn hieu vao so N c bien oi thanh
dong ien hay ien ap co gia tr Q(phu thuoc vao tn hieu tham chieu Vref) bang cach
so sanh gia tr au vao vi gia tr nay thang cua au vao. Bat k mot sai so tn hieu
DAC
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

253
Vref nao cung dan ti sai so mc ra, v vay ngi ta co gang cho Vref cang on nh
cang tot.
Thong thng cac bo bien oi DAC thong mai, ngo ra se xuat hien dong ien,
v vay ta phai mac them mot bo bien oi dong thanh ap co the nhan c.
-au vao bo DAC : a so cac DAC co ngo vao 8 bit, 10 bit, 12 bit va 16 bit.. au vao
cac bo DAC la ma nh phan t nhien hoac vo dau. Neu ma au vao co dau th tn hieu
tham chieu phai la tn hieu lng cc.
Bo DAC se lien tuc bien oi so ngo vao thanh gia tr tng t ngo ra, thi
gian cho mot lan bien oi nh vay tuy theo bo DAC. V vay e am bao chnh xac ,
ngi ta mac them ngo vao bo DAC mot mach chot d lieu e tranh hien tng bo
DAC xuat ra tn hieu khong xac nh trong khoang thi gian t do gia hai lan cap nhat
d lieu ngo vao.
-au ra bo DAC : tuy theo cong nghe che tao ma au ra bo DAC co the la dong hoac
ap.
-Tn hieu ieu khien.
Phng phap chuyen oi D/A :
B chuyn i DAC vi thang in tr , kha S1, S2,...,Sn th hin 0 hay 1 c
iu khin bi ng vo s . in p ng ra l :
)
2
...
2 2
(
2
2
1
1
n
n
ref o
S S S
V V + + +
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

254

Hnh 8.20: Chuyn i so tng t vi thang ien tr.
8.2.2.Giao tiep vi DAC vi vi x l va xuat d lieu tuan t:
-Khi co yeu cau xuat mot chuoi d lieu ra cong, phan mem x l se tuan t xuat tng
byte trong bo em ra cong. e tao c tan so xuat theo mong muon, au tien chng
trnh phai tnh c toc o cua CPU co the xuat ra cong giao tiep trong mot dch vu
thi gian (1 giay). T thong tin o , phan mem co the tnh c thi gian tre gia hai
lan xuat d lieu e tao ra tan so xuat theo yeu cau.
Phng phap nay tao ra tan so xuat d lieu rat cao (len ti khoang 40 Khz hoac
vao hn tuy theo kieu may tnh), nhnh tan so rat kho e co the tao ra mot ca ch chnh
xac. Mat khac CPU cung se b ban trong suot qua trnh xuat d lieu.
-Cai mot ngat ngoai vi: Phng phap nau s dung xung Start e mach lay mau ADC e
kch hoat mot chng trnh phuc vu ngat, chng trnh phuc vu nay se lam nhiem vu
xuat mot byte d lieu ra cong. Xung Start c li dung e tao ra mot tan so kch ngat
bang tan so lay mau.
-S dung ngat ong ho: Ta biet rang trong he thong cua may tnh co ngat so 8 la mot
ngat co kch hoat nh k theo thi gian, goi la ngat ong ho. Chu k kch ngat co the
thay oi c bang cach lap trnh cho bo nh th 8253. Li dung ngat co san nay, ta co
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

255
cai them mot chng trnh phuc vu ngat cua may roi lap trnh cho bo 8253 e tao ra
mot tan so kch ngat theo mong muon.
8.2.3.Gii thieu DAC 0808
Ho DAC 0808(DAC 0808/DAC 0807/DAC 0806) la bo bien oi digital sang
analog 8 bit, co thi gian x l dong ra toan thang la 150 ns, cong suat tieu thu ch 33
mW vi nguon +/- 5V.
Ho DAC 0808 giao tiep trc tiep vi cac mc logic thong dung nh TTL, DTL
hoac CMOS.
S o khoi :

Hnh 8.21

S o chan : 12 2

4
16
5
14 3
15 13

Hnh 8.22
Kha nang :
-o chnh xac tng oi : +/-0.19 %( 8 bit) vi DAC 0808.
A 8 (LSB) /IOUT
A 7
A 6 IOUT
A 5
A 4 COMP
A 3
A 2
A 1(MSB)

VR+ V-

VR- V+
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

256
-o chnh xac 6 bit va 7 bit vi DAC 0806 va DAC 0807.
-Thi gian x l nhanh : 150 ns.
-Ngo vao digital khong ao tng thch TTL va CMOS.
-Toc o quet cao : 8mA/us
-Tam ien ap cung cap : +/- 4.5 V en +/- 18V.
-Cong suat tieu thu thap : 33 mW vi nguon +/-5V.
Hoat ong c ban :

Hnh 8.23 a: Vref dng
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

257

Hnh 8.23b: Vref am
Dong ien chuan c tao ra bang mot trong hai ca ch: chuan dng hoac chuan
am. Dong ien vao chuan I
14
phai luon luon chay vao chan 14, bat chap cach bo tr
hoac cc tnh nguon ap chuan. Cach ket noi vi ap dng nh tren hnh 8.23a. Vi tn
hieu chuan lng cc , R15 co the c noi vi nguon am. Tr so cua tu bu phai tang
khi R14 tang e duy tr le pha thch hp. V du vi R14 la 1,2,5 va 5K th tr toi thieu
cua tu la 15, 37, 75 pF. Tu co the noi en V
EE
hoac at.
Ap chuan am co the c s dung neu R14 c noi at va chuan c noi en
R15 nh hnh 8.23b. Phng phap nay co thuan li chnh la tong tr vao cao. (tai chan
15)
DAC 0808 la bo bien oi D/A tao ra dong ien co tr so tuy theo gia tr so va
dong ien chuan ngo vao. Dong ien chuan co the co tr so co nh hoac thay oi t
gan 0 en 4mA. Dong ngo ra toan thang (I
FS
-full scale) la mot ha m tuyen tnh cua
dong chuan va cho bi :
REF FS
xI I
256
255
vi
14
14
R
V
I I
REF
REF

Dong ien ra:
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

258
256
REF
OUT
I
I x gia tr digital ngo vao.
R15 (thong thng bang R14) c s dung e loai bo sai so dong phan cc .
R15 co the bo i, khi o ch tang sai so mot chut.
Khi dung ien ap chuan DC th nen s dung tu bypass xuong at.
Gii thiet thiet b ghi d lieu (data logger) cua Omron:
San pham thch hp cho cac ng dung nghien cu khoa hoc, thou nghiem san xuat, cong
nghe vi tnh nang oc ao.

Cau hoi va bai tap:
Chng 8: Bien oi tng t-so(ADC) va so-tng t(DAC)
1. Trnh bay giao ti p ADC vi vi x l
-Giao tip pn cng.
-Giao tip phn mm.
2. Nguyen tc cu to ca mt ADC va DAC.
3.K ra cac phng phap bin i A/D.
4. Trnh bay phng phap bin i A/D bng phng phap ien th bc thang.
5. Trnh bay phng phap bin i A/D bng phng phap xp x lien tip.
6. V mch o nhit a)dung LM35 b) cp nhit in c/Pt-100, ghep ADC 0809,
va 8255 vi may vi tnh PC-IBM.
7. V mch ghep ni may vi tnh ca mt mch DAC 0808 a tn hiu ra iu
khin mt ng c mt chiu.
8. Giao tip ADC 0809 vi 8051 : phn cng va gii thut iu khin.
9. Giao tip DAC 0808 vi 8051 : phn cng va gii thut iu khin.
Tham khao :
[1]. Nguyen c Thanh, o lng va ieu khien bang may tnh, NXB HQG Tp. HCM,
2002 va 2005(lan 2).
[2]. Ngo Dien Tap, o lng va ieu khien bang may tnh, NXB KHKT, Ha noi, 1999.
[3]. Ngo Dien Tap, Ky thuat ghep noi may tnh , NXB KHKT, Ha noi, 2001.
[4].Nguyen Manh Giang, Ky thuat ghep noi may vi tnh, NXB GD, tap 1: 1998, tap 2:
2006.
[5].K.J.Astrom,B.J Wittenmark, Computer-Controlled Systems - Theory and Design,
Prentice Hall, 3
rd
ed., 1997.
[6].Gustaf Olsson and Gianguido Piani, Computer systems for automation and control,
Prentice Hall ,1992.
[7].George C. Barney, Intelligent Instrumentation, Prentice Hall 1988.
[8].Willis J. Tompkins, John G. Webster, Interfacing sensors to the PC, Prentice Hall
1990.

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

259

Chng 8B: Bo ieu khien logic lap trnh c PLC
(IEU KHIEN TUAN T)
PLC(Programable Logic Controller) : bo ieu khien logic lap trnh c.
1. Ly thuyet chuyen ma ch c ban
Xem tai lieu ky thuat so 1 cua Nguyen Nh Anh.
1.1. Ky hieu

Bien nh phan c the hien nh la bien co gia tr 0 va 1. oi vi cong tac chuyen
mach, cong tac role hay transistor (ky hieu X), phat bieu X=0 ngha la phan t m
(khong co dong dan ien) va X=1 ngha la ong (dan ien). oi vi nut nhan hay
chuyen mach gii han, X=0 ngha la khong tac ong, con X=1 la tac ong.
1.1.2. Cong logic c ban
ai so Bool
Cong logic
AND
OR
NOT
Cac tnh chat ai so Bool va nh ly De Morgan.
Cong NOR, NAND, EXOR
Flip-flops: RS, JK, D, T.
Hien thc hoa chuyen mach. PLD, PAL, FPLA, PROM, , CPLD, FPGA.
1.2. Gian o thang
1.2.1. Mo ta c ban
Mach role thng c ve dang gian o thang.




PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

260

Hnh 1: Ky hieu role cho cong tac thng m n.o, thng ong n.c va cuon day role.
Th du: Mach to hp
Th du: flip-flop nh mot gian o thang.

S R Y

y

role t gi
Hnh 2
1.2.2.Mach tuan t.
Mach tuan t la mach co ngo ra khong ch phu thuoc gia tr hien tai cua ngo vao ma
con phu thuoc trang thai ngo vao trc o. Mach co nh.
2.Khai niem chung ve PLC
Trong he thong t ong thng gap nhng thiet b lam viec theo kieu tuan
t, theo qui luat if thenelse vi tn hieu vao ra co hai mc v du nh contact
hanh trnh, role. Cac s o nay co the thc hien bang role va mach nh thi
nhng vi s o phc tap so lng role kha ln, o tin cay kem va nhieu khi
khong at yeu cau. T nhng nam 70 e ap ng yeu cau co nhng thiet b ieu
khien thay the s o role, a xuat hien bo ieu khien logic lap trnh c
(Programmable Logic Controller-PLC) va ngay cang hoan thien c ap dung
rong rai trong cong nghiep (PLC cua hang Allen Bradley Corporation san xuat
nam 1977 s dung vi x ly 8080).
Khai niem ve ieu khien lap trnh :
Trong lnh vc ieu khien, ngi ta phan biet hai phng phap ieu khien la
-Phng phap ieu khien noi cng
-Phng phap ieu khien lap trnh c
Cac PLC au tien ch thc hien c cac phep tnh logic, tn hieu vao va ra la
tn hieu ri rac, con PLC hien nay co the thc hien c cac phep tnh so hoc,
logic va lam viec c vi ca tn hieu lien tuc, trong mot so trng hp PLC c
s dung thay cho may tnh (mot so hang dung t PC-programmable controller e
ch PLC).
Trong mot he thong phc tap thng gom may tnh (vi x ly) thc hien
nhng cong viec phc tap va PLC thc hien cac cong viec mang tnh chat tuan t.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

261
May tnh va PLC ket noi vi nhau qua ng truyen noi tiep va trao oi thong tin
cho nhau. Nhieu may tnh va PLC ket noi vi nhau theo mang ieu khien.
Cac thanh phan cua mot PLC gom co :
1/ Nguon cung cap chung cho tat ca cac moun.
2/n v x l trung tam (CPU) .
3/ Bo nh chng trnh.
4/Card nhap.
5/ Card xuat.
6/Card giao tiep.
7/Chc nang phu tr.
8/Khung c kh e gi cac moun va gan ket chung vi ca nguon cung cap va ng
bus.
Chng trnh ieu hanh cua nha san xuat, cha trong bo nh ROM (EPROM), thc hien
cac cong viec sau:
-Kiem tra hoat ong ban than PLC.
-oc tn hieu vao khoi nhap.
-Chuyen oi chng trnh ngi dung cha RAM hay the nh sang ma may cua vi x
ly e vi x ly thc hien.
-Xuat tn hieu ra khoi xuat.
-Giao tiep vi x ly vi bo lap trnh cam tay (hand held programming console) hay vi
may tnh.
-Giao tiep noi tiep RS-232 hoac RS-485.
Chng trnh ngi dung a vao PLC, tuy trng hp, t bo lap trnh cam tay, ban phm
tren PLC hay t may tnh va cha vao RAM, mot nguon pin nuoi RAM khi cat ien
nguon, co mot tu ien tr so kha ln mac song song vi chan cap nguon cua RAM e
am bao chng trnh va d lieu can thiet van con lu lai mot thi gian sau khi cat
nguon PLC hay pin. Trong trng hp can thiet PLC ho tr nap chng trnh vao the nh
EPROM hay EEPROM.
Bo nguon cho PLC co the lay t nguon xoay chieu hay nguon mot chieu +24V.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

262
Bo lap trnh cam tay va may tnh lap trnh ghep noi vi PLC qua ngo truyen noi
tiep.
PLC co the che tao di dang khoi gan ket gom cac khoi nguon , bo x ly, bo
nh, khoi nhap va xuat cung chung trong mot vo nha, hoac theo dang module (n the)
gom module nguon, module CPU, va cac module nhap xuat, module chc nang,
PLC nhan tn hieu vao va xuat tn hieu ra dang ON/OFF song song, noi tiep hay
dang tng t. Vi cac module phu hp co the cho PLC phat ra tieng noi canh bao hay
hng dan.
Cac module chc nang giup m rong kha nang cua PLC nh khuech ai o nhiet o,
ieu khien qua trnh vong kn, ieu khien v tr, ghep noi modem, mang cong nghiep.
Qua trnh ieu khien co the hien th len man hnh kem vi cac thong so trang thai nh
phan mem giao dien ngi-may (HMI). Man hnh thng ket hp vi cac phm bam
(OP) e ieu khien va quan sat thong so qua trnh.
PLC c thiet ke e lam viec trong moi trng cong nghiep do o mc tn hieu logic
vao la 24V; oi vi tn hieu tng t nho t ca p nhiet ien hay nhiet ien tr, co san
khoi khuech ai chong nhieu va chong troi i kem. Do PLC lam viec theo chu ly quet
nen no khong ap ng vi tn hieu thay oi qua nhanh, ieu nay han che ap dung PLC
cho viec ieu khien vong kn cac oi tng co quan tnh nho nhng lai gia tang o tin
cay chong nhieu cua thiet b.
Cac tn hieu xuat/nhap so va tng t cua PLC thng c ghep noi thong qua
optocoupler e am bao an toan.
PLC thc hien chng trnh cha trong bo nh ngi dung (UM) theo chu ky
quet. Mot chu ky quet bat au t lenh au tien va ket thuc lenh cuoi cung. Moi chu ky
quet PLC oc trang thai ngo vao, thc hien chng trnh, cap nhat ngo ra. Thi gian thc
hien chu ky quet t 0,1 ms en hang chuc ms tuy theo van toc x ly cua CPU va o dai
cua chng trnh. Thi gian thc hien mot lenh c ban nhat khoang di 1s.
Chng trnh viet cho PLC di 3 dang :
-Gian o thang (Ladder diagram LAD)
-Lu o (Control system Flowchart CSF)
-Bang phat bieu (Statement list STL)
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

263
Phng phap gian o thang tng t s o role, dang lu o giong nh s o trong
ky thuat so, con dang STL tng t cac dong lenh cua vi x ly.
Gii thieu PLC hang Omron : ZEN, CQM1, CPM1, C200H.
PLC hang Siemens : Logo, S7-200, S7-300, S7-400.

3.PLC Siemens S7-200
3.1.Gii thieu :

PLC S7-200 la mot ho gom nhieu loai CPU nh CPU-212, 214, 215, 216,
cac ho nay khac nhau dung lng nh, module I/O, tap lenh, so cong giao tiep,
tuy nhien ve ai the la giong nhau.
PLC c lap trnh thong qua may tnh dung cong 485 vi phan mem lap
trnh Step7 MicroWin ver 2.0 hay 3.x theo kieu ket noi Ppi (point to point
interface), neu co card giao tiep MPI (multi point interface) co the ghep noi mot
PC vi nhieu PLC.
Giong nh PLC Omron chng trnh PLC S7-200 c thiet ke di dang
chng trnh chnh (main, OB), cac chng trnh con (SBR), cac chng trnh nat
(INT).
Bo nh gom ba loai ROM, EEPROM va RAM va chia lam nhieu vung : V,
I, Q, Ai, AQ, M, SM, T, C, HC, AC. Cac o nh co the truy cap theo bit, byte (B),
t (W), t kep (DW).
3.2. S dung Step 7- MicroWin
3.2.1.Cai at step7 va chon che o lam viec
C:\>setup (Enter)
3.2.2.Soan thao mot project
-Chng trnh trong LAD
Dang LAD :
POU Comment
Network 1 Network Title
Network comment
I0.0 Q1.0



-Chng trnh trong STL
Dang STL :
POU Comment
Network 1 Network Title
Network comment
LD I0.0
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

264
= Q1.0
Gii thieu Menu Cua STEP 7-Micro/ Win 32
H
nh 3
Menu File, New
Open
Save/Save as
Import
Export
Upload
Download
Create library
Add/Remove library
Menu Edit, Undo
Cut
Copy
Paste
Insert
Delete
Menu View, STL
LAD
FBD
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

265
POU comment
Symbol Table
Symbol Addressing
Symbol Information Table
Network comment
Menu PLC, Run
Stop
Compile
Clear
Power up reset
Information
Program Memory cartridge
Menu debug, First scan
Multi scan
Program Status
Use execution status
Menu Tool, Instruction Wizard
TD 200 Wizard
Position Wizard
EM 253 Control Wizard
Modem Expansion Wizard
Menu Windows, Cascade
Horizontal
Vertical
Help, S7-200 on the web
About
3.3. Ngon ng lap trnh tren PLC S7-200
3.3.1.Phng phap lap trnh
e bieu dien chng trnh ieu khien tren PLC, co 3 phng phap bieu dien
la :
-Gian o thang (Ladder diagram LAD)
-Lu o (Control system Flowchart CSF)
-Bang phat bieu (Statement list STL)
3.3.2. Cac bc lap trnh :
Viec lap trnh cho PLC gom cac bc sau :
-Xac nh th t lam viec cua may
-Ve lu o he thong
-Gan cac ngo vao va ra cho PLC
-oi lu o ra gian o thang
-Viet chng trnh vao PLC
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

266
- Kiem tra chng trnh va sa loi
- Chay chng trnh va kiem tra loi
- Lu lai chng trnh tren giay hay a
3.3.3. Cau truc chng trnh
Chng trnh cho S7-200 c lu trong bo nh cua PLC va co the c lap vi
hai dang cau truc khac nha U:
-Lap trnh tuyen tnh
-Lap trnh co cau truc : chng trnh con
3.3.4. Cau truc a ch bo nh PLC Siemens S7-200
Bo nh gom ba loai ROM, EEPROM va RAM va chia lam nhieu vung : V,
I, Q, Ai, AQ, M, SM, T, C, HC, AC. Cac o nh co the truy cap theo bit, byte (B),
t (W), t kep (DW).
Tom tat ve cac vung nh:
CPU 214
Chng trnh ngi dung : 2KW
D lieu ngi dung: 2KW
Ngo vao I : I0.0 ..I0.7
Ngo ra Q : Q0.0 ..Q0.7
Ngo vao Analog :AIW0AIW30
Ngo ra Analog: AQW0AQW30
Bo nh thay oi V: VB0VB4095
Bo nh trong M : MB0MB31
Bo nh ac biet : SMb0SMB85
Timer : T0T127
Counter : C0C127
em van toc cao : HC0HC2
Thanh ghi ACC: AC0AC3
Vong PID : khong co
Vung nh AI, AQ : ch truy cap theo t (16 bit): AIW0, AQW 10.
Vung nh V, I, Q, M, SM : co the truy cap theo bit , byte, word hay double word :
I0.1, QB2, VW150
T, C : truy cap theo bit : T1, C15 hay t
AC : truy cap theo byte, word hay double word
HC : truy cap theo double word


3.3.5. Tap lenh PLC : phan sau trnh bay cac lenh chnh cua PLC, ban oc can
biet chi tiet hn e ngh oc cac tai lieu chuyen sau.
1. Lenh bit
Dang STL :
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

267
POU Comment
Network 1 Network Title
Network comment
LD I0.0
= Q1.0
Network 2
LDN I0.1
= Q1.1
Network 3
LD I0.2
A I0.3
= Q1.2
Network 4
LD I0.3
O Q1.3
= Q1.3
Network 5
LD I1.0
LD I1.1
AN I1.2
OLD
= Q1.1
Network 6
LD I1.3
LD I1.4
ON I1.5
ALD
= Q1.5
Network 7
LDN I1.4
LD I1.6
O I1.5
ALD
= Q1.6
Dang LAD :
POU Comment
Network 1 Network Title
Network comment

I0.0 Q1.0

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

268


2. Lenh lap tc
3. Lenh vi phan
4. Lenh ao
5. Lenh at/ xoa
6. Lenh so sanh
7. Lenh timer
8. Lenh em
9. Lenh so hoc
10. Lenh PID
Bang 2
11. Lenh di chuyen
12. Lenh hoan oi
13. Lenh ghi di bit
14. Ghi di byte
15. Lenh quay
16. Lenh lam ay
17. Goi chng trnh con
18. Lenh ngat
Bang 3
19.Ngat thi gian
20.Ngat truyen thong
em van toc cao
4.Cac th du ieu khien dung PLC:
Th du 1 : Mach t gi
-S o mach














PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

269
start

stop





output

MC





Hnh 4

-Gan I/O
Input Devices
I1.0 Start Pb.
I1.1 Stop Pb.

Output Devices
Q1.0 Motor

-Lu o giai thuat

-Gian o thang (Ladder Diagram)

I1.0 I1.1 Q1.0

Q1.0



-Ma bo nh (STL)
Network 1
LD I1.0
O Q1.0
PLC S7-200
Load Power
supply
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

270
AN I1.1
= Q1.0

-Lap trnh vi bo lap trnh cam tay/ Step7 MicroWin : Nhap chng trnh
-Chay chng trnh
-Kiem tra ket qua
-Nhan xet ket qua
- nh hng s dung
Th du 2: Dung PLC ieu khien day chuyen tao
He thong ong thung tao t ong

1.S o khoi

start stop tao






SE1 M1

Xq
Hop cacton
SE2


M2



Hnh 5: Day chuyen ong thung tao
Nguyen ly lam viec
Khi ngi cong nhan nhan nut START (PB1), day chuyen van chuyen thung se
chay, khi thung carton vao ung v tr th cong tac hanh trnh SE2 se b nhan, bo
ieu khien se lam ngng day chuyen lai. Luc nay day chuyen van chuyen tao se
chay, tng trai tao se rt vao thung, nh co bo cam bien quang (photoelectric)
SE1, va bo ieu khien se em cac trai tao, khi u so lng, day chuyen van
chuyen tao b ieu khien ngng lai. Qua trnh se c lap lai. ay la day
chuyen ieu khien theo logic tat m va ieu khien bang PLC Siemens S7-200.

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

271
2.Lap trnh ieu khien
-S o ket noi :
Ket noi PLC theo s o mach
Gan I/O

Input Devices
I0.0 Nut nhan START (PB1)
I0.1 Nut nhan STOP (PB2)
I0.2 Hien dien tao (SE1)
I0.3 Hien dien hop (SE2)

Output Devices
Q1.0 Day chuyen tao
Q1.1 Day chuen van chuyen thung cacton

-Lu o giai thuat
Mo ta Graphcet

Start


Y1

X2

T y2



X1 y3
xq
/Ystop
/ystop

-S o logic : Gian o thang




Dung PLC S7-200:
M2 chay
Delay
M1 chay
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

272
PB1(I0.0) PB2(I0.1) Q1.5 ieu kien khi ong

Q1.5


Q1.5 Q1.1 Q1.0 Day chuyen tao




SE1(I0.2)

SE2(I0.3) Gia tr at trc =10



CTU10 Q1.5 Q1.1 Day chuyen hop

SE2(I0.3)





-Ma bo nh: Lenh STL
Network 1
LD I0.0
O Q1.5
AN I0.1
= Q1.5
Network 2
LD Q1.5
AN Q1.1
= Q1.0
Network 3
LD I0.2
LDN I0.3
CTU 10
#0010
Network 4
LD CTU 10
CPU
CTU10
R
#0010
END
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

273
ON I0.3
A Q1.5
=Q1.1
END
-Lap trnh vi bo lap trnh cam tay/ Step7 MicroWin: Nhap chng trnh
-Chay chng trnh
-Kiem tra ket qua
-Nhan xet ket qua
-nh hng s dung
Cau hoi va Bai tap ng dung :
1. Trnh bay cau tao PLC.
2. Cac phng phap lap trnh tren PLC.
3. ieu khien en giao thong dung PLC S7-200.
4. ieu khien ong c tuan t dung PLC S7-200
5.Dung PLC OMRON viet LAD e ieu khien day chuyen tao
Li giai:

Dung PLC OMRON:
PB1(00000) PB2(00001) 20000 ieu kien khi ong

20000


20000 01001 01000 Day chuyen tao




SE1(00002)

SE2(00003) Gia tr at trc =10



CNT010 20000 01001 Day chuyen hop

SE2(00003)




CNT10
#0010
END
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

274


Tham khao: [1]. Nguyen Doan Phc , Phan Xuan Minh, T ong hoa vi
Simatic S7-200, HBK Ha noi,1997.
[2]. Nguyen c Thanh, o lng va ieu khien bang may tnh, NXB HQG Tp.
HCM, 2002 va 2005(lan 2).


Gii thieu PLC cua Omron:
1/DOng Micro PLC mi CP1L vi kha nang m rong toi a 180 I/O, lap trnh qua cong
USB. Ve hnh dang bean ngoai, CP1L vi mau en khoe khoan kha giong CP1H do eu
cung thiet ke tren nen tang cua dong CJ1 tien tien. Ve lau dai, CP1L se thay the cho
dong CPM1A/2A a co mat tren th trng tren 15 nam. Cung nh CP1H, dong CP1L ho
tr kha nang ket noi de dang trc tiep ti cac thiet b khac nh la bien tan (qua
Modbus), ieu khien nhiet o (qua nh dang CompoWay/F).
CP1L co nhng u iem so vi dong CPM1A/2A:
-au vao /ra xung 100 Khz, cao hn nhieu so vi toc o toi a 20 Khz cua CPM2A.
-Bo nh 5/10 Kstep (CPM1A co bo nh 2 Kstep, con CPM2A co 4Kstep), co bo nh
ngoai.
-Lap trnh thuan tien thong qua cong USB bang CX-P V7.1, ho tr lap trnh bang FB
(Function Block).
-Ho tr chay mo phong bang CX-Simulator (CPM1A/2A khong cho phep mo phong).
2/Man hnh cam ng NP3/5 a nang, gia thanh hp l. Dong man hnh cam ng co 2 kch
c : 3,8 cho NP3 va 5,7 cho NP5. Ca hai eu co o phan giai 320x240 va hien th n
sac (8 mc o) hoac mau STN ket hp vi 3 hoac 6 phm chc nang, vi vo mau en
hoac mau bac. Ngoai chc nang truyen thong qua RS232C,/485/422A, NP3/5 cho phep
lap trnh qua cong USB, trao oi truyen d lieu bang thanh nh USB tien li.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

275
CHNG 9 : HE THONG IEU KHIEN SO
chng nay chung ta tap trung phan tch mot ap dung quan trong cua may tnh
la ieu khien vong kn hay con goi la ieu khien so.
ieu khien so u viet hn ieu khien analog la nh kha nang tnh toan va lu tr
so lieu, cho phep thc hien cac thuat toan ieu khien phc tap va thong minh thoa man
cac yeu cau ve chat lng ieu khien trong ieu kien oi tng ieu khien a dang,
bien ong va chu anh hng moi trng thay oi.
Hien nay ieu khien so hien dien moi ni, t may giat vi logic m, robot
thong minh cho en bo ieu khien nhiet o trong nha may, may tnh ieu khien day
chuyen xi mang , may CNC gia cong kim loai hay thiet b ieu khien tau vu tru.
Chng nay gii thieu ve ieu khien so sau o i sau khao sat hai van e
chnh la ieu khien PID va may CNC.
9.0. ieu khien hoi tiep:
Khai niem
S o khoi bo ieu khien lien tuc

R

+ e(t) u(t) c(t)

-
c*(t)






Hnh 9.1. He thong ieu khien vong kn
Neu H(s)=1 ta co he thong ieu khien hoi tiep am n v.
Trong o R(t) la tn hieu vao, c(t) la ngo ra , va e(t) la sai lech e=r-c*
G(s) : ham truyen o tng, G
BK
(s): ham truyen bo ieu khien.
Xet he sau:


R

+ e(t) u(t) c(t)

-







Hnh 9.2. He thong ieu khien vong kn hoi tiep am n v
Tn hieu sai so :
E(t)=r(t)- c(t)
Bien oi Laplace:
E(s)=R(s)- C(s)
oi tng, qua
trnh ieu khien
G(s)
Bo ieu
khien
G
BK
(s)
Hoi tiep
H(s)
oi tng, qua
trnh ieu khien
G(s)
Bo ieu
khien
G
BK
(s)
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

276
Tn hieu ieu khien U(s):
U(s)= G
BK
(s).E(s)= G
BK
(s).[R(s)-C(s)]
Rut gon va tnh toan , ta co :
) ( ). ( 1
) ( ). (
) (
) (
) (
s G s G
s G s G
s R
s C
s G
BDK
BDK
k
+

Mo ta he thong ong:
He tuyen tnh lien tuc c mo ta bi ham truyen at va phng trnh trang thai.
Ham truyen at:
X Y


Ham truyen at cua he thong ieu khien t ong c nh ngha :

1 2
1
1 2
1
1
...
...
) (
) (
) (
a s a s a s
b s b s b s b
s X
s Y
s G
n
n
n
m
m
m
m
+ + + +
+ + + +

+

la t so gia bien oi Laplace ngo ra tren bien oi Laplace ngo vao.
X(s)=L{x(t)}: bien oi Laplace cua tn hieu vao.
Y(s)=L{y(t)}: bien oi Laplace cua tn hieu ra.
Bien trang thai va Phng trnh trang thai
Cach bieu dien th hai e bieu dien mo hnh toan hoc la dung cac bien trang thai. Ta hay xem
xet he thong a bien co q au vao u(t) m au ra y(t). Trong he thong bac n, n bien vao x
1
(t), x
2
(t) x
n
(t)
c chon bieu dien cho he thong ong hoc bang mot he cac phng trnh bac 1 va ma tran nx1.x(t) goi
la vector trang thai. oi vi he tuyen tnh, bat bien, mo hnh toan hoc la :
-Phng trnh trang thai : Bu AX X +
.

-Phng trnh au ra : Y=C.X+Du
Xet on nh he tuyen tnh : dung tieu chuan Routh-Hurwitz, Bieu o Bode, tieu chuan
Nyquist.
Chat lng he thong ieu khien: sai so xac lap, o vot lo, thi gian xac lap.
ieu khien ON/OFF
Bo ieu khien on/off : n gian, re tien , dung cho n dung ieu khien nhiet o ,
qua trnh cong nghiep nh la he thong ieu khien mc chat long. oi vi ieu khien
on/off l tng , ngo ra bo ieu khien ch co hai gia tr:
U= u
max
neu e>0
U= u
min
neu e<0.

Bo ieu khien PID lien tuc
Dang c ban :
) ( ) ( ) (
) (
1
) ( ) (
0
0
0
t u t u t u u
dt
de
T d e
T
t e K u t u
D I P
t
d
i
+ + +
1
]
1

+ + +


(9.1)
9.1. ac tnh he thong ieu khien so
9.1.1.ieu khien may tnh
He thong ieu khien bang may tnh cha ca tn hieu lien tuc va tn hieu lay mau
hay ri rac theo thi gian. Nhng he thong nh vay ve truyen thong c hoi la he thong
lay mau d lieu.
G(s)
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

277
He thong ieu khien bang may tnh (Computer-controlled system) con c goi
la he thong lay mau d lieu (sampled-data system). S o khoi he nh sau


May tnh




y(t)
{y(t
k
)} {u(t
k
)} u(y)





Hnh 9.3: S o khoi cua he thong ieu khien bang may tnh.
He thong ieu khien so vong kn thng c bieu dien di dang s o khoi hnh sau.
Thong qua cac khoa K (tng trng) lam viec ong bo vi chu ky T, may tnh oc tn
hieu hoi tiep t oi tng c ieu khien, so sanh vi tn hieu at trong bo nh sau o
x ly theo thuat toan a nh va xuat tn hieu ieu khien. Tn hieu ieu khien dang so
c cai lai roi nh mach chuyen oi DAC en khuech ai cong suat.
Tuy trng hp cu the, bo chuyen oi ADC a tn hieu t cam bien vao may tnh co
the la vi mach ADC nhieu kenh, chuyen oi VFC, bo em xung oc t cam bien v tr
encoder hay chnh la mach x ly tn hieu phc tap co vi x ly nhung ben trong.
Chuyen oi DAC co the la vi mach DAC, hoac la bo chuyen oi so ra be rong xung
PWM, so lng xung, tan so xung.. Khuech ai cong suat cung rat a dang, t n gian
la rle ban dan SCR, van kh nen cho en phc tap nh bo chnh lu co ieu khien hay
bo bien tan tuy theo oi tng ieu khien.



gia tr + khoaK y(t)

at - Ts Ts







Hnh 9.4: S o khoi he thong ieu khien so

A-D
Giai
thuat
D-A
Qua
trnh
(oi
tng
Clock
May
tnh
ieu
khien
Lay mau
va gi
DAC,
PWM
Khuec
h ai
cong
suat
oi
tng
ieu
khien
Cam
bien
Chuye
n oi
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

278
Khaong thi gian gia hai lan ong khoa K goi la chu ky lay mau Ts :
T D S
T T T + >
T

: thi gian oc tn hieu hoi tiep, phu thuoc so kenh chuyen oi va loai chuyen oi.
T
T
: thi gian may tnh x ly tn hieu ieu khien.
Hieu so T
S
-(T

+T
T
) : la thi gian may tnh dung cho cac thao tac can thiet khac.
T
S
b gii han tren bi ap ng thi gian cua oi tng ma ta mong muon.
Th du, ta mong muon he thong xac lap trong 1 giay th ro rang, theo logic bnh thng,
t ra T
S
phai nho hn 0,5 giay. Cac tieu chuan e chon T
S
la :
max
30
1
,
5
f T
T
T
S
r
S
< <
T
r
: thi tang mong muon cua ai lng c ieu khien.
F
max
: bang thong mong muon cua he kn.
Nhn chung T
S
chon cang nho cang tot nhng ieu nay se dan en yeu cau la van toc
tnh toan phai ln va thi gian chuyen oi nho, tang gia thanh he thong. Tuy theo oi
tng ta se chon Ts. Th du, vi lo nhiet co the chon T
S
vai giay con vi ieu khien v tr
la vai ms.
Viec tao xung nhp chu ky TS c thc hien nh mach nh th eu an ngat quang
cua may tnh.
S dung may tnh vao ieu khien se gay ra hai van e sau :
-Tn hieu ieu khien b tre mot thi gian sau tn hieu hoi tiep, tc la ta them mot khau
tre vao he thong. Anh hng cua viec nay co the co the la quan trong hay khong ang
ke tuy trng hp.
-S lng t hoa tn hieu co the gay ra sai so va nhieu trong he thong.
Vi mach chuyen oi ADC thng co so bit chuyen oi la n=8, 12, 14, 16 vi gia
tr mot mc LSB la 2
-n
va sai so chuyen oi 1 LSB. Vay, vi ADC nh phan n bit ta co
o chnh xac chuyen oi 100x2
-n+1
, n=8 sai so 1% ; n=12 sai so 0,05%.
tao n xung moi vong quay, gia s moi vong tng ng o dch chuyen dai l th
sai so se la l/n. Muon ieu khien vi sai so v tr c m ta phai co l/n<10
-6
; cho l= 10
mm, th n>10
4
. Trong cac may CNC thng dung encoder 20000 xung/vong tr len.
Viec tnh toan trong may tnh thc hien tren cac bien va hang, hang la cac thong
so cua he thong can ieu khien, tr at... Cach bieu dien so khi tnh toan cung la yeu to
anh hng chat lng. Ta thng bieu dien so di ba dang : so nguyen, so cham co
nh va so cham ong.
So nguyen dung mot byte, t hay t kep va khong bieu dien c so le nhng la
cach s dung pho bien cua PLC va vi x l.
So cham co nh, danh mot hoac hai byte cho phan le va mot t hay t kep danh
cho phan nguyen, nh vay so se co dang xxxxxxxx.xxxx vi dau cham c hieu ngam
va bit ln nhat bieu th dau. Khi tnh toan, neu ket qua vt khung se b cat bt giong
nh co mot khau bao hoa trong khoi ieu khien, phan so le cung c lam tron. Thc ra
so cham co nh cung khong khac g so nguyen khi ta qui c at dau cham tuy y. Vi
yeu cau chnh xac cao, co the dung so thc cham ong chnh xac n hay kep khi co bo
ong x ly toan hoc hay phan mem ho tr. Tuy nhien ta nen nh rang viec nay se tang
thi gian tnh toan va gia thanh len mot cach khong can thiet khi tn hieu ieu khien
xuat ra DAC co so bit gii han.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

279
Vi mach DAC thng la 10 bit hay 12 bit vi ien ap ra 10V. Do o, ket qua tnh
toan se b xen i phan vt khung, ieu nay tng ng vi khau bao hoa. Ngoai ra so
mc lng t gii han cung anh hng en tn hieu ieu khien nh la mot loai nhieu.
Gia s khong dung vi mach DAC ma ta bien oi ra be rong xung th cung b gii
han cua bo em /nh th 8 bit hay 16 bit.
Nh vay, anh hng cua khau bao hoa can phai c lu y khi thiet ke he thong.
9.1.2. He thong ri rac theo thi gian
Ri rac hoa tn hieu :

X(t) x*(t)

T
T : chu k lay mau.


0
*
) ( ). ( ) (
n
nT t t x t x
Tan so lay mau :
T
s

2

Bien oi Fourier :
) (
1
) (
} ). ( { )} ( {
) (
*
*
0 0
S
n
n
jn
n n
jn
jn j X
T
j X
T
e
t x F t x F
T
e
nT t
s
s


+

+


Van e mat mat thong tin : neu
2
max
s

< th thong tin c khoi phuc tron ven.


Neu
2
max
s

> th thong tin b mat mat.


nh ly lay mau (nh ly Shanon) : Tn hieu x(t) co pho tn hieu gii han trong khoang (-

max
,
max
) c xac nh hoan toan t tn hieu lay mau ch trong ieu kien neu tan so
lay mau ln hn 2
max
. Ch trong trng hp nay mi khoi phuc c tn hieu sau khi
ri rac hoa.

s
>2
max

max
la tan so cua cac mat loc(cao, thap..).

Khoi phuc lai tn hieu a b ri rac hoa : gia s
s
>2
max
. Khoi phuc gan ung :
-Noi suy bac 0 : ke so K=const, lay tn hieu au gi nguyen, dung khau ZOH.
-Noi suy bac 1 : tn hieu se co he so K trong moi mot oan se khac nhau.
He ri rac thi gian :
He ri rac c mo ta bi phng trnh sai phan :
) ( ) ( ) 1 ( ... ) 1 ( ) (
0 1 1
k r b k c a k c a n k c a n k c
n n
+ + + + + + +


He ri rac bat bien theo thi gian c mo ta bi mo hnh khong gian trang thai
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

280
) ( ) ( ) (
) ( ) ( ) 1 (
k Du k Cx k y
k u k x k x
+
+ +
(9-2)
Phng trnh ac trng : 0 ) det( I , la gia tr rieng.
Mo hnh vao ra : ap ng xung
Cho
( )
( )
T
T
N y y Y
N u u U
) 1 ( ... ) 0 (
) 1 ( ... ) 0 (



Mo hnh tuyen tnh tong quat lien quan Y va U la
p
Y U H Y +
/H la ma tran NxN, Yp la ieu kien au. Phan t h(k,m) la zero neu m>k.
Quan he vao ra cua he tuyen tnh tong quat c viet

+
k
m
p
k y m u m k h k y
0
) ( ) ( ) , ( ) (
Vi he thong bat bien theo thi gian, ap ng xung la ham cua k-m, ngha la
) ( ) , ( m k h m k h
De dang tnh toan ap ng xung cua he c nh ngha bi mo hnh khong gian trang
thai. Ta co


+ +
1
1
0
0
0
) ( ) ( ) ( ) (
k
k j
j k k k
k Du j u C k x C k y
Ham ap ng xung cua he ri rac la

'

<

1
0
0 0
) (
1
k C
k D
k
k h
k
(9-3)
Bien oi z :

0
*
). ( ) (
n
snT
e nT x s X , at z=e
sT
ta viet :

0
). ( ) (
n
n
z n x z X (9.2)

k
z k x z x z x x z X

+ + + ). ( ... ). 2 ( ). 1 ( ) 0 ( ) (
2 1

X(z)=Z[x(k)]=Z[x(t)]
nh ngha : Xet tn hieu ri rac {f(kT) : k=0,1,..}. Bien oi z cua f(kT) c nh ngha
la :
{ }


0
) ( ) ( ) (
k
k
z kT f z F kT f Z (9-4)
trong o z la bien phc. Bien oi z cua f c ky hieu la Zf hay F. Bien oi z ngc
c cho bi


dz z z F
j
kT f
k 1
) (
2
1
) (

(9-5)
Th du : Bien oi cua ham doc
Xet tn hieu nh ngha bi y(kT)=kT vi k>=0. The th
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

281
2
2 1 2 1
) 1 (
...) 2 ( ... 2 0 ) (

+ + + + +

z
Tz
z z T Tz Tz z Y
Th du : 1) Ham nac thang n v : x(t)=u(t), x(kT)=u(k)
Bien oi Laplace : X(s)=1/s
Bien oi z: X(z)=
1
1
1

z

2/Ham xung Dirac:
x(t)=

'


0 , 0
0 , 1
) ( ) ( ), ( ) (
voik
voik
k kT x t t x
Bien oi Laplace : X(s)=1.
Bien oi z: X(z)=1.
3/Bien oi Z cua ham t
2
/2 la :
X(z)=
3
2
) 1 ( 2
) 1 (

+
z
z z T

4/ Bien oi Z cua ham sinat la :

X(z)=
1 ) cos 2 (
sin
2
+ z aT z
aT z

5/ Bien oi Z cua ham cosat la :

x(z)=
1 ) cos 2 (
) cos (
2
+

z aT z
aT z z

Mot so tnh chat cua bien oi z c thu thap bang 9.1
Bang 9.1 Mot so tnh chat cua bien oi z.
1.nh ngha

0
) ( ) (
k
k
z kT f z F

2.Nghch ao


dz z z F
j
kT f
k 1
) (
2
1
) (



3.Tnh tuyen tnh
{ } Zg Zf g f Z . . + +
4.Dch thi gian
{ }
{ }

1
0
1 1
) ( ) ( ) (
n
j
j n n
n n
z jT f z F voi F F z f q Z
F z f q Z

5.nh ly gia tr au
) ( lim ) 0 ( z F f
z

6.nh ly gia tr cuoi
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

282
Neu (1-z-1)F(z) khong co bat c cc nam tren hay ngoai vong tron n v th
) ( ) 1 ( lim ) ( lim
1
1
z F z kT f
z k



7. Nhan chap
{ } ) )( ( ) ( ) ( *
0
Zg Zf n k g n f Z g f Z
k
n

'


Bien oi z co the dung e giai phng trnh sai phan :
Cho he phng trnh trang thai ri rac
) ( ) ( ) (
) ( ) ( ) 1 (
k Du k Cx k y
k u k x k x
+
+ +

Lay bien oi z hai ve, ta c


+
,
_

+
0 0 0 0
) ( ) ( ) 0 ( ) ( ) 1 (
k
k
k
k
k k
k k
k u z k x z x k x z z k x z
V vay
( )
( ) ) ( ) 0 ( ) ( ) (
) ( ) ( ) 0 ( ) (
1
z U zx zI z X
z U z X x z X z
+
+


va ( ) ) ( ) ( ) 0 ( ) ( ) (
1 1
z U D zI C zx zI C z Y + +


Ham truyen xung bay gi c nh ngha nh sau :
D zI C z H +
1
) ( ) ( (9-6)
nh ly 9.1 : ap ng xung cua (9-3) va Ham truyen xung (9-6) la mot cap bien oi z,
ngha la { } ) ( ) ( z H k h Z
Ham truyen z :
Cho he G(s)=C(s)/R(s)
Ham truyen z c nh ngha la ty so cua bien oi z ngo ra va bien oi z ngo vao.
) (
) (
) (
z R
z C
z G
Th du : Cho he thong ri rac mo ta bi phng trnh sai phan :
C(k+3) + 2c(k+2) -5c(k+1)+ 3c(k) =2r(k+2) + r(k). Tm ham truyen G(z)=C(z)/R(z) cua
he thong :
3 5 2
1 2
) (
) (
) (
2 3
2
+ +
+

z z z
z
z R
z C
z G
Tnh toan ham truyen xung:
Ham truyen xung co the c tnh trc tiep t ham truyen lien tuc. Cho he c mo ta
bi ham truyen G(s) co khau gi bac khong trc (hnh 9.6). Ham truyen z la:



{u(kT)} u(t) y(t) {y(kT)}

T T
H(z)

Hnh 9.6: Lay mau he lien tuc
Khau ZOH co ham truyen :
ZOH
) (s G
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

283
s
e
s G
Ts
ZOH

1
) ( , v z=e
sT

Bien oi z ngo ra :
) (
) (
) 1 ( ) (
1
z U
s
s G
Z z z Y
,
_



(9-7)
Ham truyen xung c xac nh duy nhat bi ap ng vi tn hieu cho trc. Xet ngo
vao ham nac n v. Chuoi {u(kT)} la chuoi n v va tn hieu u(t) la ham nac n v.
Ky hieu Y(s) la bien oi Laplace cua y(t), ngha la
s
s G
s Y
) (
) (
Cho ngo ra lay mau {y(kT)} co bien oi z { } Y L Z Y
1
~

. Chia Y
~
cho ham truyen xung
cua ngo vao , U(z)=z/(z-1), ta co
) (
~
) 1 ( ) (
1
z Y z z H


Thu tuc tnh ham truyen xung nh sau :
1.Xac nh ap ng nac cua he vi ham truyen G(s).
2.Xac nh bien oi z tng ng cua ap ng xung.
3. Chia cho bien oi z cua ham nac n v.
Neu u(t) la ham nac n v th bang thu tuc nay cong thc c dan ra :
ds
s
s G
e z
e
i z
z
z H
i
i
sT
sT

) (
2
1 1
) ( (9-8)
Neu ham truyen G(s) TIEN TI ZERO T nhat khi |s|
-1
vi s ln va co cc rieng biet,
khong co goc toa o , ta co
) (
1
Re
1
) ( s G
s
e
s
e z
z H
i
s s
sT
sT

'

(9-9)
Bang 9.2: Mot so ham thi gian va bien oi Laplace va bien oi z tng ng.
f Lf Zf
(k) (xung) - 1
1 k0 (nac thang)
s
1

1 z
z

kT
2
1
s

2
) 1 ( z
Tz

2
) (
2
1
kT
3
1
s

3
2
) 1 ( 2
) 1 (

+
z
z z T

a
kT
e


as
a
+ 1

a
T
e z
z


a
kT
e a


) 1 (
1
as s +

) )( 1 (
) 1 (
a
T
a
T
e z z
e z


kT sin
2 2

+ s

1 cos 2
sin
2
+ T z z
T z



PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

284
Dung Matlab e bien oi ri rac :
1.Bien oi t tng t sang ri rac va ngc lai :
Th du 1 : Cho he :
) 1 (
1
) (
1
+

s s
s G Tm G
1
(z)
Ham vd2_14a.m :
numc1=[1];
denc1=[1 1 0];
T=1;
[numz,demz]=c2dm(numc1,denc1,T,'ZOH') ;
printsys(numz,denz,'z')
>> vd2_14a

num/den =

0.36788 z + 0.26424
----------------------
z^2 - 1.368 z + 0.3679
3679 , 0 368 , 1
2642 , 0 369 , 0
) (
2
+
+

z z
z
z G
Th du 2: oi G(z) sang G(s)
Ham vd2_14.m :

numz=[0.369 0.2642];
denz=[ 1 -1.368 0.3679];
T=1;
[numc1,denc1]=d2cm(numz,denz,T,'zoh');
printsys(numc1,denc1)
>> vd2_14

num/den =

0.00078371 s + 1.0017
----------------------------
s^2 + 0.99994 s - 0.00015819
) 1 (
1
) (
1
+

s s
s G
Th du 3: Ve ac tnh tan cua he lien tuc va gian oan
) 1 25 , 0 )( 1 10 (
) 1 79 , 0 ( 45
) (
+ +
+

s s s
s
s W
num=[ 0 0 35.5 45];
den=[0.25 10.025 1 0];
[numz,denz]=c2dm(num,den,0.1,'zoh') % numz=[0 0.2807 -0.1589 -0.0779], T=0,1
sec
%denz=[1.0000 -2.0084 1.0265 -0.0181]
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

285
w=logspace(1,pi);
[magz,phasez]=dbode(numz,denz,0.1,w);
figure
loglog(magz)
figure
semilogx(w,phasez)
hold
[mag,phase]=bode(num,den,w);
figure
loglog(w,mag)
figure
semilogx(w,phase)
Th du: Lay mau he lien tuc vi ham truyen :
) 5 , 0 (
1
) (
+

s s
s G
p
dung mach gi bac
khong ZOH va T=1sec. Ham truyen Z cua he gia ngo ra va ngo vao la :


Hnh 9.7
G(z)=(1-z
-1
).Z{Gp(s)/s}=)=(1-z
-1
). }
) 5 , 0 (
1
{
2
+ s s
Z
606 , 0 606 , 1
361 , 0 426 , 0
) (
2
+
+

z z
z
z G

Ham truyen z cua he h va he vong kn:
Th du: Cho he ri rac co hai khau noi tiep cach nhau bi khau lay mau:


R(s) R* C(s) C*(s)
T T T


Hnh 9.8
) ( ). (
) (
) (
) (
2 1
z G z G
z R
z C
z G (9-10)
C G1(s)=1/(s+a), G2(s)=1/(s+b). Tm ham truyen z tng ng cua he:
) )( (
) ( ). (
) (
) (
) (
2
2 1
bT aT
e z e z
z
z G z G
z R
z C
z G



Th du: Cho he ri rac co hai khau noi tiep khong cach nhau bi khau lay mau:


G1(s) G2(s)
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

286

Hnh 9.9
) (
) (
) (
) (
2 1
z G G
z R
z C
z G (9-11)
C G1(s)=1/(s+a), G2(s)=1/(s+b). Tm ham truyen z tng ng cua he:
v i G1G2(z)=Z{G1(s).G2(s)}
) )( )( (
) (
) (
) (
) (
) (
2 1
bT aT
aT bT
e z e z a b
e e z
z G G
z R
z C
z G



Th du:Cho he thong ri rac hoi tiep co khau lay mau trong kenh sai so:

Hnh 9.10
) ( 1
) (
) (
) (
) (
z GH
z G
z R
z C
z G
k
+
(9-12)
Cho G(s)=1/(s+a), H(s)=1/(s+b). Tm ham truyen z tng ng cua he:
Ham truyen Z cua he kn
) ( 1
) (
) (
) (
) (
z GH
z G
z R
z C
z G
k
+
la :
a.
) ( ) )( )( (
) )( (
) (
) (
) (
aT bT bT aT
bT
k
e e z e z e z a b
z e z a b
z R
z C
z G

+



Bien oi Z
m
:
Bien oi z hieu chnh cua ham lien tuc c cho bi

+
0
) ( ) , (
~
k
k
mT T kT f z m z F vi 0 m 1 (9-13)
Bien oi z ngc c cho bi
(9-14)

trong o ng bao tat ca iem k d cua tch phan.
9.1.3.Phan tch he ri rac:

On nh : tieu chuan Jury
Th du: Xet on nh he bac hai
Cho phng trnh ac tnh la

+ dz z m z F
i
mT T nT f
n 1
) , (
~
2
1
) (

PDF created with pdfFactory Pro trial version www.pdffactory.com


http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

287
0 ) (
2 1
2
+ + a z a z z A (9-15)
S o Jury la
1 A1 A2
A2 A1 1
2 2
a
2
2
1 a ) 1 (
2 1
a a
) 1 (
2 1
a a
2
2
1 a
2
1
1
1 a
a
+

2
2
2
1 2
2
1
) 1 (
1
a
a a
a
+




Tat ca nghiem cua (9-15) nam trong vong tron n v neu
( ) 0 ) 1 (
1
1
0 1
2
1
2
2
2
2
2
2
> +
+

>
a a
a
a
a

ieu nay cho ra ieu kien:
A2<1
A2>-1+a1
A2>-1 a1
Vung on nh cua phng trnh bac hai nh tren.
V du: He xung hnh co thong so sau: k=30; T1=20 sec; T=1 sec. Kiem tra he on nh
theo nghiem cua phng trnh ac trng he gian oan.


X(t) E(t) e(kT) u(t) y(t)
-
T


Hnh 9.11

s
e
s G
s T
k
s G
sT
ZOH

1
) ( ;
1
) (
1


Num=[30];
Den=[20 1];
[numz, denz]=c2dm(num,den,1,zoh);
[numzK, denzK]=cloop(numz,denz,-1);
roots(denzK)

ans=-0.5119
Nh vay he se on nh v nam trong ng tron ban knh n v tren mat phang z.


ZOH
) (s G
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

288
9.2. Thuat toan ieu khien. Bieu dien he lien tuc bang he ri rac bien trang thai :


r(kT) + e(k) u(t) y(t)

- T T
ZOH




Hnh 9.14
Trong o : C(z) : la ham truyen Z cua bo ieu khien so
G(s) : la ham truyen oi tng.
ZOH : la khau gi bac khong (D /A).
KDCS : khau khuech ai cong suat co o li K va thng la khau phi tuyen bao hoa.
Pha trc khau ZOH la khau bao hoa do cat got so bit, chu k lay mau la T.
S d khau khuech ai cong suat la khau bao hoa la do cong suat a vao oi
tng co gii han, v du ieu khien cong suat lo nhiet bang ieu rong xung th K ch
co gia tr t 0 en 1.
Viec chon C(z) thng c thc hien theo 2 phng phap :
-Tnh thuat toan ieu khien C(s) nh vi he lien tuc, khong co ZOH, sau o thay C(s)
bang C(z). Phng phap nay n gian de thc hien oi hoi Ts nho e gan giong he
lien tuc.
-Bien oi ZOH va G(s) ra ham truyen z hay phng trnh trang thai ri rac roi dung
cong cu ly thuyet ieu khien so e tnh toan trc tiep.
*He thong lay mau tn hieu


r(kT) + e(k) u(t) y(t)

-
ZOH





Hnh 9.15





C(z)
s
e
sT
1



K
CS
K
G(s)
A/D
May tnh

oi
tng
Hoi
tiep
D/A

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

289

r(kT) + e(k) u(t) y(t)

-
ZOH





Hnh 9.16
Khau co tre : he thong co tre do khau tnh toan cua may tnh. Bien oi Z
m

*Bieu dien he lien tuc bang he ri rac bien trang thai :
Phng trnh trang thai ri rac cua he lien tuc co tre:
u J HX Y
Gu FX X
. +
+
&

) ( . . ) ( ) 0 ( . ) ( ) (
1 1
s U G F sI X F sI s X

+

[ ] [ ] ) ( . ) ( ) 0 ( . ) ( ) (
1 1 1 1
s U G F sI L x F sI L t x

+
[ ]

d G d e G
T F
F sI L e t
T T
F
d
d
Ft





0 0
1 1
). (
) (
) ( ) (
(9-16)
Th du : Cho he lien tuc co phng trnh trang thai nh sau :
u x y
u x
dt
dx
1
]
1

+
1
]
1

1
]
1

+
1
]
1

1 1
1 1
.
1 1
1 1
1 1
1 1
.
1 1
0 0

Tm phng trnh tang thai ri rac .
1
1
1
1
]
1

+ +

1
]
1

+
+

1
]
1

) 1 (
1
) 1 (
1
0
1
1
0 1
) 1 (
1
1 1
0
) (
1
1
s s s
s
s
s
s s s
s
F sI









May
tnh
D/A

oi
tng
Hoi
tiep
[ ]
1
]
1

1
]
1


1
]
1


1
]
1

) 2 2 ( 1 1
1 1
). (
1
0 1
) (
) ( . ) ( 1 ). 1 (
0 ) ( 1
) ( ) (
0
1 1
T
T
d
T T d
t t
Ft
e T T
T T
d G
e e
T F
t u e t e
t
F sI L e t

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

290

Th du: Lay mau he lien tuc vi ham truyen :
) 1 (
10
) (
+

s s
s G
p
dung mach gi bac
khong ZOH va T=0,1sec.



Viet phng trnh trang thai ri rac.
Khi viet phng trnh trang thai ri rac, ta khong dung khau ZOH. He lien tuc :

U(t) y(t)



Them bien trung gian X(s):

) ( ). 1 (
) (
) (
) (
s X s s
s X
s U
s Y
+

Y=X
U=s
2
.X+ sX
at bien trang thai : X=x
1

sX=x
2

Ta co :
1
2 2
2 1
x y
u x x
x x

'

&
&

Hay :
[ ] x y
u x
x
x
x
. 0 1
.
1
0
.
1 0
1 0
2
1

1
]
1

+
1
]
1

,
_

&
&
&

1
]
1

1
]
1

1
0
,
1 0
1 0
G F

1
1
1
1
]
1

+
+

1
]
1

+
+

1
]
1

) 1 (
1
0
) 1 (
1 1
0
1 1
) 1 (
1
1 0
1
) (
1
1
s
s s s
s
s
s s s
s
F sI
1
]
1

1
]
1



1
]
1

9 , 0 0
09 , 0 1
0
1 1
) (
0
1 1
) (
T
T
d
t
t
e
e
T F
e
e
t
G
p
(s)
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

291
1
]
1

1
]
1

1
]
1

1
]
1

1
]
1


1 , 0
0
1
1 1
1
0
.
0
1 1
. ). (
0 0 0
d
T
T T
t
t T
t
t T
d
G
e
e T
dt
e
e
dt
e
e
dt G t G



Vay he phng trnh trang thai ri rac la :
[ ] ) ( . 0 1 ) (
) ( .
1
0
) ( .
9 , 0 0
09 , 0 1
) 1 (
k x k y
k u k x k x

1
]
1

+
1
]
1

+

vi
1
]
1

1
]
1

+
+
+
) (
) (
) ( ,
) 1 (
) 1 (
) 1 (
2
1
2
1
k x
k x
k x
k x
k x
k x
Th du: Mo hnh ong c
ong c ien mot chieu c mo ta bi mo hnh bac hai vi mot khau tch phan va mot
hang so thi gian. Ngo vao la ien ap a vao ong c va ngo ra la v trgoc . Hang so
thi gian do phan c kh cua he, va ong hoc do phan ien la bo qua. Mo hnh chuan
hoa n gian cua oi tng c cho bi
) (
) 1 (
1
) ( s U
s s
s Y
+

Gii thieu van toc va v tr cua ong c nh cac bien trang thai. Mo hnh khong gian
trang thai cua ong c c cho
(9-17)





ien ap u van toc x1 V tr x2



Hnh 9.17: Mo hnh chuan hoa cua ong c DC.
Th du: Mo hnh chuan hoa n gian cua ong c ien mot chieu c cho bi

( )x y
u x
dt
dx
1 0
0
1
0 1
0 1

,
_

,
_


Tm phng trnh trang thai ri rac:
Phng phap bien oi Laplace cho
1
1
+ s

s
1

( )x y
u x
dt
dx
1 0
0
1
0 1
0 1

,
_

,
_

PDF created with pdfFactory Pro trial version www.pdffactory.com


http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

292
1
1
1
1
]
1

+
+

1
]
1

+ +

1
]
1

s s s
s
s
s
s s s
s
A sI
1
) 1 (
1
0
1
1
1 1
0
) 1 (
1
1
0 1
) (
1
1

V vay

1
]
1


1
]
1

1 1
0
) (
1 1
0
) (
T
T
AT
d
t
t
e
e
e F T
e
e
t
va
1
]
1

1
]
1

1
]
1

1
]
1

T
T T
t
t T
t
t T
d
e T
e
dt
e
e
dt
e
e
dt B t G
1
1
1 0
1
.
1 1
0
. ). (
0 0 0


9.3. Bien oi C(s) ra C(z)
Vi phan va xap x Tustin:
Ham truyen the hien phng trnh sai phan. That la t nhien at c phng trnh sai
phan bang xap x ao ham vi sai phan ti:
) (
1 ) ( ) ( ) (
) ( t x
T
q
T
t x T t x
dt
t dx
t px

+
(9-18)
hay sai phan ngc
) (
1 ) ( ) ( ) (
) ( t x
qT
q
T
T t x t x
dt
t dx
t px


(9-19)
Xap x sai phan tng ng vi chuoi sau:
sT e z
st
+ 1 : phng phap le (9-20)
sT
e z
st


1
1
: sai phan ngc. (9-21)
Mot xap x khac , tng ng vi phng phap hnh thang cho tch phan so:
s sT
sT
e z
sT
/ 1
2 / 1
+

(phng phap hnh thang) (9-22)


Bien oi C(s) ra C(z)

Ham truyen tng ng cua bo ieu khien lien tuc



R e u c
+
-





Hnh 9.18
D(s) : ham truyen cua bo ieu khien analog.

D(s) G(s)
H(s)
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

293


r(kT) + e(k) u(kT) u(t) y(t)

-

y^(kT)



Hnh 9.19
Tm D(z) thay cho D(s) e khoi mat thi gian tnh toan lai.
Gia s
a s
a
s D
+
) (

Co ba phng phap :
-hnh ch nhat thuan
-hnh ch nhat ngc
-hnh thang(song tuyen tnh).
*hnh ch nhat thuan:
z=sT+1
T
z
s
1

a
T
z
a
z E
z U
+

1
) (
) (

*hnh ch nhat ngc
Ts
z

1
1

Tz
z
s
1

a
Tz
z
a
z E
z U
+

1
) (
) (

*hnh thang(song tuyen tnh):
2
1
2
1
Ts
Ts
z

+

1
1 2
+

z
z
T
s
a
z
z
T
a
z E
z U
+
+

1
1 2
) (
) (


Th du: Bo tch phan G(s)=1/s
Tm bien oi ri rac G(z) dung bien oi thuan
Giai:
D(z) ZOH G(s)
H(s)
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

294
1
1
1
) (

z
T
T
z
z G
Th du: ieu khien PID:
s K
s
K
K
s E
s U
D
I
P
.
) (
) (
+ +
PID ri rac :
Bien oi ngc :
) 1 .(
] 2 [ ] [
1
.
1
1
.
1
) (
) (
2 2

+ + + +

+
z Tz
K K TK z K T K TK z
Tz
z
K
z
Tz K
K
Tz
z
K
Tz
z
K
K
z E
z U
D D P D I P
D
I
P
D
I
P


9.4. . Thuat toan PID so
Thiet ke bo ieu khien so:
1/Mo phong t D(s) sang D(z).
2/Thiet ke ham truyen bang quy ao nghiem so, gian o Bode: Cac khau sm pha, tre
pha, sm tre pha, PID.
3/Thiet ke theo phng trnh trang thai ri rac: at cc, c lng trang thai va ieu
khien LQR, LQG.
Trong phan di ay chung ta se thiet ke thuat toan PID ri rac. Cac phan con
lai ban oc them trong tai lieu tham khao [3].

He ieu khien lien tuc rat thng s dung thuat toan PID v de thiet ke, thc
hien va co chat lng chap nhan c. Thuat toan co dang:
s K
s
K
K s T
s T
K
s E
s U
s C
D
I
P D
I
P
. ) .
.
1
1 (
) (
) (
) ( + + + + (9.23)
vi K
p
: he so t le; T
I
: thi gian tch phan; T
D
: thi gian ao ham.
K
I
: he so tch phan; K
D
: he so ao ham.
Vi
D P D
I
P
I
T K K
T
K
K ;
Bien oi ri rac (s f(z)): dung bien oi ngc , song tuyen tnh .
Bang 9.3 . Mot so anh hng cua cac he so tren ap ng he kn
He so Thi tang Thi gian xac
lap
Vot lo Sai so xac lap
K
p
Giam tang Giam
K
I
Giam tang tang Kh
K
D
Giam Giam

Mot so tai lieu dung ky hieu dai t le PB thay cho K
p
.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

295
PB=100/ K
p
.
Dung ky thuat bien oi ngc , ta co thuat toan PID so sau:

,
_

+ + + +

+ + + +

+
) (
) 2 ( ) (
) 1 .(
] 2 [ ] [
1
.
1
1
.
1
) (
) (
) (
2
2 2
2 2
z z T T
T T z T T T T z T T T T T
K
z Tz
K K TK z K T K TK z
Tz
z
K
z
Tz K
K
Tz
z
K
Tz
z
K
K
z E
z U
z C
S I
I D S I I D I D S S I
P
D D P D I P
D
I
P
D
I
P
(9.24)
(9.25)

u
P
(k)=K
p
e(k) (9.26)
u
I
(k)= u
I
(k-1)+ K
I
e(k) (9.27)
u
D
(k)=K
D
e(k) (9.28)

trong o u(k), e(k) lan lt la tn hieu ieu khien va tn hieu sai lech thi iem lay
mau k.
1/ Thuat toan PID so 1: Trong cac giao trnh thng trnh bay thuat toan PID di dang
gia so sau: dung tch phan hnh ch nhat ngc va sai phan ngc cho ao ham
)) 2 ( ) ((
2 1 1 1
+ + + +
k k k
S
D
k
I
s
k k p k k
e e e
T
T
e
T
T
e e K u u (9-29a)
hay ) 2 ( ) (
2 1 1 1
+ + + +
k k k
S
D
k s I k k p k k
e e e
T
K
e T K e e K u u
hay
2 2 1 1 0 1
+ + +
k k k k k
e a e a e a u u
trong o :
S
D
I s P
T
K
K T K a + +
0

S
D
P
T
K
K a 2
1
(9-29b)
S
D
T
K
a
2

2/ Thuat toan PID so 2: dung tch phan hnh thang va sai phan ngc cho ao ham ta
co:
) 2 ( ) ( 5 , 0 ) (
2 1 1 1 1
+ + + + +
k k k
S
D
k k I s k k p k k
e e e
T
K
e e K T e e K u u (9-30a)
hay
2 2 1 1 0 1
+ + +
k k k k k
e a e a e a u u
trong o :
) ( ) ( ) ( ) (
0
k u k u k u u k u
D I P
+ + +
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

296
S
D I s
P
T
K K T
K a + +
2
0

(9-30b)

S
D
T
K
a
2

Giai thuat (9-30a) tot hn at c neu t phng trnh (9-29a) tha so K
i
.e
k
c thay
bang 0,5K
i
(e
k-1
+e
k
).
Hoac :
Theo phng php hnh thang ta c bin i z PID nh sau:
( )

,
_

,
_

+
+
z
z
T
K
z
z T K
K z G
d i
p
1
1
1
2
`

Vit li G(z) ta c:
( )
1
2 1
1
2
2 2

,
_

+
,
_

+ +
,
_

+ +

z
z
T
K
z
T
K T K
K
T
K T K
K
z G
d d i
p
d i
p

t:
T
K T K
K a
d i
p
+ +
2
0

T
K T K
K a
d i
p
2
2
1
+
T
K
a
d

2

Ta c:
( )
1
2
2
1
1 0
1

+ +

z
z a z a a
z G
T , ta tnh c tn hiu iu khin u(k) khi tn hiu vo e(k) nh sau:
( ) ( ) ( ) ( ) k e
z
z a z a a
k e z G k u
1
2
2
1
1 0
1

+ +

Cui cng ta c c cng thc sau:
( ) ( ) ( ) ( ) ( ) 2 1 1
2 1 0
+ + + k e a k e a k e a k u k u

Viec chon thong so cua PID co the da vao phng phap bieu o Bode, quy ao
nghiem, Simulink,.. nhng oi hoi phai biet ham truyen cua oi tng. Ziegler Nichols
e ngh chon thong so theo phng phap sau:
S
D s I
P
T
K T K
K a 2
2
1
+
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

297
1/Da vao ap ng he h:
Neu ap ng he h co dang nh hnh sau th co the chon thong so theo bang 9.4:

Mot he co ap ng nac nh hnh 9.20 co the c xap x bi ham sau:
sL
e
sT
k
s G

+

1
) (

vi K la o li tnh, L la tr hoan thi gian va T la hang so thi gian.. Tham so a c
cho bi
T
L
k a
y


k









L T t

Hnh 9.20

Bang 9.4
Bo ieu khien K
p
T
I
T
D

P 1/a
PI 0,9/a 3L
PID 1,2/a 2L L/2
ap ng tren thng ac trng cho lo nhiet hay ieu khien mc, ap ng he kn co vot
lo vi he so em khoang 0,2.
2/Phng phap gii han on nh:
Ban au cho K
I
va K
D
bang 0, ta ieu khien vi K
p
tang dan cho en K
max
khi ap ng
ra dao ong chung quanh tr at vi chu k T
p
. Cac thong so chon nh bang sau.

Bang 9.5
K
p
.K
max
T
I
T
D

P 0,5
PI 0,45 T
p
/1,2
PID 0,6 0,5T
p
T
p
/8
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

298
9.5. Anh hng cua khau bao hoa
Thc te tn hieu ieu khien se b gii han tren va di do mach DAC hay do
gii han cong suat, chang han neu ta ieu khien nhiet o lo ien tr th tn hieu ieu
khien la phan tram cong suat a vao va nam trong khoang t 0 en 1, neu ieu khien
van toc ong c mot chieu th van toc khong the qua tr so toi a khong tai qui nh bi
nguon cung cap.
S bao hoa cua tn hieu ieu khien lam cho ap ng cua he kn se b keo dai, sai
so khong oi dau lam cho thanh phan I se tch luy vt troi so vi thanh phan P va D,
khi tn hieu sai leach ao dau th phai can mot thi gian mi giam c thanh phan I,
do o ap ng ra se co vot lo ln, hien tng nay goi la windup. e khac phuc ta co the
dung tch phan co ieu kien, ban au khi sai leach con ln ta khong dung I ma ch dung
P, khi sai lech nho hn tr a nh ta a thanh phan I vao, tuy nhien viec chon mc sai
leach cung khong phai la de dang. Ta cung co the lap trnh e tn hieu ieu khien
khong vt qua gii han bang cach thay oi thanh phan I.
Th du: xet oan chng trnh cho ieu khien PI sau:
Ci:=kp*ts/ti;
Er:=yd-y;
It:=it+ci*er; { thanh phan tch phan}
V:=kp*er+it;
U:=lim(v,umin,umax); {ham gii han u=v gia hai gii han}
It:=u-v+it; {neu u trong khoang hai gii han th it khong oi}
{neu u bang gii han thay oi it}
Thuat toan chong windup c viet di dang:
V(k)=kp*e(k)+ it(k) (1)
It(k+1)=it(k)+er(k)*kp*ts/ti+(u(k)-v(k))ts/tt (2)
Trong o tt-goi la thi gian tracking.
U(k)-la tn hieu ra ieu khien.
U(k)=v: neu trong khoang umin, umax.
U(k) bang gii han neu : v vt khung.
Th du: ieu khien lo nhiet co ham truyen 200exp(-10s)/(1+100s), ta ieu khien cong
suat bang phng phap ieu rong xung thay oi ton cua role ban dan vi chu k 2 giay,
lo nhiet co nhiet o toi a 200oC, thi hang 100s va thi tre 10s, theo Ziegler-Nichols
bo ieu khien PID co K
p
=0,045, T
I
=30s, dung chuyen oi ADC 12 bit, FS 2V va khuech
ai chuyen oi 10 mV/oC, 200 oC se ng vi 07FFh, ta oi so nh phan oc t ADC sang
thap phan bang cach nhan vi 2000/2048, so nhan c la 10 lan nhiet o thc, sau khi
tnh toan theo thuat toan ieu khien ta chia ket qua cho 10, so nay goi la v tng ng ty
so t
ON
/2; gia s lng t 10 bit ta se dung mot bo em 10 bit vi tan so nhp 1023/2 Hz,
nhan v vi 1023 va lay phan nguyen ta se c so t 0 en 1023 dung ieu khien bo
em tao xung chu ky 2s va be rong t 0 en 2s ieu khien SSR. Dung Simulink cua
Matlab khao sat ta c ket qua sau, khong co khau gii han (H1).
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

299

H1a



























H1b
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

300
Ta nhan thay ap ng co vot lo ln va tn hieu ieu khien co phan am, phan dng vt
qua 1. Tang TI len 90s va giam Kp con 0.03 ap ng ra tot hn (H2).




















H2
Bay gi them khau gii han 0,1, tn hieu ieu khien se b gii han thi gian xac lap keo
dai (h3).


















H3
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

301
e khac phuc ta them khau antiwindup vi tt=0,5s, cac thong so khac khong oi (H4).

















H4
Ket qua thu c rat tot (H5).




















H5
Sau ay ta chuyen qua khao sat van e ieu khien van toc va v tr dung ong c servo,
la oi tng co quan tnh thap va thng gap trong cong nghiep.

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

302
9.6.Bo phan chap hanh va truyen ong ien. ong c servo va ieu khien v tr
9.6.1.1. Khuech ai
-Khuech ai op-amp cong suat.
-Khuech ai servo.
-Nguon cung cap lap trnh c.
-Ky thuat ieu rong xung. Mot ky thuat thong dung e ieu khien mc cong suat
kilowatt va cao hn la ieu rong xung PWM (pulse width modulation). Trong ky thuat
nay, ien ap ngo ra c chuyen gia hai gia tr hang so tan so cao, tieu bieu tam
kilohertz (Khz). ien ap trung bnh c ieu khien bang cach thay oi (ieu che) o
rong xung, con chu k xung khong oi. Xung hep dan en gia tr thap va xung rong
dan en ket qua cao ien ap trung bnh. Mach PWM c xay dng quanh phan ieu
khien cong suat bang chuyen mach trang thai cng nh la transistor, MOSFET cong
suat va SCR. Nhng chuyen mach ien t nay bat va tat cong suat nay u.

U(t)

Umax Ton

Toff t
T T
Hnh 9.21: Gian o xung
Ta goi o rong xung tng oi cua chuoi xung la
off on
on
T T
T
D
+

Bien o ien ap cua chuoi xung la Umax.
Gia tr hieu dung trung bnh cua chuoi xung xung vuong:
max max
. xU
T T
T
U D u
off on
on
+

Nh vay, vi ien ap Umax khong thay oi, khi o rong xung thay oi lam cho ien ap
ngo ra trung bnh thay oi. Ta ap dung phng phap nay e ieu khien toc o ong c
DC.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

303
Tn hieu PWM ngay cang c dung nhieu e lai bo truyen ong lien tuc nh
ong c mot chieu, servo thuy lc va ong c xoay chieu. Neu tan so chuyen mach cua
bo khuech ai PWM la u cao lien quan ti hang so thi gian bo truyen ong th tn
hieu se at trung bnh quanh mot so gia tr, ieu nay la chang han trng hp he thong
nhiet cho bon nc (hnh ). Cong suat lo nhiet ngo vao la chuyen mach gia zero va
gia tr cc ai, dan en ket qua cong suat trung bnh nao o ma cho phep nhiet o nc
dao ong chut t quanh gia tr co nh. Neu tan so chuyen mach la u cao lien quan en
hang so thi gian cua he thong bon nc th thay oi nhiet o la khong ang ke.

Cong suat ra lo nhiet

on

off t

Nhiet o nc


T
Hnh 9.22: S dung ieu khien on/off cho he thong nhiet o.
9.6.1.2. ieu khien v tr va van toc








PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

304


ong c Tai
V tr at Toc o at Moment at
+ + +








Hnh 9.23: S o cau truc cua he thong ieu khien servo v tr.


Tn hieu hng (chon cc tnh DAC)

Toc o at K i
m
ong c DC


Toc o tachometer
Xung tang v tr
Hoi tiep v tr encoder

So Tng t


Hnh 9.24: Tron lan vong lap ieu khien servo so va tng t.
ieu
khien
v tr
ieu
khie
n toc
o
ieu
khien
momen
t
-1
-1
-1
Outp
ut
port
Bo
em
len/x
uong
+

-
K
v tr
DAC
-1
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

305
e hien thc v tr cho canh tay robot, may cong cu, o a may tnh hay c che servo
nao khac th he thong ieu khien hoi tiep c ap dung ti ong c. Cau truc cua he
thong ieu khien v tr c minh hoa hnh 2 va giong nhau bat chap loai ong c.
V tr goc c o bang cam bien (th du encoder hay tachometer) va c so
sanh vi gia tr v tr at trc (setpoint) ma may tnh tac ong. T sai so v tr bo ieu
khien tnh toan van toc phai thay oi bao nhieu e hieu chnh v tr. Van toc goc mong
muon (van toc tham chieu) c so sanh vi toc o thc.
ong c phai tao rat hay oi ve moment lai e co c van toc goc khac.
Moment ong c can thiet c tnh toan bi bo ieu khien toc o. Gia tr nay lai c
so sanh vi moment thc te (chung ta thay la moment c o thong qua dong rotor).
Bo ieu khien moment cha mo hnh ong hoc ong c va tnh toan ien ap can thiet
e tao ra moment. Bo ieu khien moment thng c trang b bang thiet b ien t
cong suat ma co the tao ra ien ap va tan so mong muon.
ieu khien v tr cha ba vong lap, vong lap moment, toc o va v tr va c
goi la he thong ieu khien cascade (noi tiep). Cau truc ieu khien nay c thao luan
trong chng 9. Nhieu bo phan chap hanh tao ra van toc thay v v tr. Khi vong lap v
tr c g bo th toc o tham chieu c nhap trc tiep bi may tnh ieu khien.
Neu he thong ieu khien c thc hien bang ky thuat tng t th may tnh gi
lenh v tr servo v tr tng t thong qua DAC. Van toc c o bang tachometer (phat
toc xung) va v tr c o bang potentionmeter (ca m bien ien tr). Mot cach tiep can
th hai ket hp ky thuat ieu khien so va tng t. (hnh 3). ay la cau truc chuan ke t
thap nien 1960.
ieu khien van toc c thc hien bang ien t tng t trong khi vong lap ieu
khien v tr c thc hien bang may tnh. Cam bien v tr ong c la encoder tang ma
tao ra so xung co nh tren vong quay motor. Khi motor quay, mot chuoi xung c tao
ra va toc o xung la t le vi toc o ong c. May tnh gi ra xung v tr a cong vao
bo em, trong khi xung encoder b tr . Sai so vi tr tng ng vi sai lech xung va
c gi ti bo chuyen oi so-tng t (DAC) ma chuyen sai so v tr thanh ien ap;
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

306
ien ap nay tr thanh gia tr van toc tham chieu. Ti may tnh giao tiep nay giong nh
cua ong c bc.
9.6.1.3. ong c bc
ong c bc la bo truyen ong ien tang ma c lai trong s gia tang goc co nh
(goi la bc-step). Moi bc quay la ap ng cua rotor ong c ti mot xung vao. V
moi bc cua ong c c ong bo vi xung t lenh may tnh nen viec ieu khien n
gian. Tuy nhien, ieu nay gia s rang v tr motor c nh ngha tot bi xung ( khong
co bc nao b bo qua ) e ma hoi tiep t v tr goc motor (ieu khien vong h) la can
thiet. Xung mat i co the la mot van e di ieu kien qua o cao gan moment c
tnh. V vay ieu khien hoi tiep c dung e bu sai so ong c. Bo ieu khien v tr
tao ra chuoi xung phu hp e ieu khien ong c.
Mot so thuan li cua ong c bc la:
. Chnh xac cao cua chuyen ong tham ch di ieu khien vong h.
. De thc hien trong ng dung so.
. Khong can co gop c kh.
Mot so khuyet iem cua ong c bc la:
.Kha nang moment thap so sanh vi ong c truyen ong lien tuc.
. Toc o gii han.
. Mc dao ong cao v chuyen ong tng bc mot.
. Sai so ln va dao ong nh la ket qua cua xung b mat trong ieu khien vong h.
Co nhieu ng dung vi ong c bc e ma chung c dung rat nhieu trong ng dung
cong suat nho.
9.6.1.4. ong c mot chieu
ac tnh ien va c kh cua ong c mot chieu (DC motor) c mo ta.
Th du: ong c mot chieu kch t oc lap
ong c mot chieu la hnh thc au tien cua ong c ien. No chuyen nang lng ien
mot chieu thanh nang lng c. S o cua ong c c minh hoa hnh .
Co hai t trng nam cham trong ong c. T trng phan cam c tao ra bi
hoac la nam cham vnh cu hoac la nam cham ien, trong o nguon ien ap oc lap
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

307
c noi vi cuon day xung quanh cc phan ca m (stator). e n gian, chung ta gia s
t trng phan cam la khong oi theo thi gian. Khi ien ap at vao ma ch phan ng
(rotor) th mot t trng nam cham phan ng c tao ra.

Hnh 9.25: S o khoi ong c DC va s o ien cua mach phan ng.
Quan day c sap xep sao cho t trng phan ng luon vuong goc vi t
trng phan cam. Khi hai t trng tao thanh mot goc, gia chung se co ket qua mot
moment ma lam chung song song nhau. Khi rotor quay e mang lai t trng nam
cham cua no song song vi t trng phan cam, th quan day cua phan ng chuyen
mach c kh bi co gop. Ket qua la hng t trng phan ng b gi co nh trong
khong gian va vuong goc t trng phan cam. Nh vay, moment la giong nhau cho tat
ca goc phan ng.
Moment c tao ra bi ong c th t le vi cng o t thong phan cam va dong ien
phan ng. V t thong phan cam la hang so trong v du nay, nen moment ong c la
i k T
m m

trong o k
m
la hang so ong c. Vi moment tai T
L
phan c kh c mo ta bi
L m
T k
dt
J d

) (
(9-31)
trong o J la moment quan tnh tong cong (ong c va tai).
Nh la ket qua cua quan day vong quanh t trng phan cam, mot sc ien ong cam
ng e c tao thanh. Vi t trng phan cam khong oi e t le vi toc o quay :
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

308

g
k e (9-32)
trong o kg la hang so. Neu n v la duy nhat th km=kg=k. Theo nh luat Lenz, t
thong do sc ien ong e tao ra se chong lai t thong do dong ien goc chay qua
day tao ra.
Mach ien phan ng c the hien bang ien tr R va cam L. Gia s L khong oi, luat
t cam xac nh ien ap chay qua mach:
k Ri v
dt
di
L (9-33)


Moment ong c
ien ap rotor Tch phan Tch phan
v + i T
m


-T
L

-Ri dong ng moment tai
C kh
-e
sc ien ong toc o
Mach rotor



Hnh 9.26: S o khoi ong c mot chieu kch t oc lap

ong c DC rat thong dung nh la servomotor , tham ch ngay nay no b thach thc bi
ong c xoay chieu (AC motor). Co gop la khuyet iem thc s ma gii han cong suat
va toc o ong c dc. ieu nay c khac phuc trong ong c dc khong choi than ,
chnh la ong c dc rotor( phan ng) t vnh cu trong o co gop c thay bang
chuyen mach ien t cua dong stator (phan cam). Ve khai niem, ong c dc khong choi
L
1


k
J
1



-R
-k
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

309
than la loai giong vi ong c bc va mot so loai ong c ac ong bo. ong c dc se
c xem xet trong ng dung ieu khien muc 9.8.2.
ieu khien ong c dc c thc hien bang cach ieu khien ien ap cung cap
cho rotor va (thnh thoang) mach t trng . e dung mot bien tr noi tiep vi nguon
cung cap th co nhieu khuyet iem. No lang ph va nhiet tao ra trong ien tr phai c
g bo e tranh h . Cach thong thng ieu khien ien ap cung cap la bang cach dung
thiet b trang thai cng. Bang cach thay oi thi gian on va off cua chuyen mach, ien
ap cung cap ti mach ong c co the b bam (chopped) e ma ien ap trung bnh c
ieu khien. Mot chuyen mach thong dung trong ong c dc la SCR (xem phan ieu
khien ong c mot chieu bang SCR).
Khi Cng sut ieu khien ong c DC: dung cau H va ICL293
J2
DONG CO
1
2
D15
DIODE
U3
L293
2
7
1
0
1
5
1
9
3
6
11
14 4
5
1
3
1
2
1
6
8
1A
2A
3
A
4
A
1/2EN
3
/
4
E
N
1Y
2Y
3Y
4Y GND
GND
G
N
D
G
N
D
V
C
C
1
VCC2 +24V
U5A
7414
1 2
1
4
7
D12
DIODE
+24V
PWM
D13
DIODE
D14
DIODE
+24V
U5B
7414
3 4
1
4
7
+24V
+5V
Selec

Hnh 9.27 Khi cng sut

Hnh 9.28 Nguyn l hot ng ca cu H
Da vo nguyn l hot ng ca cu H bn trong IC L283, Nu chn Enable (chn 1/2EN) khng tch
cc th ng c khng hot ng. Nu chn Enable tch cc th da vo tn hiu Direction 1 v 2 (chn 1A v
2A) iu khin chiu quay ca ng c.


PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

310
Direction 1 Direction 2 ng c
0 0 Khng hot ng
0 1 Quay thun
1 0 Quay nghch
1 1 Khng hot ng

Theo t tnh nh bng trn ta thit k mch iu khin nh hnh 3.6.
Nhim v ca cc IC:
IC 7414 dng o tn hiu select cp vo 2 chn 1A v 2A ca IC L293.
IC L293 nhn tn hiu iu khin t IC 7414 cho php chn chiu quay ca ng c v khuch i tn
hiu PWM iu khin ng c DC.
Nhim v ca cu Diode l dp dng cm ng do ng c sinh ra nhm bo v mch iu khin.

IC L293

Hnh 9.29 S chn IC 293
IC 293 cha bn trong 4 na cu H. Mi na cu H c th iu khin 1 ng c theo
chiu quay nht nh. Ta c th kt hp 2 na cu H thnh 1 cu H hon chnh iu
khin chiu quay ca ng c.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

311
.
Hnh 9.30 S khi v cch kt hp cu H iu khin ng c
Cc thng s ca IC L293:
+ in p cung cp: t 4,5V n 36V.
+ in p ng ra: ln nht l 36V.
+ Dng ng ra: 1A
+ Dng nh ng ra (t 5ms): 2A
+ Tm nhit hot ng: 65 oC n 150oC.
+ in p iu khin (VCC1): 4,5V, cao nht l 7V. Mc cao t 2,3V n VCC1, mc
thp t 0.3V n 1,5V.

9.6.1.5. ong c AC ong bo va bat ong bo
ong c xoay chieu c dung rong rai nh servomotor. ieu nay co the c thc hien
bi s phat trien cua ien t cong suat vi cac phng phap ieu khien mi.
Gii thieu bien tan Omron:
1/Dong bien tan mi 3G3_X: khach hang tng s dung bien tan Omron cac dong
3G3JV/MV/RV eu anh gia cao o tin cay cua cac san pham nay so vi nhieu loai
khac co mat tren th trng. T thang 3-2008, 3 dong bien tan hoan toan mi c a
ra th trng Viet nam, bao gom: 3G3JX, 3G3MX, 3G3RX. Ba dong nay co nhng ac
iem noi bat nh sau:
-tuoi tho cao hn han vi linh kien cao cap (tu, quat..) (dong RX).
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

312
-Tiet kiem nang lng va tuoi tho ong c. (dong JX va RX).
-ap ng tieu chuan RoHS khong s dung 6 chat oc hai trong san pham (bao ve moi
trng).
-ap ng moi yeu cau ng dung phc tap.
-Lap at, s dung de dang, bao tr thuan tien.
-Thuat toan ieu khien PID.
-Khoang cach lap at sat nhau khong can khoang cach toi thieu 30mm (dong JX, MX).
-Chc nang dng khan cap (JX, RX).
-Co san loc nhieu vo tuyen ien.
-Nhieu chc nang mi c a vao nham giam thieu gia thanh tong the cho khach
hang.
2/Dong ieu khien servo mi SmartStep2 va Omnuc G:
SmartStep2 la the he th ba cua dong SmartStep, vi nhng ac tnh:
-Nho gon, cong suat t 50W-400W.
-Cai at va theo doi thong so de dang bang ban phm hoac CX-Drive.
-Ngo ra encoder co the cai at tuy y t 1-2500 xung/vong giup kiem tra v tr t
controller.
-Toc o oc xung lean ti 500 Khz va bo nhan xung s dung khi controller co toc o
xuat xung thap.
-Chong rung, chc nang gii han torque.
-ong c tng thch vi loai OMNUC G.
Con Omnuc G la dong servo cao cap mi nhat.

9.6.2. C cau chap hanh nh phan
9.6.2.1. Relay
9.6.2.2. SCR
9.6.2.3. Triac
TRIAC BTA12
Dng ng m gc dn ca l khi c xung kch cc G , dng s dn
t A1 xung A2
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

313





Hnh 9.31 S chn v cu to trong BTA12

Bng 9.4: thng s hot ng ca BTA12
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

314
9.6.3. Truyen tn hieu
a. Gia cong tn hieu dung Op-amp
Khuech ai thuat toan:


+Vs

Vi
-
-
Vi
+
+ V
o


-Vs
Op-amp co ngo vao ao (Vi-) , ngo vao khong ao (Vi+) va ngo ra Vo. He so khuech
ai la G. ien ap ngo ra :
) (
0
+

i i
V V G V
Mach khuech ai ao:


G=
1
2 0
R
R
V
V
i

Mach em:
Mach em khong ao dung tang tr khang vao, giam tong tr ra, o khuech ai la
1.


-
Vi + V
o

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

315
Mach khuech ai tr:
R4
R
R2
R
R3
R
VCC
Vi1
-VCC
Vi2
+
-
U1
UA741
3
2
6
7 1
4 5
R1
R
V0

trong o gia thiet ta chon R1=R4, R2=R3. Kh o ien ap ra:
) (
1 2
1
2
0 i i
V V
R
R
V
b. Cap:
-Cap ong truc (coatxial cable)
-Cap xoan (twisted pair cable)
c. Noi at tn hieu (signal grounding)
Tom tat: Truyen ong (Actuators)
-truyen ong ien, thuy lc va kh nen.
-Cuon day, r le, SCR, Triac, ong c (DC, AC, Stepping). Bo chuyen oi dong ra ap
suat. Van, bo ieu khien khoi lng-dong (Mass-Flow controller).
9.7. ieu khien so bang may tnh CNC
9.7.1.Tng quan v NC : xem [3]
-S phat trin ca NC :
NC (numerical control) la dang ac biet cua t ong hoa. Cu the, cong cu may t
ong c lap trnh e thc hien mot chuoi s kien sap at mot toc o xac nh trc
e tao ra mau vi ket qua mong muon va thong so vat l.
-Truc NC
May cong cu gom to hp ca truc tuyen tnh va quay. e ieu khien cac truc
nay, moi NC can :
.He thong o dan ng ien t.
. He thong truyen co the ieu khien c bang so.
-Phn cng
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

316
Phan cng tao nen CNC bao gom co bo vi x l (16/32 bit) va mach tch hp(IC).
Mot so ieu khien tch hp vi mach VLSI e ap ng nhu cau cu the cua khach hang
va gia thiet chung c yeu cau vi so lng ln. CHung yeu cau gon nhe, tin cay va
de bao tr. Ngoai bo vi x l, CNC con co moun bo nh ien t, mot bo phan quan
trong.
-Phn mm
Bo ieu khien NC hien ai c xem nh la may tnh chuyen dung cho ieu
khien may cong cu hay robot. Giong nh may tnh , NC can he ieu hanh , phan mem
he thong. Phan mem c thiet ke cho may cu the e ieu khien, bi v ong hoc va
tnh chat lam viec chung cua moi may la duy nhat. Phan mem ieu khien tat ca chc
nang cua may va hieu qua hoat ong va quan l tat ca lap trnh cuc bo may cong cu
va mo phong o hoa cua qua trnh catt neu co the.
Bo ieu khien hien tai tch hp ngon ng lap trnh giong PASCAL hay BASIC.
-Ch iu khin
. ieu khien iem-iem.
.ieu khien ng thang.
.ieu khien theo hai truc.
.ieu khien theo ba truc.
-Loi ni suy
. Noi suy tuyen tnh.
. Noi suy vong tron.
. Noi suy parabol.
. Noi suy spline.
-Lam vic vi b iu khin may NC
-Chng trnh tng phn.
.Khuon mau chng trnh:
Th du cua mot khoi chng trnh cho hoat ong ieu khien ng i lien tuc 3
truc:
N4, G2, X43, Y 43, Z 43, I4.3, J4.3, K4.3, F7, S4, T2, M2, $.
. Ham chieu va phu.
.Chieu tang va tuyet oi.
-Thit b nhp d liu
.au oc bang.
.Ban phm.
.Cat-xet bang t.
. a t.
. ieu khien so trc tiep DNC.
. Phng phap day.
. Giao tiep.





PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

317




































Hnh 9.32: Giao tiep tieu bieu cua may CNC hien ai

-Hin th trc quan
Cau hoi
1. S khac nhau c ban gia NC va CNC la g?
2.Cac thanh phan chnh tao nen he thong CNC hien ai la g?
3. Mo ta cac loai moun ien t c dung trong bo ieu khien NC cho lu tr bo nh.
4.He ieu hanh cua NC la g va cac ham chc nang chnh?
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

318
5.Mo ta 4 che o ieu khien dung trong NC.
6. T noi suy trong ng canh NC la g?Mo ta ngan gon t nhat 3 phng phap noi suy.
7. Cho mot v du ve khoi chng trnh NC tieu bieu, giai thch y ngha moi t phan t
ma ban dung.

9.5.2.iu khin s bng may tnh CNC
-T NC n CNC
CNC (Computer Numerical Control) la NC ket hp vi may vi tnh .


command

Feedback































NC
May cong
cu
(Machine
tool)
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

319



Hnh 9.33. So sanh gia NC/CNC. Them vao chc nang ieu khien thuan tuy, CNC co
kha nang ham m rong
Tuy nhien may CNC hien ai la khai niem ieu khien mi hoan toan (hnh )






































PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

320



Hnh 9.34 : Phat trien t ieu khien phan cng ti phan mem.

-Thit k b iu khin
Khi nha san xuat CNC phat trien mot ieu khien mi, cong viec au tien va quan
trong nhat la phan chia chc nang gia phan cng va phan mem trong mot cach e at
c yeu cau co the tot nhat gia yeu cau sau:
. Gia thanh thap.
.Thiet ke gon.
. Tnh linh hoat.
.X ly toc o cao.
. Kha nang m rong va thch nghi.
. e hoat ong va bao tr.
Tuy thuoc vao s quan trong a ra (ieu khien mi) hai tiep can CNC khac nhau
la :
1/ CNC lap rap bang gon nhe, duy nhat, re tien, san xuat bang so lng, vi kha nang
m rong gii han.
2/ He thong CNC co the m rong, at tien, ti m rong lap trnh rat ln ma khong co
thong minh ben ngoai. Bo nh : EPROM, RAM, Bubble memory.

-May vi tnh va NC
Theo cac nhiem vu co ien ieu khien di chuyen tng oi gia cong cu va
mau san pham, pham vi chc nang hoat ong so vi NC trc ay, a co m rong tnh
nang CNC tieu bieu:
.Man hnh hien th tac vu va chan oan.
. Bo nh chng trnh cho nhieu chng trnh.
.Kha nang soan chng trnh may cong cu.
.Ngo ra chng trnh ti bo nh ngoai.
. Hoat ong may cong cu thong qua oi thoai.
. Chc nang bao mat c tang cng.
-Gii phap cho vn CNC tieu bieu
1/Loi oc
2/o lng dan ng lap trnh sai.
3/Vet cat e lai do loi oc.
4/Toc o nap qua cao.
5/Thay oi truc.
6/Ngo vao cua gia tr bu cong cu.
7/o chnh xac.
8/ng dan hoat ong.
9/Sa chng trnh va soan thao.
10/Pocket milling.
11/Bu cheo ngc .
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

321
12/ He so m rong.
13/Khi ong lai vet cat tren profile cong viec.
14/o lng t ong.
15/Chia nho cua phan gi cong cu.
16/Quan l cua cong cu ch em.
17/Xac nh chieu dai cong cu t ong.
18/Nap chong CNC bang hoat ong.
19/Lap trnh vi s tr giup cua man hnh hien th.
20/Do loi va phan tch.
21/Machining of sloping surface.
22/ ieu khien truc NC bu.
23/Thi gian chu k khoi d lieu.
Gii thieu may NC va CNC:
-n v ieu khien cho may ieu khien so NC, SINUMERIK 805.
-May CNC i mi cua GE fanuc automation series 18,2 li kch c may tnh xach tay co
bo ieu khien CNC 32 bit lien khoi vi man hnh LCD mau 10, va ban phm, cho phep
ieu khien tam truc trong o bon truc ong thi. Chng trnh co the a vao qua card
nh PCMcia, ngoai ra con co mot may tnh Pentium gan lien vi bo ieu khien kch c
the tn dung. Co the noi vi may tnh ben ngoai qua USB hay COM.
Cau hoi:
1.Cac tnh chat ac trng s khac nhau gia NC va CNC la g?
2.Tai sao may CNC ngay nay hoan toan the cho NC trong may cong cu ?
3. Yeu cau chnh cua n v CNC c thiet ke tot la g ?
4.Moun bo nh ien t chnh thng dung cho lu tr d lieu CNC la g?
5. Ke ra cac phng phap kiem tra chng trnh cho sai sot ngo vao d lieu.
6. Bu cong cu la g?

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

322
9.8. TH DU VE O LNG VA IEU KHIEN BANG MAY TNH
9.8.1. He thong ieu khien nhiet o
9.8.1.1.o va ieu khien nhiet o dung may tnh PC
Card giao tiep cam vao ranh cam ISA cua may tnh Pentium 2
S o khoi:

Hnh 9.35
S o mach :
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

323

H9.36
Giai thuat :

Hnh 9.37
Chng trnh :
PROGRAM BESTOFME;
uses crt,graph;
var gd,gm:integer;
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

324
A,b,x,y,t,i:integer;
kenh1,kenh2:real;
begin
gd:=vga;
gm:=vgahi;
initgraph(gd,gm,'d:\bp\bgi');
if graphresult <> grok then halt;
{doc gia tri ben ngoai vao}
port[$277]:=$90;
{xuat xung start }
port[$276]:=0;
port[$276]:=1;
delay(1);
port[$276]:=0;
delay(100);

repeat
setbkcolor(1);
setcolor(14);
settextStyle(10,horizdir,2);
outtextxy(30,20,'TRUONG CAO DANG CONG NGHIEP 4');
setcolor(3);
settextstyle(10,0,1);
outtextxy(100,70,'KHOA DIEN TU - TU DONG HOA');
setcolor(14);
setlinestyle(0,0,3);
line(260,120,380,120);
setcolor(7);
settextstyle(1,0,3);
outtextXY(210,130,'DO AN TOT NGHIEP');
setcolor(10);
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

325
settextstyle(sansseriffont,horizdir,2);
outtextxy(20,300,'GVHD:HUYNH MINH NGOC');
outtextxy(300,300,'SVTH:NGUYEN VO SON BINH');
outtextxy(300,320,' NGUYEN KIM VUONG BANG');
outtextxy(300,340,' BUI VAN HIEU');
outtextxy(300,360,' NGUYEN MINH DUC');
outtextxy(300,380,' HO THANH SU');
setcolor(12);
settextstyle(1,horizdir,3);
outtextxy(60,180,'CHUONG TRINH DIEU KHIEN NHIET DO DA KENH');
setcolor(15)
settextstyle(0,horizdir,1);outtextxy(80,430,'nhap phim "b" de bat dau nhap phim
"T" de thoat');
if readkey = 't' then halt;
until readkey ='b';
setgraphmode(VGAHi);
setbkcolor(1);
repeat
setcolor(14);
settextstyle(1,0,1);
outtextxy(95,20,'CHUONG TRINH DIEU KHIEN NHIET DO DA KENH');
setcolor(2);
settextstyle(2,0,6);
outtextxy(30,80,'Nhan "n" de dat nhiet do, 2 lan "n" de dat lai');
outtextxy(300,430,'Nhap phim "t" de thoat chuong trinh');
setcolor(15);
settextstyle(2,0,5);
{ outtextxy(30,200,'Nhiet do hien tai kenh 1:');
outtextxy(30,210,'Nhiet do hien tai kenh 2:');}
outtextxy(30,380,'CHU THICH');
outtextxy(30,400,'Nhiet do hien tai kenh 1:');
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

326
outtextxy(30,410,'Nhiet do hien tai kenh 2:');
outtextxy(30,420,'Nhiet do dat cho kenh 1:');
outtextxy(30,430,'Nhiet do dat cho kenh 2:');
setcolor(11);
line(230,430,280,430);
setcolor(14);
line(230,440,280,440);
setcolor(15);
line(230,410,280,410);
setcolor(4);
line(230,420,280,420);

if readkey = 'n' then
setcolor(15);
outtextxy(30,110,'Dat kenh 1:');
gotoxy(16,8);
read(a);
outtextxy(30,125,'Dat kenh 2:');
gotoxy(16,9);
read(b);
a:=350-(a*20 div 10);
b:=350-(b*20 div 10);
repeat
x:=300;
setfillstyle(1,7);
bar(300,150,600,350);
setcolor(15);
line(300,150,300,350);
line(300,350,600,350);
outtextxy(290,120,'oC');
outtextxy(275,140,'100');
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

327
outtextxy(280,340,'0');
outtextxy(280,180,'80');
outtextxy(280,220,'60');
outtextxy(280,260,'40');
outtextxy(280,300,'20');
setcolor(11);
line(300,a,600,a);
setcolor(14);
line(300,b,600,b);
for x:=300 to 600 do
begin
for i:=1 to 2 do
begin
if i=1 then
begin
port[$275]:=$0;
kenh1:=port[$274]*100/255;
y:=round(kenh1) ;
y:=350-(y*20 div 10);
putpixel(x,y,15);
end;
if i=2 then
begin
port[$275]:=$1;
kenh2:=port[$274]*100/255;
t:= round(kenh2) ;
t:=350-(t*20 div 10);
putpixel(x,t,4);

end;
end;
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

328
if kenh1 >= a then
if kenh2 >= b then port[$276]:=$C0
else port[$276]:=$40
else
if kenh2 >=b then port[$276]:=$80;
delay(50);

end;
until keypressed;
until readkey ='t';
closegraph;
end.
Tai lieu tham khao : 1. o an tot nghiep, ieu khien nhiet o a kenh dung may tnh ,
Sinh vien :Nguyen Vo Sn Bnh, Nguyen Kim Vng Bang,Bui Van Hieu, Nguyen
Minh c, Ho Thanh S, lp CT2,Khoa 2000-2003, HCN Tp. HCM.
9.8.1.2.H thng iu khin nhit phong dung vi x l
Xem [10], trang 538-551.
S o khoi :


















Hnh 9.38
H thng iu khin nhit lo ien dung vi x l AT89C51
THIT K PHN CNG:
1. S khi
Cam
bien
nhiet o
Gia cong
tn hieu
Bien oi
A/D
He
thong Vi
x l
Mach
ieu
khien
Gia
cong tn
hieu
ieu hoa
khong
kh
Gia cong
tn hieu
Lo nhiet
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

329

2. Gii thch nguyn l tng khi
o Cm bin thu thp cc gi tr ca cc i lng vt l ca mi
trng.
o Cc mch khuych i v iu chnh: do gi tr ca u ra cc cm
bin thng nh so vi b chuyn i ADC ca h thng v th cn
c mch khuych i v chuyn i cho thch hp vi cc mch
chuyn i.
o Mch chuyn i ADC: do cc tn hiu u ra ca cm bin l cc tn
hiu tng t khng thch hp a vo cc mch s x l. V
th cn phi c mch ADC chuyn i t tng t sang s cc
vi mch s c th hiu v x l c.
o Vi iu khin: bi v cc thng tin v mi trng ta thu thp c
sau khi c m ho thnh cc tn hiu s cn phi c chuyn v
my tnh x l. ng thi cc lnh m my tnh a ra cng
khng th trc tip tc ng ti i tng iu khin cn thit. V th
phi c mt mch trung gian lm nhng vic ny.
o Khi hin th LCD dng hin th nhit o v nhit ci t
ln LCD
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

330
o Khi chp hnh: dng ng m l, qut.
3.S nguyn l
Y1
12MHz
D2
DK0
U4A
7414
1 2
-
+
U6
OP-07
3
2
6
7 1
4 8
VCC
R
S
REF+
SW2
RESET
D7
A0
VCC
D5
LED
A1
D
2
RW
A3
A6
VCC
D6
D1
RXD
VCC
VCC
VCC
D7
1N4007
D3
A2
R14
39K
DK0
R13
10K
R5
20K
U2
ADC0809
10
9
7
17
14
15
8
18
19
20
21
6
22
11
13
12
16
26
27
28
1
2
3
4
5
25
24
23
CLK
OE
EOC
D0
D1
D2
D3
D4
D5
D6
D7
START
ALE
VCC
GND
REF+
REF-
IN0
IN1
IN2
IN3
IN4
IN5
IN6
IN7
A0
A1
A2
U1
7805
1 3
2
VIN VOUT
G
N
D
-
+
U5
OP-07
3
2
6
7 1
4 8
A1
A4
R3
150
TXD
R6
10K
1
2
3
4
5
6
7
8
9 D7
R
W
C4
104
DK1
D4
LED
C1
470uF
D2
D
3
-
+
U7
OP-07
3
2
6
7 1
4 8
D
6
C12
10uF
D2
1N4007
A7
J4
LCD 16x2
1 2 3 4 5 6 7 8 9 1
0
1
1
1
2
1
3
1
4
1
5
1
6
RXD
SW1
ON/OFF
1 2 12VDC
-VCC
-VCC
C7
104
D4
C5
104
VCC
VCC
A3
A5
C6
104
VCC
VR3
20K
VR2
20K
C3
103
C2
104
CLK
C14
10uF
E
N
ISO2
PC817
1
2
3
4
R9 39K
R8
100
DK1
D3
ISO1
PC817
1
2
3
4
LS2
RELAY 5
3
5
4
1
2
- +
D1
BRIDGE1A
1
4
3
2
J6
LM335
1
2
3
VCC
D0
C11
104
VCC
VCC
CLK
R4
150
C9
33
D5
D
4
A4 U4B
7414
3 4
D
7
A6
U3
AT89C51
9
18
19
20
29
30
31
40
1
2
3
4
5
6
7
8
21
22
23
24
25
26
27
28
10
11
12
13
14
15
16
17
39
38
37
36
35
34
33
32
RST
XTAL2
XTAL1
GND
PSEN
ALE/PROG
EA/VPP
VCC
P1.0
P1.1
P1.2
P1.3
P1.4
P1.5
P1.6
P1.7
P2.0/A8
P2.1/A9
P2.2/A10
P2.3/A11
P2.4/A12
P2.5/A13
P2.6/A14
P2.7/A15
P3.0/RXD
P3.1/TXD
P3.2/INT0
P3.3/INT1
P3.4/T0
P3.5/T1
P3.6/WR
P3.7/RD
P0.0/AD0
P0.1/AD1
P0.2/AD2
P0.3/AD3
P0.4/AD4
P0.5/AD5
P0.6/AD6
P0.7/AD7
VCC
12VDC
R10
8K2
D8
1N4007
EN
D
0
D6
LED
VCC
TXD
D4
J2
QUAT
1
2
In0
R12
1K2
R11
10K
12VDC
D1
R7
100
J3
LONHIET
1
2
D6
D
5
ADC0
LS1
RELAY 5
3
5
4
1
2
J1
12VAC
1
2
In0
START
A5
A7
D3
1N4007
VCC
C13
10uF
ADC0
A0
C8
104
R1
12_2W
VCC
D
1
J5
CON4
1
2
3
4
D0
RS
R2
330
A2
START
D5
C10
33
VCC
VCC

4.Thit k chi tit
4.1. Khi ngun
VCC
D7
1N4007
U1
7805
1 3
2
VIN VOUT
G
N
D
C1
470uF
SW1
ON/OFF
1 2
-VCC
C2
104
- +
D1
BRIDGE 1A
1
4
3
2
12VDC
D8
1N4007
D6
LED
J1
12VAC
1
2
R1
12_2W
R2
330

Ngui cp 12VDC cho qut hot ng, IC LM7805 cp ngun
5V cho khi vi x l v cc IC khc hot ng. Ngun VCC cung cp
cho OP-07 hot ng
4.2. Khi cm bin nhit
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

331
-
+
U6
OP-07
3
2
6
7 1
4 8
VCC
VCC
VCC
R14
39K
R13
10K
-
+
U5
OP-07
3
2
6
7 1
4 8
-
+
U7
OP-07
3
2
6
7 1
4 8
-VCC
VR3
20K
VR2
20K
C14
10uF
R9
39K
J6
LM335
1
2
3
VCC
In0
R12
1K2
R11
10K
C13
10uF
VCC

Thit k:
Gi in p ng vo khng o ca U6 l Vi1
Gi in p ng vo o ca U6 l Vi2
in p ng ra U6 l V0
V0=V01+V02
V01= -R9*Vi1/R11
V02=(1+R9/R11)*Vi2*(R14/(R14+R13))
V0=(R9/R11)*(Vi2-Vi1)
Ta c phn di ADC l 0,0196
Chn R9/R11 = 39,2 = 2 ln phn di ADC
ng vi ngun 5 V th ADC c phn di l 5/255=0.0196V
Tin hnh cn chnh
Nhng cm bin vo nc va tan, chnh VR1 sao cho in p ra l 2,73V,
chnh VR2 sao cho in p ra l 2.73 + 0.01 x T
o
C
4.3. Khi ADC
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

332

In0
R5
20K
ADC0
A1
A3
C11
104
A6
VCC
A2
RXD
A5
VCC
A1
A5
VCC
A2
A7
REF+
A4
A3
U3
AT89C51
9
18
19
20
29
30
31
40
1
2
3
4
5
6
7
8
21
22
23
24
25
26
27
28
10
11
12
13
14
15
16
17
39
38
37
36
35
34
33
32
RST
XTAL2
XTAL1
GND
PSEN
ALE/PROG
EA/VPP
VCC
P1. 0
P1. 1
P1. 2
P1. 3
P1. 4
P1. 5
P1. 6
P1. 7
P2.0/A8
P2.1/A9
P2. 2/ A10
P2. 3/ A11
P2. 4/ A12
P2. 5/ A13
P2. 6/ A14
P2. 7/ A15
P3.0/RXD
P3.1/TXD
P3. 2/ INT0
P3. 3/ INT1
P3.4/T0
P3.5/T1
P3. 6/ WR
P3.7/RD
P0. 0/ AD0
P0. 1/ AD1
P0. 2/ AD2
P0. 3/ AD3
P0. 4/ AD4
P0. 5/ AD5
P0. 6/ AD6
P0. 7/ AD7
A0
CLK
A7
A0
A6
ADC0
VCC
START
TXD
U2
ADC0809
10
9
7
17
14
15
8
18
19
20
21
6
22
11
13
12
16
26
27
28
1
2
3
4
5
25
24
23
CLK
OE
EOC
D0
D1
D2
D3
D4
D5
D6
D7
START
ALE
VCC
GND
REF+
REF-
I N0
I N1
I N2
I N3
I N4
I N5
I N6
I N7
A0
A1
A2
A4
START


Do ch s dng hai ng vo nn ni cc chn chn A2, A1 xung mt.
c phn gii 19,6mv ta ni chn Vref(+)=5v,chn Vref(-)=0v.
vi x l iu khin c hot ng ca ADC th: Chn start ni vi
ALE ni vi P3.6, OE ln lt ni vi VCC, ADC0 ni vi bt P3.7, chn d
liu D0-D7 ni P0.
4.5. Khi chp hnh
J2
QUAT
1
2
R4
150
12VDC
D2
1N4007
DK1
VCC
12VDC
ISO1
PC817
1
2
3
4
D5
LED
LS2
RELAY 5
3
5
4
1
2
VCC
R3
150
D3
1N4007
J3
LO NHIET
1
2
DK0
D4
LED
ISO2
PC817
1
2
3
4
LS1
RELAY 5
3
5
4
1
2

DK0 c ni vi P1.3, DK c ni vi chn p1.4. c iu khin mc
thp
Khi tn hiu mc thp kch PC817 dn mnh lm Rowle ng
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

333
4.5.S mch in

5. LU GII THUT V CHNG TRNH
5.1. LU GII THUT
Giai thuat chinh
Giai thuat ADC
Giai thuat dieu khien LCD

5.2. CHNG TRNH
;o an 2 : H thng iu khin nhit lo ien dung vi x l AT89C51
;GVHD : Huynh Minh Ngoc
;SVTH : Trng Cong Trung, MSSV : 06134341, CT8A
;Thang 6-2009.
$MOD51
ORG 00
; CHUONG TRINH DIEU KHIEN QUAT VA LO NHIET
; NHIET DO LO > NHIET DO CAI DAT => MO QUAT + TAT LO
NHIET
; NHIET DO LO < NHIET DO CAI DAT => MO LO NHIET +
TAT QUAT
; NHIET DO LO DOC VE THONG QUA IC CAM BIEN NHIET
LM335
; VA DUOC KHUYECH DAI 4 LAN, CHUYEN TU AP SANG SO
NHO ADC0809
; NHIET DO LO VA NHIET DO CAI DAT HIEN THI TREN LCD
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

334
; NHIET DO CAI DAT QUA BIEN TRO
;*/*/*/*/*/*/*/*/*/*/*/*/*/*/*/*/*/*/*/*/*/*/
DATA_ADC DATA P2
DATA_LCD DATA P0
RS BIT P1.0
RW BIT P1.1
EN BIT P1.2
A0 BIT P3.7
START BIT P3.6
QUAT BIT P1.3
LONHIET BIT P1.4
T_00 DATA 50H
T_01 DATA 51H
T_02 DATA 52H
T_10 DATA 54H
T_11 DATA 55H
T_12 DATA 56H
T_SET DATA 57H
T_CUR DATA 58H
SOKYTU DATA 59H
T_DELAY DATA 5AH
HS0 DATA 5BH
HS1 DATA 5CH
;*/*/*/*/*/*//*/*/*/*/*/*/*/*/*/*/*/*/*/*/*
MAIN:
MOV TMOD, #11H
LCALL EN_LCD
MOV DATA_LCD,#80H
LCALL SENDCOMAND
MOV DPTR,#V_CAIDAT
MOV SOKYTU,#7
LCALL WRITETEXT
MOV DATA_LCD,#0C0H
LCALL SENDCOMAND
MOV DPTR,#V_TUCTHOI
MOV SOKYTU,#7
LCALL WRITETEXT
MOV DPTR,#MA_HT
LAP1:
LCALL LOAD_DL
LCALL DK_TB
MOV T_DELAY,#2
LCALL DELAY
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

335
JMP LAP1
;****************************
LOAD_DL:
CLR A0
CLR START
LCALL DE_LAY
SETB START
MOV T_SET,DATA_ADC
MOV DATA_LCD,#87H
LCALL SENDCOMAND
MOV A,T_SET
MOV HS0,#9
MOV HS1,#3
MOV R0,#50H
LCALL TINH_T
MOV A,T_02
MOVC A,@A+DPTR
MOV DATA_LCD,A
LCALL SENDTEXT
MOV A,T_01
MOVC A,@A+DPTR
MOV DATA_LCD,A
LCALL SENDTEXT
MOV DATA_LCD,#'.'
LCALL SENDTEXT
MOV A,T_00
MOVC A,@A+DPTR
MOV DATA_LCD,A
LCALL SENDTEXT
SETB A0
CLR START
LCALL DE_LAY
SETB START
MOV T_CUR,DATA_ADC
MOV DATA_LCD,#0C7H
LCALL SENDCOMAND
MOV A,T_CUR
MOV HS0,#8
MOV HS1,#5
MOV R0,#54H
LCALL TINH_T
MOV A,T_12
MOVC A,@A+DPTR
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

336
MOV DATA_LCD,A
LCALL SENDTEXT
MOV A,T_11
MOVC A,@A+DPTR
MOV DATA_LCD,A
LCALL SENDTEXT
MOV DATA_LCD,#'.'
LCALL SENDTEXT
MOV A,T_10
MOVC A,@A+DPTR
MOV DATA_LCD,A
LCALL SENDTEXT
RET
;*************************
TINH_T:
MOV B,#100
DIV AB
MOV 32H,A
MOV A,B
MOV B,#10
DIV AB
MOV 31H,A
MOV 30H,B
MOV B,HS0
MOV A,30H
MUL AB
MOV B,#10
DIV AB
MOV 40H,A
MOV A,31H
MOV B,HS0
MUL AB
ADD A,40H
MOV B,#10
DIV AB
MOV 40H,A
MOV 35H,B
MOV A,32H
MOV B,HS0
MUL AB
ADD A,40H
MOV B,#10
DIV AB
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

337
MOV 36H,B
MOV 37H,A
MOV B,HS1
MOV A,30H
MUL AB
MOV B,#10
DIV AB
MOV 38H,B
MOV 40H,A
MOV A,31H
MOV B,HS1
MUL AB
ADD A,40H
MOV B,#10
DIV AB
MOV 40H,A
MOV 39H,B
MOV A,32H
MOV B,HS1
MUL AB
ADD A,40H
MOV B,#10
DIV AB
MOV 3AH,B
MOV 3BH,A
MOV A,35H
ADD A,38H
MOV B,#10
DIV AB
MOV @R0,B
INC R0
ADD A,36H
ADD A,39H
MOV B,#10
DIV AB
MOV @R0,B
INC R0
ADD A,3AH
ADD A,37H
MOV @R0,A
RET
;*********************************
DK_TB:
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

338
MOV A,T_02
CJNE A,T_12,KT_C
MOV A,T_01
CJNE A,T_11,KT_C
MOV A,T_00
CJNE A,T_10,KT_C
RET
KT_C:
JNC MOQUAT
SETB QUAT
CLR LONHIET
RET
MOQUAT:
CLR QUAT
SETB LONHIET
RET
;*********************************
WRITETEXT:
PUSH 00
PUSH ACC
MOV R0,#00
LOOP1:
MOV A,R0
MOVC A,@A+DPTR
MOV DATA_LCD,A
LCALL SENDTEXT
INC R0
MOV A,R0
CJNE A,SOKYTU,LOOP1
POP ACC
POP 00
RET
;****************
EN_LCD:
CLR RS
CLR RW
SETB EN
MOV DATA_LCD,#38H
LCALL SENDCOMAND
MOV T_DELAY,#5
LCALL DELAY
MOV DATA_LCD,#38H
LCALL SENDCOMAND
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

339
MOV T_DELAY,#5
LCALL DELAY
MOV DATA_LCD,#0CH
LCALL SENDCOMAND
MOV DATA_LCD,#01H
LCALL SENDCOMAND
RET
;***********************
SENDCOMAND:
CLR RS
SJMP PULSE_EN
SENDTEXT:
SETB RS
NOP
PULSE_EN:
CLR RW
CLR EN
NOP
SETB EN
MOV TH1,#HIGH(-1000)
MOV TL1,#LOW(-1000)
CLR TF1
SETB TR1
JNB TF1,$
CLR TR1
RET
;****************
DELAY:
MOV TH0,#00
MOV TL0,#00
CLR TF0
SETB TR0
JNB TF0,$
CLR TR0
DJNZ T_DELAY,DELAY
RET
;****************
DE_LAY:
PUSH 01
MOV R1,#250
DJNZ R1,$
POP 01
RET
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

340
;****************
MA_HT:
DB '0123456789'
V_CAIDAT:
DB 'T_SET: '
V_TUCTHOI:
DB 'T_CUR: '
END


Ket qua thi cong :
Nhiet do Vout(IN0) Vout(ngo ra
LM335)

0 39,2mV 10 mV/K


Gii thieu bo ieu khien nhiet o cua Omron:
1/ieu khien nhiet o /so E5_Z the he 3:
ay la the he mi nhat cua dong E5_Z gia thanh hp l ang c a chuong tai th
trng Viet nam. Cac tnh nang noi bat, o la:
-Man hnh LED 11 thanh hien th k t ro rang.
-Toc o trch mau 250 ms cho ieu khien nhan, chnh xac, tiet kiem nang lng.
-au vao tng t cho ng dung ieu khien so rong rai: ap suat, lu lng,vv..
-Canh bao loi vong ieu khien, ngan mach day ot giup phat hien loi sm, de dang
tranh thiet hai.
-au ra chuyen tiep (transfer output): ket noi bo t ghi (recorder), chuyen oi tn hieu
tng t truyen xa.
-Truyen thong RS-485 toc o cao 38.4 kbps co san Modbus protocol: ket noi mang
vi cac bo ieu khien khac tien li.
2/ieu khien so cao cap E5_N-H: dong nay se thay the cho dong E5_K von rat quen
thuoc vi khach hang. Cac tnh nang cao cap nh sau:
-Hien th 5 ch so, ti 0,01 o C; toc o trch mau 60s;
-o chnh xac cao ti 0,1% PV/FS.
-Tat ca ca c model eu nhan ca au vao nhiet o va analog.
-Phan mem ho tr CX-Thermo co the at hoat ong logic AND, OR hoac tre cho au
ra.
-CHc nang bao tr chu ong nh bo em so lan au ra hoat ong.
-Bo nh lu c 8 nhom thong so.
-au ra truyen tn hieu,; kiem soat toc o tang giam nhiet.
-Bao loi t si ot, qua dong si ot, hong relay ban dan.


PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

341
9.8.2.H thng iu khin toc o ong c DC dung vi x l
Xem [10], trang 551

do sai so Tn hieu truyen ong

ng a ch va ieu khien

e u toc o
+
-

ng d lieu
hoi tiep ien ap


Hnh 9.47 : S o khoi HTK toc o ong c DC dung vi x l
-Toc o co n v vong /phut.

9.8.3.Bo nh thi cac thiet b c ieu khien bang vi x ly.
Xem [10], trang 559
S o khoi cua bo nh thi cac thiet b c ieu khien bang vi x ly c minh
hoa hnh ve. He bao gom kit vi x ly, bo em, ma ch gia cong tn hieu va o thiet
b (dung cu).

Nguon nang lng






Hnh 9.48: S o khoi cua bo nh thi cac thiet b c ieu khien bang vi x ly.
9.3.2. He thong ieu khien neon giao thong dung 89C51.
9.8.4. Bo ieu khien cng o sang dung vi x l
Xem [10], trang 569
S o khoi cua bo ieu khien cng o sang da tren vi x ly c minh hoa
hnh ve. He bao gom kit vi x ly, bo em xuong, mach gia cong tn hieu va tai.








Bo ieu khien
(VFC va nh
th)
oi
tng(Mach
truyen ong
va ong c
DC
Dung cu
o(cam bien
ien tr)
He thong
vi x l
DAC
Kit vi x ly Bo em
ngoai vi
Mach gia cong
tn hieu va
thiet b
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

342
Tn hieu lai
Ngo ra, cng

o sang




Tn hieu ong bo Ngo vao nang lng

110V AC, 60Hz

Hnh 9.49: S o khoi cua bo ieu khien cng o sang da tren vi x ly .

9.8.5.He thong thu thap d lieu nhieu kenh:
9.8.5.1. ieu khien hoi tiep
1/ Phng phap ieu khien on/off: xem muc 9.0
Phng php iu khin ON/OFF
S iu khin l nhit c th hin qua hnh bn di:

Hnh 9.50: S iu khin l nhit
Phng php iu khin ON-OFF cn c gi l phng php ng ngt hay
dng khu relay c tr: c cu chp hnh s ng ngun cung cp nng lng
mc ti a cho thit b tiu th nhit nu nhit t w(k) ln hn nhit o y(k),
ngc li mch iu khin s ngt mch cung cp nng lng khi nhit t nh
hn nhit o.
Mt vng tr c a vo hn ch tn s ng ngt nh s khi trn:
ngun ch ng khi sai s e(k) > v ngt khi e(k) < - . Nh vy, nhit o y(k)
s dao ng quanh gi tr t w(k) v 2 cn c gi l vng tr ca r le.
Kit vi x ly Bo em
xuong
Tai: nguon
anh sang
ieu che
tn hieu
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

343
Khu r le c tr cn gi l mch so snh Smith trong mch in t v nh
vy l gi tr thm hay ngng.
iu khin ON-OFF c u im l:
Thit b tin cy, n gin, chc chn, h thng lun hot ng c vi mi
ti.
Tnh ton thit k t phc tp v cn chnh d dng.
Nhng c nhc im l sai s xc lp s ln do h ch cn bng ng quanh
nhit t v thay i theo ti. Khuyt im ny c th c hn ch khi gim
vng tr bng cch dng phn t ng ngt in t mch cng sut.
2/Thuat toan PID so: xem muc 9.4
9.8.5.2.THIT K V THI CNG
1. NHIM V V HNG GII QUYT
1.1 Nhim V
Nhim v ca n ny l thit k mt h thng thu thp d liu nhiu knh, i tng thu thp v
gim st l nhit l ba l nhit. Yu cu l chng ta phi gim st v iu khin nhit ba l nhit lun
duy tr mt nhit nh ta mong mun. S dng vi x l 89V51 lm b iu khin trung gian giao tip
my tnh.
1.2 Hng Gii Quyt
S dng cc cm bin nhit nh PT100, LM35.
S dng b chuyn i A/D v D/A.
S dng phng php iu khin ON/OFF v PID.
Thit k v giao tip gia vi iu khin 89V51RB2 vi my vi tnh v l nhit.
_S dng ngn ng visual basic to giao din v giao tip vi vi x l 89V51 qua RS232.
_Vit chng trnh iu khin.



2. Thit K Phn cng
2.1 S Khi iu Khin
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

344










Hnh 9.51: s khi iu khin
















PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

345

























Hnh 9.52: S khi chi tit h thng iu khin
L 1: iu khin ON/OFF
L nhit
1
L nhit
2
L nhit
3
PT100
LM35
LM35
Khuych i
tn hiu
Khuych i
tn hiu
Khuych i
tn hiu
B
chuyn
i ADC
v MUX
Vi x l
89V51
Max232
My tnh
( PC )
B
chuyn
i
DAC
K.i v chp
hnh 3
K.i v chp
hnh 2

K.i v chp
hnh 1

PWM
S khi chi tit h thng iu khin
T
o

Qut3
Qut2
Qut1
Khuch i
Khuch i

Khuch i

Bus 3
Bus 3
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

346
L 2: iu khin ON/OFF
L 3: iu khin ON/OFF hoc PID
2.2 Gii thch nguyn l tng khi
My tnh(PC):
Dng ngn ng lp trnh Visual Basic 6.0 lm phng tin giao tip. Nhim v ca Visual Basic 6 l:
_ To giao din ngi dng giao tip vi ngi s dng. Trn cho php thay i gi tr nhit yu
cu, thay i b thng s PID, v th biu din p ng ca h thng.
_Thit lp giao tip vi khi Vi iu khin qua cng ni tip RS 232.
_ Ly gi tr o nhit o thc t do khi vi iu khin truyn v x l.
_ T gi tr o nhit thc t, gi lnh xung vi x l thc hin vic iu khin ng/m (on/off) l,
hay thc hin thut ton PID xut gi tr rng xung v khi Vi x l iu khin.
Vi iu khin :
Dng ngn ng lp trnh hp ng 8051 lp trnh cho Vi iu khin 89V51RB2. Nhim v ca khi vi iu
khin l:
_Thit lp giao tip vi my tnh qua cng giao tip ni tip.
_Thc hin vic ly nhit thc t ca l nhit t b chuyn i ADC v truyn gi tr ny v cho khi
my tnh x l.
_Xut gi tr ra b chuyn i DAC iu khin vic ng/m l nhit.
Thc hin thut ton iu ch rng xung (PWM), xut xung PWM v xung iu khin nhit ca
l nhit cho khi cng sut. Gi tr rng xung nhn c t khi my tnh.
Mch cng sut: nhim v ca khi ny l:
_Khuch i cng sut t tn hiu iu khin do khi vi iu khin truyn ti iu khin l nhit.
B chuyn i ADC : Do cc tn hiu u ra ca cm bin l cc tn hiu tng t khng thch hp a
vo cc mch s x l. V th cn phi c mch ADC chuyn i t tng t sang s cc vi mch s
c th hiu v x l c.
B chuyn i DAC: Dng chuyn i t tn hiu s sang tng t iu khin.
Max 232: Max-232 dng giao tip gia my tnh v vi iu khin
Cm bin: thu thp cc gi tr ca cc i lng vt l ca mi trng bn ngoi.
Mch khuych i v iu chnh: do gi tr ca u ra cc cm bin thng nh so vi b chuyn i ADC
ca h thng v th cn c mch khuych i v chuyn i cho thch hp vi cc mch chuyn i.
2.2.1 S Nguyn L
2.2.2 i tng l nhit thc t
Hm truyn ca l nhit c xc nh bng phng php thc nghim. Cp nhit ti a cho l( cng
sut vo P=100%), nhit l tng dn.Sau thi gian nhit l t gi tr bo ha. Do c tnh chnh xc ca
l nhit kh phc tp nn ta xp x bng p ng gn ng.




PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

347



















Ta xc nh hm truyn gn ng ca l nhit dng nh ngha sau:
( )
( )
( )
C s
G s
R s

Tn hiu vo l hm nc n v (cng sut=100%) :
1
( ) R s
S
(1)
Tn hiu ra gn ng chnh l hm:
1
( ) ( ) C s f t T
Trong :
2
/
( ) (1 )
t T
f t K e


Thc hin bin i Laplace ta c:
2
( )
(1 )
K
F s
s T s

+

p dng nh l chm tr ta c:
1
2
( )
(1 )
T s
Ke
C s
s T s

+
(2)
T (1) v (2) suy ra hm truyn ca l nhit l:
1
2
( )
1
T s
Ke
G s
T s

+

Cng sut l nhit thc t:

2 2
220
. 1210( ) 1.21( )
40
U
P U I W KW
R

Vi: U: hiu in th cung cp (220V)
R:l in tr dy may so (40 )
Nhit o ti a l 200
0
C
a.c tnh chnh xc ca l
nhit
b.c tnh gn chnh xc ca
l nhit
Hnh 9.53: c tnh ca l nhit
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

348
2.3 Thit k chi tit
2.3.1 Khi ngun












_Bin in p ng vo AC thnh in p DC khi qua cu iode
_IC 7812/IC7912 l IC n p ng ra 12v/-12v cung cp in p cho cc Opamp hot ng.
_IC 7805 v thnh in p ng ra 5V cung cp cho vi iu khin v khi giao tip vi my tnh.
_Cc t lc trc v sau IC n p nhm lc cc tn s cao do cc mch iu khin v ngun gy ra gip cho
in p ng ra n nh.
2.3.2 Khi ADC








_ Do ch s dng bn ng vo nn ni chn chn A2 xung mt(chn IN0,IN1,IN2,IN3 c chn). c
phn gii 19,6mv ta ni chn Vref(+)= 5v,chnVref(-)= 0v.
phn gii ADC =
2 1
ref r ef
n
V V
+

=
8
5 0
0.0196 0.02
2 1
mv mv


C23
220uf
- +
D5
DIODE-BRIDGE
1
4
3
2
C25
104
U14
LM7812/TO
1
2
3
VIN
G
N
D
VOUT
GND
GND
12V
C28
470f
C22
104
C30
220uf
C26
220uf
-12V
C29
104
12V
GND
12V
J6
1
2
3
C21
470u
U12 LM7805/TO_0
1
2
3
VIN
G
N
D
VOUT
U16
7912
2 3
1
VIN VOUT G
N
D
C27
470uf
SW2
ON/OFF
1 2 3
4 5 6
VCC
ALE
ADC1
ADC6
VCC
ADC2
ADC7
sensor2
A1
A0
sensor4
CLOCK
ADC3
EOC
sensor3
ADC4
U3
ADC0809
10
9
7
17
14
15
8
18
19
20
21
6
22
1
1
1
3
12
16
26
27
28
1
2
3
4
5
25
24
23
CLK
OE
EOC
D0
D1
D2
D3
D4
D5
D6
D7
START
ALE
V
C
C
G
N
D
REF+
REF-
IN0
IN1
IN2
IN3
IN4
IN5
IN6
IN7
A0
A1
A2
OE
VCC
ADC5
ADC0 sensor1
U8A
7414
1 2
C10
103
CLOCK
BO TAO XUNG ADC
R17
120
U8B
7414
3 4
Hnh 9.55: Khi ADC

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

349
_ vi x l iu khin c hot ng ca ADC th:Chn start ni vi ALE ni vi P3.6,chn EOC,OE ln
lt ni vi P3.4 v P3.5,chn d liu D0-D7 ni P2.
_Trong ADC 0809 khng c xung clock ring v do vy phi cp xung ng b ngoi n chn CLK. Mc d
tc chuyn i ph thuc vo tn s ng h c ni n CLK nhng n khng nhanh hn 100ms.
Tn s dao ng ca mch: f=
0, 7
RC

_Tn s dao ng chun l 640 kHz
Suy ra 640.10
3
=
0, 7
RC

Vi R t 100 n vi k ta chn R =120 C = 103p.
ADC 0809 l IC chuyn i tng t sang s dng k thut CMOS. Bao gm 8 knh lm vic c
lp vi nhau la chn u vo t In0 n In7
2.3.2.1.Cc chn ca ic
S o chan :
U2
ADC0809
26
27
28
1
2
3
4
5
12
16
10
9
7
17
14
15
8
18
19
20
21
25
24
23
6
22
IN0
IN1
IN2
IN3
IN4
IN5
IN6
IN7
REF+
REF-
CLK
OE
EOC
D0
D1
D2
D3
D4
D5
D6
D7
A0
A1
A2
START
ALE

Hnh 9.56: ADC 0809

IN0 n IN7 : 8 ng vo tng t
A0 n A2 : gii m chn mt trong 8 ng vo
D0 n D8 : ng ra song song 8 bit
ALE : cho php cht a ch
START : xung bt u chuyn i
CLK : xung ng h cho ic hot ng
REF (+) : in th tham chiu(+)
REF (-) : in th tham chiu (-)
VCC : ngun cung cp
EOC :bo kt thc chuyn i
OE :cho php c d liu sau chuyn i
VCC v GND cp ngun v mt cho ic hot ng
Cc bt A0,A1,A2 chn u vo theo bng sau:
A2 A1 A0 Ng vo c chn
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

350
0
0
0
0
1
1
1
1
0
0
1
1
0
0
1
1
0
1
0
1
0
1
0
1
IN0
IN1
IN2
IN3
IN4
IN5
IN6
IN7
* Cc c im caADC 0809:
phn gii 8 bit
Tng sai s cha chnh nh t LSB; t 1 LSB
Thi gian chuyn i: 100s tn s 640 kHz
Ngun cung cp + 5V
in p ng vo 0 5V
Tn s xung clock 10kHz 1280 kHz
Nhit hot ng - 40
o
C n 85
o
C
D dng giao tip vi vi x l hoc dng ring
Khng cn iu chnh zero hoc y thang
2.3.2.2 Cch mc,Nguyn l hot ng ca ic
Cch mc













Nguyn l hot ng
Hnh 9.57: Cch mc ADC0809
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

351
Kch hot chn ALE (cho php cht a ch Address Latch Enable). N cn xung thp ln cao cht
a ch.
Sau khi kch xung start th b chuyn i bt u hot ng cnh xung ca xung start, ng ra
EOC(End - Of - Conversion) s xung mc thp sau khong 8 xung clock (tnh t cnh xung ca xung start).
Lc ny bit c trng s ln nht (MSB) c t ln mc 1, tt c cc bt cn li c t xung mc 0, ng
thi to gi tr in th Vref/2, in th ny c so snh vi in th vo Vin.
+ Nu Vin > Vref/2 th bit MSB vn mc 1.
+ Nu Vin < Vref/2 th bit MSB xung mc 0.
Tng t nh vy bit k tip MSB c t ln 1 v to ra in th c gi tr Vref/4 v cng so snh vi in
p ng vo Vin. Qu trnh c tip tc cho n khi xc nh c bit cui cng. Khi chn EOC ln mc 1
bo cho bit kt thc chuyn i. c c d liu th set bit OE(Out put Enable) ln mc 1. Trong qu
trnh chuyn i khi c xung start tc ng th ADC s ngng chuyn i.
2.3.3 Khi DAC




















Hnh 9.58:

_Chn d liu ca DAC ni vi P0 ca vi x l.
_Ng ra ca DAC (chn 4) l dng. Qua mch chuyn i Opamp U6 tr thnh p.
_Chn 14 ca DAC Vref+=5v. Chn 15 ca DAC Vref-=0v
Ta c cng thc tnh nh sau:

Vi: K = Vref/ R14 = 5/5k = 1 mA
DAC
DAC6
DAC1
NGO RA
0-5v
DAC2
DAC7
C12
104
VCC
R23
2K5
R13
5k
DAC3
R22
5k
KHOI DAC
C7
104
12V
DAC4
DAC_0808
12
11
10
9
8
7
6
5
14
15
1 2
4
16
3
1
3
A8
A7
A6
A5
A4
A3
A2
A1
VR+
VR-
N
C
O
U
T
/
OUT
COMP
V- V
+
-
+
U6
OP-07
3
2
6
7 1
4 8
-12V
DAC5
DAC0
VCC
-12V
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

352
_Ng ra ca DAC t A1 n A8 l mc 1 th:
Io = 1 mA x (255/256)=1 mA x 0.99= 1 mA
=> Vo = Io x Rf = 1 mA x 5k = 5 volt
phn gii = 5/255 = 0.02 volt
2.3.4 Khi cm bin nhit
2.3.4.1 Cm bin LM35



















Hnh 9.59 :



_LM35 hot ng 0
o
C cho ra in p 0v. C tng 1
o
C th in p tng ln 10mv. Ta xt nhit trong
khong 100
o
C th in p tng ng ng ra cm bin l 1V.
p dng phng php xp chng ta c:
3 4
(1 ) * *
5
R R
Vo V Av V
R
+ +
+
+
_ phn gii ca ADC0809 l 19,6mv, t c chnh xc 0,5
o
C th:
A
v
*10mV = 2*19.6mV
=>A
v
= 3.92
=>Chn R40 = 22K, R41=20k v R42 = 10K
_Bin tr R40=20k dng b sai s cho in tr cho h s khuch i Av chnh xc.
Cam bien
4
12V
J3
LM35
1
2
3
VCC
KHUECH DAI 4 LAN
R41
20K
R39
1K
R42
10k
-12V
-
+
U9C
LM324
10
9
8
4
1
1
C24
0.47UF
R40
22K
sensor4
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

353
2.3.4.1 Cm bin nhit PT100
_ Opamp U1:
Ta c: V+=Vcc*VR4_2/(VR4_1+R2)
=> V
O1
=(R7/R6 +1)*V+=2*V+=2* Vcc*VR4_2/(VR4_1+R2) (1)
=> V
O1
= 5v
_ Opamp U5:
Ta c: V-=V
O1
*(R18/(R18+R8))=V
O1
*(100/200)=V
O1
*0.5 (V)
V+=V
01
*(PT100/(PT100+R9)=V
O1
*(PT100/(PT100+100)) (V)
V
O2
=V+*(R14/(R14+R10))*(R20/R16+1)-V-
V
O2
=V+*(10K/(10K+10K))*(10K/10K+1)-V-
V
O2
=V+-V- (2)
_ Opamp U7:
Ta c: V
O3
=V
O2
*(R11+VR12)/R15=V
O2
*A=V
O2
*10 (3)
Vi h s khuych i:
A=(R11+VR12)/R15=(82K+VR12)/10K=(82K+18K)/10K=10 ln
_Gi s 100
o
C th gi tr PT100 l 138.5 ohms
V
O2
=V+-V- =2.5*(138.5/238.5)-2.5*0.5= 0.2 V
V
O3
=0.2*10=2v


2.3.5 Khi giao tip PC
R14
10K
1
R2
65k
12V
12V
R7
10k
VR12
20K
R6
10k
R9
100
R11
82K
C6
0.47U
R18
100
-
+
U1
OP07
3
2
6
7
4 8
1
PT1
100
1
-
+
U7
OP07
3
2
6
7
4 8
1
-12V
R3
10k
R10
10K
-
+
U5
OP07
3
2
6
7
4 8
1
R15
10k VO2
R16
10K
2
12V
C1
10u
+
R8
100
R19
10K
VO1
VCC
-12V
-12V
R20
10K
2
VR4
100k
C5
0.47U -
sensor1
TAO AP 5V CHUAN
C2
104
VO3
Hnh 9.60: khi cm bin
nhit PT100
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

354
TXD
U10
MAX232
1 3
4 5
16
1
5
2
6
12
9
11
10
13
8
14
7
C
1
+
C
1
-
C
2
+
C
2
-
VCC
G
N
D
V
+
V
-
R1OUT
R2OUT
T1IN
T2IN
R1IN
R2IN
T1OUT
T2OUT
KHOI GIAO TIEP VOI PC
P1
COM
5
9
4
8
3
7
2
6
1
RXD1
C16
10u
TXD1
C14
10u
VCC
VCC
C15
10u
C18
10u
C17
104
C19
10u
RXD


















S dng IC MAX232 giao tip. Nhim v ca IC MAX232 l o in p ng vo (cng RS232 s
dng mc logic m, mc 1 ng vi t 3V n 15V, mc 0 ng vi t 3V n 15V) t Cng Com cho vi
iu khin v o in p iu khin t vi iu khin n cng Com.
2.3.6 Khi cng sut
















Hnh 9.61: Khi giao tip PC
Hnh 9.62: Khi mch cng sut
ISO3
PC817
1
2
3
4
R34
220
VCC
DAC
SEL1
R30
100
RA1
D3
LED BAO
U15
MOC3020
1
2
6
4
Q1
TRIAC_BTA12
RA1
L
N
J5
NGUON_AC-220V
1
2
R43
180
T
A
I
J4
TAI
1
2
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

355
_Chn SEL iu khin ng ngt cho hay khng cho Moc3020 hot ng. Khi in p vo
chn 1 ca Moc3020 ,nu mc 1 th led pht s sng v lm cho led thu c tn hiu,dn v
kch chn G ca triac lm cho in AC qua ti . Ngc li mc 0 vo chn 1 th led thu
khng c tn hiu v triac khng c kch, dng in khng qua ti.
2.3.7 Khi iu khin qut




















_Khi kch mc 1 vo Q2 th LED sng v qut hot ng. Ngc li khi kch mc 0 th led tt v qut ngng
hot ng.
_in tr R44 l in tr ko ln. in tr R46 hn dng bo v Q2.
_Diode D7 dp dng cm ng sinh ra do qut hot ng bo v mch iu khin.
2.4 Nguyn l hot ng ca h thng thu thp d liu nhiu knh
Trn my tnh, ta t nhit ca ba l nhit sau bm start h thng bt u hot ng. Khi
ba l nhit bt u hot ng lm nhit ca ba l tng ln, cc cm bin nhit s c nhit ca ba l
nhit, sau thng qua b bin i ADC bin i tn hiu tng t thnh tn hiu s,vi x l s c cc d
liu v gi ln my tnh. My tnh s hin th nhit ca ba l nhit trn mn hnh, v p ng ca ba l
nhit , cha nhit thu c vo mt file access. My tnh gi m iu khin xung vi x l iu
khin nhit ca ba l nhit nh mong mun.







2.6 Lu gii thut
D7
1N4007
Q1
R44
R
R46
1K
R45
1K
Q2
D468
VCC
D6
LED BAO
12V
J7
QUAT1
1
2
Hnh 9.63: Khi iu khin qut
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

356
2.6.1 Lu chnh


















2.6.2 Lu chng trnh con ly mu ADC

















2.6.3 Lu chng trnh con bin i ADC
Start
To tc BAUD 9600 bits giao tip VDK vi my tnh (Dng
TIMER1)
Khi to ch giao tip ni tip
Tt cc bit iu khin qut v l nhit
Np gi tr P0=00h
Np P2=0FFh c d liu t ADC
Cho php ngt TIMER2, Port Ni tip

CHUYEN_ADC=1?

S
_Gi chng trnh Ly mu ADC
_Khng cho php ngt Port ni tip
_Gi 4 byte d liu ln my tnh
_Cho php ngt Port ni tip
Ly mu ADC
_Chn ng vo IN0 ca ADC
_Gi chng trnh Bin i ADC
_Ct vo bin tm ADC1
_Chn ng vo IN1 ca ADC
_Gi chng trnh Bin i ADC
_Ct vo bin tm ADC2
_Chn ng vo IN2 ca ADC
_Gi chng trnh Bin i ADC
_Ct vo bin tm ADC3
_Chn ng vo IN3 ca ADC
_Gi chng trnh Bin i ADC
_Ct vo bin tm ADC4

RET
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

357


















2.6.4 Lu chng trnh ngt ni tip



















Bin i ADC
START=0 ,ALE=1

EOC=0?

S
c gi tr ADC t P2 vo 20h
OE=1
RET
Ngt ni tip
_Xa c thu RI
_c d liu t b m vo thanh ghi A
_Np gi tr P0=0FFh
A=M?

CLR chuyen_adc
S
A=A?
A=B?
RETI
M l 1 RETI
ng l 1 RETI

S
S
A=C?
M l 2 RETI

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

358



































Giao din chng trnh trn my tnh
A=D?
ng l 2 RETI
A=E?
M l 3 RETI
A=F?
ng l 3 RETI



S
S
S
S
A=0 ?
ng l 3,Clr Pwm (Ton
= 0, Toff = 100)

RETI
A=100 ?
M l 3, Set Pwm (Ton
= 100, Toff =0)

RETI

S
S
Np R7 vo R0
SETB PWM (TON)

Gi ctr DELAY
R0 = R0 - 1

R0=0?
R0 = 100 - R7
CLR PWM (TOFF)

Gi ctr DELAY
R0 = R0 - 1

R0=0?
RETI
Dng lnh v thanh ghi
Rn to delay 10s
RET
DELAY
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

359
Hnh 9.64
Thuat toan PID : ma chng trnh Visual Basic
'**************THUAT TOAN PID*****************
Sub PID(kp1 As Single, ki1 As Single, kd1 As Single)
Dim TT As Single
TT = 1
nddat = Val(Text3.Text)
e2 = nddat - T33 'Tnh sai so e(k) lo 3
A0 = kp1 + ki1 * TT + kd1 / TT
A1 = -kp1 - ((2 * kd1) / TT)
A2 = kd1 / TT
DUTY = Round(DUTY1 + A0 * e2 + A1 * e1 + A2 * e0, 0)

If DUTY >= 255 Then
DUTY = 255
ElseIf DUTY <= 0 Then
DUTY = 0
End If
DUTY1 = DUTY 'NaP DUTY(k) cho DUTY(k-1) PID sau
e0 = e1 'Nap e(k-1) cho e(k-2)
e1 = e2 'Nap e(k) cho e(k-1)
End Sub


Kt qu khi chy chng trnh:
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

360


















H9.65
h9.66


PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

361





































H9.67
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

362





















h9.68















PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

363



H9.69


















H9.70


So snh kt qu khi iu khin l nhit 3 bng phng php on/off v PID
Phng php on/off
Khi t nhit l nhit 3 l 80
0
C ta c p ng sau:
H9.71


PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

364
Phng php PID
Vi cc thng s Kp = 10, Ki = 4, Kd = 0.000001, nhit l nhit 3 t l 80
0
C ta c biu p ng
nh sau:

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

365

h9.72


Khi iu khin theo phng php on/off th sai s xc lp s ln do h ch cn bng ng quanh nhit t
v thay i theo ti.
Khi iu khin theo phng php PID th n c kh nng trit tiu sai s xc lp, tng p
ng qu , gim vt l nu cc tham s b iu khin c chn la thch hp.
Tham khao: Luan van tot nghiep ai hoc, He thong thu thap d lieu nhieu kenh, sinh
vien thc hien: Vo Hoang Minh, lp HT1B, thang 7-2009.
9.9. Thiet ke at cc: tiep can khong gian trang thai.
9.9.1. Thiet ke he thong ieu khien
S o khoi he thong ieu khien tieu bieu

v e

u
c
u x y







Hnh 9.73
H
ff

H
c
H
p

-1
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

366
.oi tng: c mo ta bi
Bu Ax
dt
dx
+ (1)
u: tn hieu ieu khien, x: vect trang thai, A va B la ma tran hang so.
Lay mau phng trnh (1), ta c he ri rac
) ( ) ( ) 1 ( k u k x k x + + (2)
vi: ) (T e
AT
va } ) {( ) (
1 1
A si L t


T
As
ds e
0
hay


T
Bd
0
) (
.Nhieu.
. S khong chac chan cua qua trnh.
Tieu chuan.
.Luat ieu khien:
) ( ) ( k Lx k u (3)
. Thiet ke tham so:

9.9.2. Hieu chnh bang hoi tiep trang thai
Th du:
e gii thieu phng phap thiet ke va minh hoa anh hng cua tham so thiet ke,
trng hp ac biet c thao luan trc tien.
Th du 1: at cc cho he tch phan kep.
Bang cach dung tao thi gian lay mau, he tch phan kep c lay mau mo ta bi
) ( 2 ) ( .
1 0
1
) 1 (
2
k u
T
T
k x
T
k x

,
_

,
_

+
Hoi tiep tuyen tnh tong quat c mo ta bi:

2 2 1 1
x l x l u
vi hoi tiep nay, he vong kn tr thanh:
) ( .
1
2 / 2 / 1
) 1 (
2 1
2
2
2
1
k u
T l T l
T l T T l
k x

,
_



+
Phng trnh ac tnh cua he kn la:
0 ) 1
2
( ). 2
2
(
2
2
1
2
2
1 2
+ + + + T l
T l
z T l
T l
z
Gia s phng trnh ac trng mong muon
0
2 1
2
+ + p z p z
ieu nay dan en phng trnh tuyen tnh oi vi l
1
va l
2
:

2 2
2
1
1 2
2
1
1
2
2
2
p T l
T l
p T l
T l
+
+

Cac phng trnh nay co nghiem:
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

367
) 3 (
2
1
) 1 (
1
2 1 2
2 1
2
1
p p
T
l
p p
T
l
+
+ +
(4)


Trng hp tong quat:
Giai phap cua bai toan at cc c cho vi he co mot tn hieu vao. Xet he (2) co
phng trnh ac trng a thc cua ma tran la:

n
n n
a z a z + + +

...
1
1

He (2) at c. oi bien z=Tx, phng trnh trang thai la:
) (
~
) (
~
) 1 ( k u k z k z + + (5)

,
_

,
_

0
....
0
0
1
;
0 1 ... 0 0
.. .. ... .. ..
0 0 ... 1 0
0 0 ... 0 1
...
~
1 2 1 n n
a a a a
(6)
Luat hoi tiep:
( ) z a p a p a p z L u
n n
. ) ( ... ) ( ) (
~
2 2 1 1
(7)
He kn co a thc ac trng
n
n n
p z p z z P + + +

... ) (
1
1
(8)
e tm nghiem cua bai toan goc, ta chuyen ve toa o goc
Lx Tx L z L u
~ ~
(9)
Cho W
c
la ma tran at en cua he (2)
( )
1
.. ...
n
c
W (10)
C
W
~
la ma tran at en cua (5).
T la ma tran chuyen oi.

1
~

C C
W W T (11)
vi

,
_

1 ... 0 0
.. .. .. ..
... 1 0
... 1
~
2
1 1
1 n
n
C
a
a a
W (12)
Tom lai, ta thay la nghiem cua bai toan thiet ke c cho bi hoi tiep trang thai tuyen
tnh vi o li
( )
1
2 2 1 1
~
. ) ( ... ) ( ) (


C C n n
W W a p a p a p L (13)
nh ly 1 : at cc dung hoi tiep trang thai. Xet he (2), gia s ch co mot ngo vao. Neu
he at en th ton tai hoi tiep tuyen tnh ma he vong kn vi a thc ac tnh P(z). Hoi
tiep c cho
) ( ) ( k Lx k u
vi
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

368

( )
( ) ( )( ) ) ( . ... 1 0 ... 0 ) ( . 1 0 ... 0
~
. ) ( ... ) ( ) (
1
1 1
1
2 2 1 1

P P W
W W a p a p a p L
n
C
C C n n
(14)
trong o W
c
va
C
W
~
la ma tran at en cua he (2) va (5) tng ng.
Nhan xet :
. Phng trnh (14) c goi la cong thc Ackermann.
. Cho va , tm ma tran L e ma - .L co gia tr rieng at trc.
. ( ) + + + +


1
2
1
1
1
... ...
n
n n
a a a T (15)
Th du 2 : Bo tch phan kep
Xet he tch phan kep th du 1.
Gia s a thc ac trng mong muon c cho bi P(z)=z
2
+ p
1
z+ p
2
. Ta co
( )

,
_


T T
T T
W
C
2
3
2
2 2

va

,
_

T
T
T
T
W
C
/ 5 , 0
1
5 , 1
1
2
2
1

a thc ac trng cua ma tran la z
2
-2z+1. V vay

,
_

+ +
+ + +
+ +
2 1
1 2 1
2 1
2
1 0
2 1
) (
p p
T p T p p
I p p P
Cong thc Ackermann (14) cho
( ) ( )

,
_

+ + +



T
p p
T
p p
P T
T
P W L
C
2
3 1
) ( . / 5 , 0
1
) ( . 1 0
2 1
2
2 1
2
1

Ket qua nay giong v du 1. e giai bai toan at cc, ta gia thiet he la at en c.
9.10. Phng phap thiet ke ieu khien toi u : tiep can khong gian trang thai
9.10.1. Gii thieu
Xet bai toan ieu khien toi u tuyen tnh dang toan phng (LQR-linear quadratic
regulator).
Cau tao bai toan :
.Qua trnh :
Gia s oi tng b ieu khien c mo ta bi mo hnh lien tuc :
dv dt u B dt x A dx + + . . . . (1)
trong o A va B la ma tran thay oi theo thi gian.
v
c
co gia tr trung bnh zero va gia tang khong lien quan. Phng sai (covariance) gia
tang cua v
c
la dt R
c 1
.
Ngo vao u(t) la khong oi trong suot chu k lay mau ; vi trng hp khong nhieu,
nghiem cua (1) co the viet nh sau : (goi h la chu k lay mau)
) ( ). , ( ) ( ). , ( ) ( kh u kh t kh x kh t t x + (2)
trong o ) , ( kh t la ma tran c ban cua (2) thoa :
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

369
) , ( ). ( ) , ( kh t t A kh t
dt
d

I kh kh ) , ( va


t
kh
ds s B s t kh t ) ( ). , ( ) , (
Bo qua bien thi gian cua ma tran, mo hnh lay mau (ri rac) co the c viet :
) ( ) ( . ) (
) ( ) ( . ) ( . ) (
kh e kh x C kh y
kh v kh u kh x h kh x
+
+ + +
(3)
trong o v va e la qua trnh nhieu trang Gauss ri rac vi gia tr trung bnh zero va
2
12
0
1 1
) ( ) ( ) ( .
) ( ) (
) ( ) ( .
R kh e kh e kh e E
R kh e kh Ev
d e R e R kh v kh v E
T
T
T
A
c
A T
T




gia s trang thai au x(0) la gauss c phan bo vi Ex(0)=m
0
va cov(x(0))=R
0
.
.Tieu chuan :
Tieu chuan thiet ke ma ta dung la cach om (trong so) bien o cua trang thai va tn
hieu ieu khien. Mot cach co the c xem tai cong suat cua trang thai, ngha la :


Nh Nh
T
dt t x t x dt t x J
0 0
2
). ( ) ( ) (
Thanh phan cua trang thai co nhieu chieu va ta co the dung mot trong so tong quat
hn

Nh
c
T
dt t x Q t x J
0
1
) ( ) (
trong o Q
1c
la ma tran ban xac nh dng oi xng. Tn hieu ieu khien va trang thai
tai thi iem cuoi co the tnh theo cach tng t. ieu nay dan en bai toan ieu khien
o ta muon toi thieu (minimize) ham chi ph (cost function)

,
_

+
1
]
1

,
_

+ + +

Nh
c
T
c
T T
Nh
c
T
c
T
c
T
c
T
Nh x Q Nh x dt
t u
t x
Q t u t x E
Nh x Q Nh x dt t u Q t u t u Q t x t x Q t x E J
0
0
0
0 2 12 1
) ( ) (
) (
) (
)] ( ) ( [
) ( ) ( )) ( ) ( ) ( ) ( 2 ) ( ) ( (
(4)
trong o

,
_

c
T
c
c c
C
Q Q
Q Q
Q
2 12
12 1

cac ma tran Q
0c
, Q
1c
, va Q
2c
la oi xng va t nhat ban xac nh dng. Cac ma tran
trong ham chi ph phu thuoc thi gian.
. Luat ieu khien :
That la quan trong ch ra d lieu dung cho xac nh tn hieu ieu khien. Gia thiet au
tien la chu k lay mau c dung va tn hieu ieu khien khong oi trong chu k lay
mau. Bai toan ieu khien de dang dch chuyen thanh bai toan ri rac.
Neu C la ma tran n v va e(kh)=0 trong (3) th vect toan trang thai dung c. Tn
hieu ieu khien c cho la ham cua trang thai ti va them kh. ieu nay goi la thong
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

370
tin trang thai ay u. Trong nhieu trng hp ch ngo ra co the o c. ieu nay ch ra
rang o lng nhieu h la co the vi bo ieu khien. ay la thong tin trang thai khong
ay u. Trong trng hp nay tn hieu ieu khien tai t=kh la ham cua ngo ra va ngo
vao ti en va them hoac kh-h hay kh.
. Bai toan :
Bai toan ieu khien toi u c nh ngha la tn hieu ieu khien e toi thieu ham chi
ph (4) khi oi tng c mo ta bi (1) hay mo hnh tng ng (3).
9.10.1.2. Lay mau ham chi ph :
Ham chi ph (4) c bieu dien lien tuc thi gian. au tien ha m nay c chuyen
sang dang ri rac

,
_

1
0
0
) ( ) ( ) (
N
k
c
T
Nh x Q Nh x k J E J
trong o
( )

+
+ +
h kh
kh
c
T
c
T
c
T
dt t u Q t u t u Q t x t x Q t x k J ) ( ) ( ) ( ) ( 2 ) ( ) ( ) (
2 12 1
(5)
Dung (2) va (5) va s that la u(t) khong oi trong chu k lay mau, ta co :

) ( ) ( ) ( ) ( 2 ) ( ) ( ) (
2 12 1
kh u Q kh u kh u Q kh x kh x Q kh x k J
T T T
+ +
trong o

+

h kh
kh
c
T
ds kh s Q kh s Q ) , ( ) , (
1 1
(6)

+
+
h kh
kh
c c
T
ds Q kh s Q kh s Q ) ) , ( )( , (
12 1 12
(7)

( )

+
+ +
h kh
kh
c c
T
c
T
ds Q Q kh s kh s Q kh s Q
2 12 1 2
) , ( 2 ) , ( ) , ( (8)
Toi thieu hoa ham chi ph (4) khi u(t) khong oi trong chu k lay mau la v vay giong
nh toi thieu ham chi ph ri rac.
( )
[ ]

,
_

+
1
]
1

,
_

+ + +

1
0
0
1
0
0 2 12 1
) ( ) (
) (
) (
) ( ) (
) ( ) ( ) ( ) ( ) ( ) ( 2 ) ( ) (
N
k
T T T
N
k
T T T T
Nh x Q Nh x
kh u
kh x
Q kh u kh x E
Nh x Q Nh x kh u Q kh u kh u Q kh x kh x Q kh x E J
(9)
trong o

,
_

2 12
12 1
Q Q
Q Q
Q
T
(10)
9.10.1.3. Hoan chnh cac bc
Ham toan phng c toi thieu nhieu lan trong tuan t. Ham chi ph co dang :
( )

,
_

,
_

u
x
Q Q
Q Q
u x u x J
u
T
xu
xu x T T
) , ( (11)
va ta muon tm J cc tieu oi vi u. The th ton tai L thoa
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

371

T
xu u
Q L Q (12)
e ma ham chi ph (11) co the viet :
) ( ) ( ) ( ) , ( Lx u Q Lx u x L Q L Q x u x J
u
T
u
T
x
T
+ + + (13)
ieu nay de thay bang cach thay (12) vao (13). Viet lai (11) dang (13) c goi la
hoan chnh cac bc. Bi v (13) la dang toan phng u va ca hai la ln hn hay bang
zero, de thay la (11) c toi thieu v
Lx u (14)
va L la duy nhat neu Q
u
xac nh dng. Toi thieu la
x L Q L Q x J
u
T
x
T
). . (
min
(15)
9.10.2. ieu khien toi u tuyen tnh dang toan phng (LQ Control)
Bai toan ieu khien LQ c giai trong trng hp thong tin trang thai ay u.
Trng hp tien xac nh (Deterministic case)
Trng hp xac nh vi v(k)=0 va e(k)=0 trong (3) c xem xet trc. He thong ri
rac c mo ta bi
) ( . ) ( . ) 1 ( k u k x k x + + (16)
trong o x(0) c cho. Bai toan la xac nh tn hieu ieu khien u(0), u(1), u(2),.., u(N-1)
e ham chi ph (9) la toi thieu. Y tng dan ra luat ieu khien la nguyen ly toi u va quy
hoach ong.
Nguyen ly toi u : mot chnh sac toi u co tnh chat ma bat k trang thai au va quyet
nh au tien la quyet nh van gi nguyen phai la toi u oi vi trang thai ket qua t
quyet nh au tien. Bang cach dung y tng nay va bat au t thi gian cuoi N, i
ngc thi gian, ta co the xac nh luat ieu khien tot nhat oi vi bc cuoi cung oc
lap vi trang thai N-1 lam sao at ti. Chi ph con lai gi phu thuoc trang thai thi gian
N-1. Lap ngc ti thi gian au k=0 xac nh chnh sach ieu khien toi u.



v
k




0 k-1 k N t


hng lap

Hnh 1 : Minh hoa thu tuc lap s dung quy hoach ong.
nh l 1 : ieu khien LQ cua he xac nh (tien nh). Xet he (16). Cho u(k) la ham cua
x(k), x(k-1). Chung ta gii thieu
) ) 1 ( ( ) ) 1 ( ).( ) 1 ( ( ) 1 ( ) (
12
1
2 12 1
T T T T T
Q k s Q k s Q k s Q k s k S + + + + + + + +


(17)
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

372
vi ieu kien cuoi S(N)=Q
0
. Gia s Q
0
la ban xac nh dng va + ) (
2
k S Q
T
la xac
nh dng. The th ton tai chien lc ieu khien duy nhat, chap nhan c
) ( ). ( ) ( k x k L k u (18)
trong o ) ) 1 ( .( ) ) 1 ( ( ) (
12
1
2
T T T
Q k S k S Q k L + + + +

(19)
ma toi thieu ham chi ph (9). Gia tr toi thieu cua ham chi ph la :
) 0 ( ) 0 ( ) 0 ( min
0
x S x V J
T

hn na S(k) ban xac nh dng.
Chng minh nh l 1:
( )
N
T T T
N u
N
V N u Q N x N u Q N u N x Q N x V + + +

) 1 ( ) 1 ( 2 ) 1 ( ) 1 ( ) 1 ( ) 1 ( min
12 2 1
) 1 (
1

(20)
(17) c viet lai :
[ ]
1
]
1

+ +
) (
) ( )) ( )( 1 ( )) ( ( ) (
k L
I
Q k L I k L k S k L k S
T T
(21)
Nhan xet :
1)Chu y la khong gia s Q2 xac nh dng, ch co + ) (
2
k S Q
T
la xac nh dng.
2)Tnh toan e xac nh bo ieu khien LQ c thc hien bang tay vi v du n gian.
Thc te phai can chng trnh lap e tnh luat ieu khien va mo phong he.

Phng trnh Riccati :
Phng trnh (17) c goi la phng trnh Riccati ri ra c thi gian. Co the dung
phng trnh Riccati e viet lai ham chi ph (9) ma cho ra nh l sau .
nh l 2 : Phng trnh Riccati ri rac thi gian.
Gia s phng trnh Riccati (17) co nghiem ma xac nh khong am trong khoang
0kN, th

( )
( ) ( )( )
( )

+ + + + + + + +
+ + + + +
+ + +
1
0
1
0
1
0
2
1
0
12 2 1 0
) ( ) 1 ( ) ( ) ( ) 1 ( )) ( ) ( ( )) ( ) ( )( 1 ( ) (
) ( ). ( ) ( . ) 1 ( . ) ( ). ( ) ( ) 0 ( ) 0 ( ) 0 (
) ( ) ( 2 ) ( ) ( ) ( ) ( ) ( ) (
N
k
T
N
k
T T
N
k
T T T
N
k
T T T T
k v k S k v k v k S k u k x k u k x k S k v
k x k L k u Q k S k x k L k u x S x
k u Q k x k u Q k u k x Q k x N x Q N x

(22)
( )
( )( )
( )
( )

+ +
+ +
+ + + +
+ + + +
+ + +
1
0
1
0
1
0
2
2
1
0
) ( ). 1 ( ) ( ) (
) ( )) ( )( 1 ( ) (
) ( ) ( ) ) 1 ( )( ( ) 1 ( ) (
) ( ) ( ) ( ). ( ) ( ) 1 (
) ( ). ( ) ( ) ( ) ( ) 0 ( ) 0 ( ) 0 (
N
k
T T
N
k
T
N
k
v
T T
v
T
v
T
N
k
T
v
T
k v k S k L k x
k x k L k S k v
k v k L Q k S k L k S k v
k v k L k x k L k u Q k S
k v k L k x k L k u x S x
(23)
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

373
trong o L(k) c nh ngha bi (19) va
( ) ) 1 ( ) 1 ( ) (
1
2
+ + +

k S Q k S k L
T T
v
(24)
va x(k+1) c cho bi (3).
Chng minh : xem sach [3].
Gia tr trung bnh cua dang toan phng :
Bieu dien dang
Sx Ex
T
se c tnh
trSR Sm m Sx Ex
T T
+ (28)
trong o x la bien ngau nhien Gauss vi tr trung bnh m va ma tran phng sai R.
Thong tin trang thai ay u :
Gia thiet v(k)=0 trong (3) nhng trang thai au khong chac chan. nh l 2 cho :
( )
( )
( ) ( )( )
,
_

+ + + + +

,
_

+ + +

1
0
2
1
0
0 12 2 1
) ( ) ( ) ( ) 1 ( ) ( ) ( ) (
) 0 ( ) 0 ( ) 0 (
) ( ) ( ) ( ) ( 2 ) ( ) ( ) ( ) (
N
k
T T
T
N
k
T T T T
k x k L k u Q k S k x k L k u E
x S x E
N x Q N x k u Q k x k u Q k u k x Q k x E J

V S(k) ban xac nh dng va thanh phan th hai khong am. Hn na, S(k) la oc lap
vi u(k) va v vay
0 0 0
) 0 ( ) 0 ( ) 0 ( ) 0 ( ) 0 ( R trS m S m x S Ex J
T T
complete
+ (29)
trong o (28) c dun. Tng ng at c cho luat ieu khien (18). nh l 2 va (29)
cho mot cach tng ng e chng minh nh l 1.
Gia s co nhieu ngau nhien tac ong len he va trang thai ay u o c. Dung
nh l 2, (22) va v(k) oc lap vi u(k) va x(k) ta co :
( ) ( )( )

,
_

+ + + + +
+ +

1
0
2
1
0
) ( ) ( ) ( ) 1 ( ) ( ) ( ) (
) ( ) 1 ( ) ( ) 0 ( ) 0 ( ) 0 (
N
k
T T
N
k
T T
k x k L k u Q k S k x k L k u
k v k S k v x S x
E J (30)
Dung (28) cho quan he

+ + +
1
0
1 0 0 0
) 1 ( ) 0 ( ) 0 (
N
k
T
noise
R k trS R trS m S m J (31)
Gia s v(k) c biet khi xac nh u(k). T (23) dan ra ham chi ph c toi thieu e
) ( ). ( ) ( ). ( ) ( k v k L k x k L k u
v
(32)
trong o L
v
(k) c cho bi (24) va ham chi ph toi thieu la

+ +
+ + +
1
0
2 1
1
0
1 0 0
) ) 1 ( )( ( ) (
) 1 ( ) 0 ( ) 0 ( ) 0 (
N
k
T T
v v
N
k
T
Q k S k L R k trL
R k trS R trS m S m J

Chi ph nay nho hn (31) va chng minh hieu qua cai thien neu v(k) c dung.
Nghiem co the at c bang lap (17) hay giai phng trnh Riccati ai so :
) ( ) )( (
12
1
2 12
T T T T T
Q S Q S Q S S S + + +

(33)
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

374
V Q (10) la oi xng va ban xac nh dng ta co the viet :
[ ] [ ]
l l
T
l l
D C D C Q .
Th du 1 : ieu khien LQ cua bo tch phan kep
Xet bo tch phan kep va dung chu k lay mau h=1 sec. V tr la x1, toc o la x2 va tn
hieu ieu khien u.
Cho ma tran trong so trong (9) la

,
_

0 0
0 1
1
Q va ( )
2
Q .
Anh hng cua trong so c nghien cu bay gi. Vect hoi tiep phai c tnh toan
vi cac gia tr khac nhau cua . Hnh sau minh hoa trang thai va tn hieu ieu khien
oi vi mot so gia tr. Khi =0, ngha la ch co ngo ra. Khi tang th th bien o cua
tn hieu ieu khien giam.
Hnh di minh hoa vect L nh la ham cua trong so ieu khien . Khi tang , o
li tien ve zero va khong co hoi tiep.
Bo tch phan kep (A1) :
Bo tch phan kep c dung trong giao trnh nay nh la v du chnh minh hoa ly thuyet
c trnh bay. Qua trnh (oi tng) c minh hoa bi phng trnh vi phan
u
dt
y d

2
2
(A1)
Ham truyen la G(s)=1/s
2
. Chung ta goi y la bien trang thai x
1
va y&la x
2
cua he. Phng
trnh trang thai cua he la :
( ) x y
u x
dt
dx
. 0 1
.
1
0
.
0 0
1 0

,
_

,
_

(A2)
Lay mau A2 dung bo gi bac 0 (ZOH) vi chu k lay mau h ta c he ri rac thi gian :
( ) ) ( 0 1 ) (
) ( .
2 /
) (
1 0
1
) (
2
kh x kh h
kh u
h
h
kh x
h
h kh x

,
_

,
_

+
(A3)
Toan t ham truyen xung cua A3 la
2
2
) 1 ( 2
) 1 (
) (

q
q h
q H (A4)
Co nhieu qua trnh vat l co the c mo ta nh bo tch phan kep. Th du la hanh vi cua
ve tinh, he thanh va bong.
He thanh va bong (beam and ball system): mot th du co the c mo ta nh bo tch
phan kep la banh (bong) lan tren mot thanh dai co the lat. Phng trnh cua he thanh
va bong la

r x
mgr mgr
dt
d
J

sin
2
2

hay
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

375
J mgr
dt
x d
J /
2
2
2

trong o la goc cua bong, g la gia toc trong trng, x la v tr cua bong va goc lat
cua thanh.
Hanh vi cua ve tinh : Hanh vi cua ve tinh co the c mo ta bi bo tch phan kep.
Phng trnh vi phan mo ta la :
d c
M M
dt
d
J +
2
2


trong o la goc hanh vi. M
c
la moment ieu khien, M
d
la moment nhieu, J la moment
quan tnh.
Tnh chat cua bo ieu khien LQ :
nh l 3 : On nh cua he vong kn. Cho he (16) la thay oi theo thi gian va cho ham
chi ph (9) e ma Q trong (10) la xac nh dng. Gia s nghiem trang thai xac lap xac
nh lng S trong (33) ton tai. Khi o chien lc ieu khien toi u trang thai xac lap
la :
) ( ) ( ) ( ) ( ) (
12
1
2
k x Q S S Q k Lx k u
T T T
+ +


cho he vong kn on nh tiem can.
) ( ) ( 10 ( k x L k x +
Chng minh : xem sach [3].
Trng hp Q xac nh dng, nh l 3 la rat ac biet. Khi bo ieu khien LQ c dung,
cac cc at c t
0 ) det( + L I
0
0
0
0 0
0 0
0 0
det
2 12
12 1

,
_

1
1
1
]
1


+
1
1
1
]
1

Q Q
Q Q I
I
T T
T
(34)
Phng trnh (34) c goi la phng trnh Euler cua bai toan LQ
Th du 2: Mo ta he con lac ngc
He con lac ngc hnh ve. He gom co thanh cng va xe tren o thanh
c treo. Xe di chuyen tren ng ray ti phai hay trai, phu thuoc vao lc tac
ong vao xe. Thanh ch co mot bac t do (quay quanh iem treo). Nhiem vu
ieu khien chnh la gi con lac can bang thang ng va xe trong pham vi
ng ray.
1.Mo hnh hoa
Mo hnh toan he con lac ngc tren xe :





PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

376
y



&

2
x

1
x

G x
l
mg
X 0
f
x
=u M


Hnh 1: He con lac ngc
Gia s khoi lng vat nang tap trung gia con lac.
Xem xet con lac tren xe minh hoa hnh 1. Chung ta ky hieu la goc so vi
phng thang ng, L=2l , m va J la chieu dai, khoi lng va moment quan tnh
tam trong lng con lac; M the hien khoi lng xe, va G the hien tam trong lng
con lac. Toa o theo truc hoanh va truc tung cua con lac c cho bi :
sin sin
2
l X
L
X x + + (4.1)
cos cos
2
l
L
y (4.2)



F
y


G F
x

f
x

mg F
x


F
y
Mg
F
y
/2 F
y
/2
Hnh 2: S o than t do cua he con lac ngc tren xe.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

377
S o than t do cua xe va con lac c minh hoa hnh 2, trong o F
x
va F
y

the hien lc phan ng tai iem truc. Xem xet con lac ngc trc. Tong lc ta co
cac phng trnh sau :
sin cos
2
& & & & &
ml ml X m F
x
+ (4.3)
cos sin
2
& & &
ml ml mg F
y
(4.4)

& &
J l F l F
x y
cos sin (4.5)
Xem xet lc phng ngang tac ong len xe, ta co la :

x x
F f X M
& &
(4.6)
Thay (4.6) vao (4.3), ta c :
x
f ml ml X m M + +
2
) (sin ) (cos ) (
& & & & &
(4.7)
S dung (4.3), (4.4), (4.5) va tnh toan rut gon , ta at c :
0 sin
3
4
cos + mg ml X m
& & & &
(4.8)
Phng trnh (4.7) va (4.8) mo ta ong lc hoc he con lac ngc .
nh ngha bien trang thai
1
x , va
.
2
x
Ta suy ra :
2
2
) (cos
3
4
. cos cos sin sin

aml
l
f a aml g
x

&
& &

hay :
2
2
) (cos
3
) ( 4
. cos cos sin sin ) (

ml
m M l
f ml g m M
x

+
+

&
& &
(4.9)
trong o chung ta a thay

12
2
mL
J , va
m M
a
+

1

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn

V tr xe :
m M
ml f
X
x
+
+

] ) cos( ) [sin(
2

& & &
& &

hay :
2
2
) (cos ) (
3
4
) cos( ) sin( ) sin(
3
4
3
4


m m M
mg ml f
X
x
+
+

&
& &
(4.10)
trong o , thong so con lac la :
Khoi lng xe M=1 kg, khoi lng con lac m=0,1 kg, na chieu dai con lac l=0,5 m
, gia toc trong trng g=9,8 m/s
2
.
Yeu cau : Tuyen tnh hoa he tai vi tr can bang 0
Phng trnh (4.9) va (4.10) c dung mo ta ac tnh ong cua oi tng.
Phng trnh phi tuyen (4.7) va (4.8) mo ta he.
e ieu khien PID ta phai tuyen tnh hoa he tai vi tr can bang 0 . Gia s goc u nho e chung ta xap x sin = ,
cos =1 va
2

&
=0. Khi o thay cac xap x nay vao (4.7) va (4.8) ta co
x
f ml X m M + +
& & & &
) ( (4.11)
.
3
4
mg ml X m +
& & & &
(4.12)
Trc tien chung ta tm ham truyen cua he con lac ngc. Lay bien oi Laplace
hai ve (4.11) va (4.12) vi ieu khien au zero ta c:
u s mls s X s m M + + ) ( ) ( . ) (
2 2
(4.13)
) ( ) (
3
4
) (
2 2
s mg s mls s X ms + (4.14)
V ) (s la goc lech so vi phng thang ng, nh la ham cua trang thai X(s). Giai phng trnh (4.14)
ta c
) ( .
3
. 4
) (
2
s
l
s
g
s X
1
]
1

(4.15)
Thay phng trnh (4.15) vao (4.13), ta c:
) ( ) ( ) ( .
3
. 4
) (
2 2
2
s U s mls s s
l
s
g
m M +
1
]
1

+ (4.16)
Sap xep lai ta c ham truyen sau:
g m M s
l m M
ml
s U
s
) ( ).
3
) ( 4
(
1
) (
) (
2
+ +
+

(4.17)
Bieu dien he dang khong gian trang thai, ta at

'

x x
x x
x
x
&
&
4
3
2
1

(4.18)
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

379


) 4 (
3
1
3
4
m M
mg u
X
+

& &
(4.19)
ml
m M l
u g m M

+
+

3
) ( 4
) (

& &
(4.20)
Phng trnh tuyen tnh hoa co the c bieu dien nh sau:

'

+
+
+

+
+

u
m M
x
m M
mg
x
x x
u
ml m M l
x
ml m M l
g m M
x
x x
.
) 4 (
4
) 4 )( 3 / 1 (
.
) ( ). 3 / 4 (
1
) ( ). 3 / 4 (
) (
1 4
4 3
1 2
2 1
&
&
&
(4.21)
Viet lai (4.21) di dang ma tran:
u
m M
ml m M l
x
x
x
x
m M
mg
ml m M l
g m M
x
x
x
x
.
) 4 (
4
0
) (
3
4
1
0
0 0 0
) 4 (
3
1
1 0 0 0
0 0 0
) (
3
4
) (
0 0 1 0
4
3
2
1
4
3
2
1

,
_

+
+

,
_

,
_

+
+

,
_

&
&
&
&
(4.22)
V ta xet v tr xe va v tr goc cua con lac, ngo ra nh sau:
u
x
x
x
x
t y
t y
.
0
0
0 1 0 0
0 0 0 1
) (
) (
4
3
2
1
2
1

,
_

,
_

,
_

,
_

(4.23)
Thay gia tr M=1 kg; m=0,1 kg;l=0,5m;g=9,8m/s
2
vao (4.22), ta c:

u
x
x
x
x
x
x
x
x
.
975 , 0
0
463 , 1
0
0 0 0 717 , 0
1 0 0 0
0 0 0 78 , 15
0 0 1 0
4
3
2
1
4
3
2
1

,
_

,
_

,
_

,
_

&
&
&
&
(4.24)


Yeu cau : Tuyen tnh hoa he tai vi tr can bang 0 . Tm phng trnh trang thai mo
ta he dang lien tuc. Ri rac hoa he vi chu k lay mau h=0,1 sec dung khau ZOH.
Thc hien ieu khien LQ.
9.11. He thong vi s khong chac chan
9.11.1.c lng trang thai vi nhieu ngau nhien
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

380
Trong phan trc chung ta gia s thong tin o lng trong bo c lng la hoan hao.
Tuy nhien nay la trng hp kho v moi cam bien co moat so khiem khuyet. Th du
nhieu ien cua cam bien co the c mo ta nh la bien ngau nhien them vao phng
trnh ngo ra:
) ( ) ( . ) ( kT e kT x C kT y + (1)
Moi thanh phan cua vect nhieu e(kT) c mo hnh nh la chuoi cac bien ngau nhien,
dang t nh la so ngau nhien.
Khi nhieu o lng hien dien, phng trnh (1) c dung co tnh toan nhieu. Cau truc
c lng c thay oi:
)] ( ) ( ) ( [ ) ( ) (
)] ( ) ( [ ) ( ) ( ) (
kT x C kT e kT Cx K kT u kT x
kT x C kT y K kT u kT x T kT x
+ + +
+ + +
(2)
9.11.2. He m
9.11.2.1.LOGIC M
He m la he thong da tren tri thc hay da tren luat. Trai tim cua he m
la c s tri thc gom co cac luat Neu-Th. Chang han luat neu th sau :
Neu toc o xe la cao th ap dung t lc .
trong o t cao va t c ac trng bi ham thanh vien tng ng.
He m c ng dung trong may giat, on nh anh so, trong xe hi, che
tao xi mang, ieu khien m ng xe la,vv...

9.11.2.1.1.Tap m
nh ngha tap hp kinh ien
Tat ca nhng oi tng xac nh nao o hp lai tao thanh mot tap hp, moi
oi tng la mot phan t cua tap hp.
Th du : Tat ca nhng ngi Viet nam tren the gii tao thanh tap hp ngi Viet
nam. Moi ngi Viet nam la mot phan t cua tap hp o.
Neu a la phan t cua tap hp E ta noi a thuoc E va viet E a . Neu a
khong la phan t cua E ta noi a khong thuoc E va viet E a .
Th du : 4 tap hp cac so chan.
Tap rong la tap hp khong co phan t nao.
Neu moi phan t cua A cung la phan t cua B th ta noi A bao ham trong
B hay A la tap con cua B. Ky hieu : B A .
Con co mot phng phap khac nh ngha tap ro A - phng phap thanh
vien, gii thieu ham thanh vien zero- mot (con goi la ham ac trng) oi vi A
va c ky hieu ) (x
A
e ma :
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn

'

A x neu 0
A x neu 1
) (x
A
(1)

nh ngha tap m

nh ngha 2.1 : Mot tap m trong c s U c ac trng bi ham thanh vien
) (x
A
ma lay gia tr trong khoang [0,1].
Tap m A trong U co the bieu dien nh la tap hp cac cap th t phan
t x va gia tr ham thanh vien , ngha la :
} | )) ( , {( U x x x A
A
(2)
Khi U lien tuc (v du U=R), A thng c viet nh sau :

U
A
x x A / ) ( (3)
trong o dau tch phan khong ky hieu s tch phan , ma ch bieu th tap tap tat ca
iem xU vi ham thanh vien ) (x
A
. Khi U r i rac, A thng c viet nh
sau:

U
A
x x A / ) ( (4)
Th du : Cho Z la tap m cac so gan bang 0. Ham thanh vien cua Z la (hnh
1) :

2
) (
x
Z
e x

(5)
trong o x R. ay la ham Gauss vi tr trung bnh bang 0 va vi phan chuan
bang 1. Theo ham thanh vien nay, so 0 va 2 thuoc ve tap m Z vi bac e
0
=1 va
e
-4
tng ng .




1




0 x

Hnh 1 : Mot ham thanh vien e ac trng cac so gan 0
nh ngha 2.2 : Mien xac nh cua tap m A trong c s U la mot tap hp ro ma
cha tat ca cac phan t cua U ma khong cha gia tr ham thanh vien khac 0
trong A, ngha la :
{ } 0 ) ( | ) ( > x A x A
A
supp (6)
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn

trong o supp(A) ky hieu mien xac nh cua tap m A. Neu mien xac nh cua
tap m la rong, th ta co tap m rong. Tap m singleton la tap m co mien xac
nh la mot iem trong U.
Tam cua tap m c nh ngha nh sau : neu tr trung bnh cua tat ca
cac iem tai o ham thanh vien cua tap m co gia tr cc ai la hu han.
iem xuyen tam cua tap m la iem trong ma co ham thanh vien trong A bang
0,5.
o cao cua tap m la gia tr ham thanh vien ln nhat at c bat ky
iem nao.V du o cao cua tap m hnh 1 la bang 1. Neu o cao cua tap m
bang mot, th ta noi tap m chnh tac.
Mien tin cay cua tap m A (nh ngha tren nen U), c ky hieu bi T ,
la mot tap con cua U thoa man
{ } 1 ) ( | x A x T
A
(7)

Cac phep toan tren tap m
Tng t nh tap hp, ta cung co phep toan giao, hp, bu oi vi tap m.
nh ngha 2.3 : Chung ta noi rang tap A va B la bang nhau neu va ch neu
) ( ) ( x x
B A
vi moi x U. Ta noi B cha A, ky hieu AB, neu va ch neu
) ( ) ( x x
B A
vi moi x U. Bu cua tap m A la mot tap m A trong U ma co
ham thanh vien c nh ngha bi :
) ( 1 ) ( x x
A
A
(8)
Hp cua A va B la tap m trong U, ky hieu B A , co ham thanh vien
c nh ngha bi :
)] ( ), ( max[ ) ( x x x
B A B A

(9)
Giao cua A va B la tap m trong U, ky hieu B A , co ham thanh vien
c nh ngha bi :
)] ( ), ( min[ ) ( x x x
B A B A

(10)
nh ly De Morgan con ung cho tap m.Neu A va B la tap m th

B A B A
B A B A




(11)

Bien ngon ng va gia tr cua no
Quay lai vi th du ve lai xe o to [1]. Trong o ai lng toc o co nhng gia
tr c nhac en di dang ngon ng nh :
- rat cham,
- cham,
- trung bnh,
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn

- nhanh ,
- rat nhanh.
Moi gia tr ngon ng o cua bien toc o c xac nh bang mot tap m nh
ngha tren tap nen la tap cac so thc dng ch gia tr vat ly x (n v la km/h)
cua bien toc o v nh 40 km/h, 50 km/h,..
Ham lien thuoc tng ng cua chung c ky hieu bang:

rat cham
(x),
cham
(x),
trung bnh
(x),
nhanh
(x) va
rat nhanh
(x).
Nh vay, bien toc o v co hai mien gia tr khac nhau:
-mien cac gia tr ngon ng
N={ rat cham, cham, trung bnh, nhanh, rat nhanh}
-mien cac gia tr vat ly (mien cac gia tr ro)
V={xR|x0}
Va moi gia tr ngon ng (moi phan t cua N) lai c mo ta bang mot tap m co
tap nen la mien cac gia tr vat ly V.

9.11.2.1.2.Luat hp thanh m
a.Menh e hp thanh
Cho hai bien ngon ng x va y. Neu bien x nhan gia tr m A vi ham lien
thuoc
A
(x) va y nhan gia tr m B co ham thuoc
B
(y) th bieu thc
x=A (12a)
c goi la menh e ieu kien va
y=B (12b)
la menh e ket luan .
Ky hieu menh e (12a) la p va (12b) la q th menh e hp thanh
pq (t p suy ra q)
hoan toan tng ng vi luat ieu khien (me nh e hp thanh mot ieu kien)
Neu x=A th y=B (12c)
Menh e hp thanh tren la mot v du n gian ve bo ieu khien m.
b.Mo ta menh e hp thanh m
Anh xa ) ( ) (
0
y x
C A
a ch ra rang menh e hp thanh la mot tap ma moi
phan t la mot gia tr )) ( ), ( (
0
y x
C A
, tc la moi phan t la mot tap m. Mo ta
menh e hp thanh tc la mo ta anh xa tren.
Bay gi ta xet menh e hp thanh m, tc la menh e hp thanh co cau
truc:
Neu x=A th y=B (13a)
hay
A
(x)
B
(y) vi
A
,
B
[0,1] (13b)
trong o
A
(x) la ham thuoc cua tap m au vao A nh ngha tren tap nen X va

B
(y) la ham thuoc cua B tren tap nen Y.
Co hai quy tac hp thanh chnh thng c s dung nh sau :
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc

384
Quy tac hp thanh MIN
Gia tr cua menh e hp thanh m (13) la mot tap m B nh ngha tren nen Y
(khong gian nen cua B) va co ham thuoc
)} ( , min{ ) (
'
y y
B A B
(14)
Quy tac hp thanh PROD
Gia tr cua menh e hp thanh m (13) la mot tap m B nh ngha tren
nen Y (khong gian nen cua B) va co ham thuoc
) ( ) (
'
y y
B A B
(15)


a)


cham
(x)
tang
(y)




x0 x y

b)



cham
(x) H
tang
(y)

) (
'
y
B


x
0
x y

c)



cham
(x) H
tang
(y)

) (
'
y
B


x
0
x y
Hnh 2 : a)Ham thuoc cham (x) va tang (y)
b) ) (
'
y
B
xac nh theo quy tac hp thanh MIN
c) ) (
'
y
B
xac nh theo quy tac hp thanh PROD
Gia s rang bien ngon ng x ch toc o va y ch s tac ong ga xe. Luat
ieu khien cho xe chay vi toc o trung bnh khong oi se tng ng vi menh
e hp thanh m mot ieu kien au vao
Neu x= cham th y= tang (16)
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn

vi
cham
(x),
tang
(y) trong hnh 2a). Ket qua cua menh e hp thanh (16) khi s
dung quy tac MIN (14) cho mot gia tr ro x=x
0
au vao se la mot tap m B co tap
nen cung vi tap nen cua
tang
(y) va ham thuoc ) (
'
y
B
la phan di cua ham
tang
(y) b cat bi ng H=
cham
(x
0
) (xem hnh 2b).
Hnh 2c) bieu dien ham thuoc B cho menh e hp thanh (16) c xac
nh vi quy tac PROD.
Nh vay ta co hai quy tac hp thanh xac nh gia tr m B cua menh e
hp thanh . Neu ham thuoc ) (
'
y
B
thu c theo quy tac MIN th menh e hp
thanh co ten goi la menh e hp thanh MIN. Cung nh vay menh e hp thanh
se c goi la PROD, neu ) (
'
y
B
xac nh theo quy tac PROD.
Ky hieu gia tr m au ra B ng vi mot gia tr ro x
0
tai au vao th ham
thuoc cua B vi quy tac hp thanh MIN se la
)} ( , min{ ) (
'
y y
B A B
(17)
Goi ) (
0
x H
A
(18)
la o thoa man menh e ieu kien hay ngan gon la o thoa man th
)} ( , min{ ) (
'
y H y
B B
(19)
Vi quy tac hp thanh PROD, ham thuoc cua B se la
) ( . ) ( ) ( ) (
0 '
y H y x y
B B A B
(20)
Trong trng hp tn hieu au vao A la mot gia tr m vi ham thuoc
) (
'
x
A
, au ra B cung la mot gia tr m co ham thuoc ) (
'
y
B
la phan di cua
ham ) ( y
B
b chan tren bi o thoa man H c xac nh theo nguyen tac tnh
huong xa u nhat nh sau :
)} ( ), ( min{ max
'
x x H
A A
x
(21)

c.Luat hp thanh m
Luat hp thanh la ten chung goi mo hnh R bieu dien mot hay nhieu ham
thuoc cho mot hay nhieu menh e hp thanh , noi cach khac luat hp thanh c
hieu la mot tap hp cua nhieu menh e hp thanh. Mot luat hp thanh ch co mot
menh e hp thanh c goi la luat hp thanh n. Ngc lai neu co nhieu hn
mot menh e hp thanh, ta se goi no la luat hp thanh kep. Phan ln cac he m
trong thc te eu co mo hnh luat hp thanh kep.
Ta hay xet mot th du ve luat hp thanh R bieu dien mo hnh lai o to gom
3 menh e hp thanh R
1
, R
2
, R
3
cho bien toc o x va bien ga y nh sau :
R
1
: Neu x= cham th y= tang hoac
R
2
: Neu x= trung bnh th y= gi nguyen hoac
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn

R
3
: Neu x= nhanh th y= giam

Vi moi gia tr vat ly x
0
cua bien toc o au vao th thong qua phep suy
dien m ta co ba tap m B
1
, B
2
, va B
3
t ba menh e hp thanh R
1
, R
2
, R
3
cua
luat hp thanh R. Lan lt ta goi cac ham thuoc cua ba tap m ket qua o la
) ( ), ( ), (
' 3 ' 2 ' 1
y y y
B B B
. Gia tr cua luat hp thanh R ng vi x
0
c hieu la tap m
R thu c qua phep hp ba tap m B
1
, B
2
, va B
3
:
R=B
1
B
2
B
3
(22)
Neu cac ham thuoc ) ( ), ( ), (
' 3 ' 2 ' 1
y y y
B B B
thu c theo quy tac Min, va
phep hp (22) c thc hien theo luat max th R co ten goi la luat hp thanh
Max-Min. Cung vay R con co nhng ten goi khac nh :
-Luat hp thanh Max-Prod neu ) ( ), ( ), (
' 3 ' 2 ' 1
y y y
B B B
c xac nh theo quy tac
hp thanh PROD va phep hp (22) la phep hp theo luat max.
Tom lai, e xac nh ham thuoc ) (
'
y
R
cua gia tr au ra R cua mot luat hp
thanh co n menh e hp thanh R
1
, R
2
, .., R
n
phai thc hien cac bc:
1) Xac nh o thoa man H
1
, H
2
,.., H
n
theo (18) hoac (21)
2) Tnh ) ( ),..., ( ), (
' ' 2 ' 1
y y y
Bn B B
theo (19) hay (20)
3) Cac nh ) (
'
y
R
theo (9)

9.11.2.1.3. He m






x trong U y trong V




Tap m trong U Tap m trong V

Hnh 3: Cau hnh c ban cua he m

Hnh 3 minh hoa cau hnh c ban cua he m [2] . He m thc hien anh xa t
tap U R
n
vao tap R. Ta ga s rang
n
xU x U U ...
1
, trong o U
i

C s luat m
M hoa
Giai m
C che suy luan m
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn

R
n
, I=1,2,..,n. Chung ta se trnh bay chi tiet tng khoi trong he m hnh 3.
9.11.2.1.3.1.C s luat m
C s luat m gom co tap hp cac luat m NEU TH :
R
(l)
: Neu (x
1
la
l
F
1
va .. va x
n
la
l
n
F ) th y la
l
G (23)
trong o U x x x
T
n
) ,..., , (
2 1
x va y R la ngo vao va ngo ra cua he m tng
ng,
l
i
F va
l
G la nhan cua tap m trong U
i
va R tng ng, va l=1,2,..,M. Moi
luat m Neu Th cua (23) nh ngha keo theo m
l l
n
l
G xF x F ...
1
, ma moi tap
m c nh ngha trong khong gian tch UxR. Da tren tong quat hoa cua phep
keo theo trong logic a tr, nhieu luat keo theo m c e ngh trong logic m.
ay, ta a ra 4 luat keo theo m thng c s dung :
.Luat toan t Min cua keo theo m :
)] ( ), ( min[ ) , (
... ...
1 1
y y
l l
n
l l l
n
l
G xF x F G xF x F
x x

(24)
. Luat toan t tch cua keo theo m :
) ( ). ( ) , (
... ...
1 1
y y
l l
n
l l l
n
l
G xF x F G xF x F
x x

(25)
. Luat so hoc cua keo theo m :
)] ( ) ( 1 , 1 min[ ) , (
... ...
1 1
y y
l l
n
l l l
n
l
G xF x F G xF x F
+

x x (26)
. Luat Maximum cua keo theo m :
)] ( 1 )), ( ), ( max[min( ) , (
... ... ...
1 1 1
x x x
l
n
l l l
n
l l l
n
l
xF x F G xF x F G xF x F
y y

(27)
trong o ) (
...
1
x
l
n
l
xF x F
c nh ngha bi :
) ( * ... * ) ( ) (
1
...
1 1
n
F F xF x F
x x
l
n
l l
n
l
x (28)
trong o * ky hieu t-norm ma tng ng vi lien t va trong (23); phep toan
thng c dung nhieu nhat cho t-norm la :
min(u, v) : phep giao m
u*v =uv : tch ai so (29)
max(0, u+v-1) : tch b chan
9.11.2.1.3.2.C che suy luan m
C che suy dien m thc hien anh xa t tap m trong U vao tap m trong
R, da tren luat Neu th trong c s luat m va luat to hp suy dien. Cho A
x
la
tap m duy nhat trong U; the th moi luat R
(l)
cua (23) xac nh mot tap m ,
A
x
oR
(l)
, trong R da tren luat suy dien to hp sup-star sau ay :
)] , ( * ) ( [ sup ) (
...
1
) (
y y
l l
n
l
z
l
x
G xF x F
A
U x
oR A
x x

(30)
trong o * la t-norm (29) va ) , (
...
1
y
l l
n
l
G xF x F
x

c xac nh bi luat keo theo m


(24)-(27). Tap m cuoi cungA
x
o(R
(1)
, ..,R
(M)
) xac nh bi tat
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn

ca M luat trong c s luat m th at c bang cach ket hp ) (
) (
y
l
x
oR A
cua
(30) vi l=1,2,..,M s dung lien ket m :
) ( ... ) ( ) (
) ( ) ( ) ( ) (
) ,..., (
y y y
M
x
l
x
M l
x
oR A oR A R R o A
+ + (31)
trong o
.
+ ky hieu t-conorm; phep toan thng c dung nhieu nhat cho t-
conorm la :
max(u,v) : phep hp m
u
.
+ v=u+v-uv : tong ai so (32)
min(1, u+v) : tong b chan
9.11.2.1.3.3.M hoa
M hoa anh xa iem ro U x x x
T
n
) ,..., , (
2 1
x vao tap m A
x
trong U. Co
t nhat hai la chon anh xa nay :
(i) A
x
la singleton m (vach) vi ho tr x, ngha la 1 ) ' ( x
x
A
vi
x x ' va 0 ) ' ( x
x
A
cho vi moi xU ma xx.
(ii) 1 ) ( x
x
A
va ) ' (x
x
A
giam dan t 1 khi x i xa khoi x , v du
1
]
1



2
) ' ( ) ' (
exp ) ' (

x x x x
x
T
A
x
, trong o
2
la thong so ac trng
hnh dang cua ) ' (x
x
A
.
Trong ly thuyet, ngi ta thay rang m hoa singleton (i) c dung.
Chung ta ngh rang m hoa khong singleton (ii) co the hu ch neu ngo
vao b sa oi bi nhieu.
9.11.2.1.3.4.Giai m
Giai m anh xa tap m trong R thanh 1 iem ro trong R. Co t nhat ba
loai anh xa nay :
i) Giai m cc ai, nh ngha nh sau :
)) ' ( ( sup arg
) ,..., (
'
) ( ) 1 (
y y
M
x
R R o A
R y

(33)
trong o ) ' (
) ,..., (
) ( ) 1 (
y
M
x
R R o A
c cho bi (31).
ii) giai m trung bnh trong tam (la giai m thng c dung
nhieu nhat ), c nh ngha nh sau :

M
l
l
oR A
M
l
l
oR A
l
y
y y
y
l
x
l
x
1
1
)) ( (
)) ( (
) (
) (

(33)
trong o
l
y la iem trong R tai o ) (
) (
y
l
G
at gia tr cc ai, va ) (
) (
y
l
x
oR A

c cho bi (30).
iii)giai m trung bnh trong tam co ieu chnh , nh ngha nh sau :
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
389

M
l
l l
oR A
M
l
l l
oR A
l
y
y y
y
l
x
l
x
1
1
) / ) ( (
) / ) ( (
) (
) (


(34)

trong o
l
la mot tham so ac trng hnh dang cua ) (
) (
y
l
G
e ma hnh
dang ) (
) (
y
l
G
cang hep th
l
cang

nho hn; th du, neu
1
]
1



2
2
) (
exp ) (

l
G
y y
y
l
th
l
j
la mot tham so.
9.11.2.1.4.Bo ieu khien m
9.11.2.1.4.1.Phng phap Mamdani va Larsen
ng dung au tien cua logic m c phat trien bi E.H. Mamdani
vao nam 1974. E.H. Mamdani thiet ke he thong ieu khien m thc te cho
to hp noi hi va may hi nc bang cach tong hp tap hp cac luat ieu
khien phat bieu lay t kinh nghiem ngi ieu hanh. Phng phap noi
tieng c biet cho ti ngay nay la phng phap Mamdani.
oi vi phng phap Mamdani va Larsen, c s luat tieu bieu co
dang sau :
R
j
: Neu x la A
j
th u la B
j
, vi j=1,2,..,r
trong o x=(x
1
,x
2
,..,x
n
)X va uU, va A
j
(x)=min
i=1,2,..,n
{A
ji
(x
i
)} cho
A
j
=A
1j
xA
2j
x..xA
nj
: X=X
1
xX
2
x..xX
n
[0,1]
B
j
: U [0,1]
trong o A
j
, B
j
la nhng bien ngon ng tien e va ket qua (th du nho,
ln) c the hien bi nhng tap m va r la so quy luat m trong mo
hnh. Mo hnh m ngon ng c dung e the hien kien thc nh tnh.
Phng phap Mamdani s dung c che suy dien Max-Min, con
phng phap Larsen dung phep suy dien Max-Prod. Chung ta giai m bang
cach s dung phng phap vung trong tam (33).

+


-



Hnh 4: He thong ieu khien m trc tiep
9.11.2.1.4.2. ieu khien m da tren mo hnh
Phng phap Takagi-Sugeno
Mot tiep can hu ch khac cho ieu khien m la dang ham cua he
m, theo Takagi va Sugeno, m hoa khong con can thiet. Phng phap ieu
Bo ieu khien
m
oi tng
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
390
khien m da tren mo hnh c ban (hnh 5) co the c s dung khi no co
the mo ta ong hoc cua he cuc bo.
C s luat trong trng hp nay co dang sau :
R
j
: Neu x
1
la A
j1
va .. va x
n
la A
jn
th u=f
j
(x
1
,x
2
,..,x
n
)
trong oj=1,2,..,r va x
i
la gia tr cua bien ngo vao, f
j
la ham, va A
ij
tao nen
phan hoach m trong khong gian vao. Lay tch cua tat ca cac A
ij
, chung ta
co the bieu dien cac luat dang n gian hn
R
j
: Neu x la A
j
th u
j
=f
j
(x
1
,x
2
,..,x
n
)
o manh chay cua moi luat R
j
la A
j
(x), va gia tr ieu khien ngo ra toan
cuc c tnh bi cong thc :

r
j
j
r
j
j j
x A
x f x A
x u
1
1
) (
) ( ) (
) (
Chu y rang phan tien e th co dang giong vi phng phap
Mamdani va Larsen, nhng phan ket qua th co dang khac.
Trong phng phap Takagi-Sugeno, moi ham f
j
la mot ham tuyen tnh

+
n
i
i ij j n j
x a x x f
1
0 1
) ,..., (
Takagi va Sugeno (1985) a phat trien tiep can nhan dang co kha
nang rut trch luat ieu khien t ngi van hanh lanh nghe, va khang nh
rang phng phap co the dung e nhan dang mo hnh qua trnh dang luat
va at c luat ieu khien da tren mo hnh nhan dang.











Tn hieu at Ngo ra




Hnh 5: ieu khien m da tren mo hnh

Thiet ke bo
ieu khien
Mo hnh
m
Qua trnh
ieu khien
Bo ieu khien
m
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
391

[1]. Nguyen Doan Phc, Phan Xuan Minh, Ly thuyet ieu khien m, NXB
KHKT, Ha noi, 2002.
[2]. L.X. Wang, Stable adaptive fuzzy controllers with application to
inverted pendulum tracking, IEEE Trans. Syst., Man, Cybern., vol. 26,
pp.677-691, October 1996.

Cau hoi va bai tap:
Chng 9: He thong ieu khien so
1. He lien tuc co phng trnh trang thai : x x x c y bu A + ; &
vi
1
]
1

1 0
1 0
A ;
1
]
1

1
0
b ; [ ] 0 1 c
a)Gian oan hoa phng trnh tren vi T=1 sec.
b) Vi he kn nh hnh sau , viet phng trnh ri rac cua he


x
d
(t) e(t) u
T y=x
1


ZOH

2.Bo ieu khien PI:

s
K
K
s E
s U
I
P
+
) (
) (

Thiet ke bo ieu khien PI ri rac dung phng phap bien oi ngc.
3.Mot he bac 2 G
p
(s): ) (
1
2
1
t u y T y T + & & &




ZOH

a) Viet phng trnh trang thai he lien tuc vi y x y x &
2 1
,
b) Viet phng trnh trang thai he ri rac , cho T
1
=1 sec va chu k lay mau
T=0,2 sec.
4.Bo ieu khien PID:
s K
s
K
K
s E
s U
D
I
P
.
) (
) (
+ +
Thiet ke bo ieu khien PID ri rac dung phng phap bien oi hnh
thang(song tuyen tnh).
5.Tr nh b y i u khi n ON/OFF v d ng c b n c a b i u khi n PID li n t
c .
6. Trnh bay s o khoi he thong ieu khien so vong kn.
s
e
Ts
1
bu Ax x + &
C
s
e
Ts
1

G
p
(s)
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
392
7.Cho
) 10 )( 2 (
) 20 )( 1 (
) (
+ +
+ +

s s
s s
s G
Dung cac ky thuat bien oi tm G(z), T=0,1 sec
-bin i thun
-bin i ngc
-hnh thang (song tuyn tnh)
8. Dung MATLAB e bien oi t tng t sang gian oan va ngc lai:
a)Cho ham truyn
) 1 (
1
) (
+

s s
s G , tm G(z), T=1 sec, ZOH.
b)Cho
3679 , 0 368 , 1
2642 , 0 369 , 0
) (
2
+
+

z z
z
z G , tm G(s), T=1 sec, ZOH.
9. ieu khien so bang may tnh CNC : ac iem va ng dung.
10. Th du ve o lng va ieu khien bang may tnh
a.H thng iu khin nhit dung may tnh PC-IBM/ vi x l.
b.H thng iu khin toc o ong c DC dung vi x l
c.iu khin en giao thong dung vi iu khin 89C51.
d. Bo ieu khien cng o sang dung vi x l .
11.Bo ieu khien PI:

s
K
K
s E
s U
I
P
+
) (
) (

Thiet ke bo ieu khien PI ri rac dung phng phap bien oi thun.
12. Lay mau he lien tuc vi ham truyen :
) 2 (
1
) (
+

s s
s G
p
dung mach gi bac
khong ZOH va T=1sec. Xac nh phng trnh trang thai ri rac.

r u y
T

ZOH
13.Bo ieu khien PD:
s K K
s E
s U
D P
.
) (
) (
+
Thiet ke bo ieu khien PD ri rac dung phng phap bien oi ngc.
14. Mo hnh chuan hoan gian cua ong c ien DC c cho nh sau:

Viet phng trnh trang thai ri rac.
15.Xac nh phng trnh trang thai he ri rac t he lien tuc sau :
[ ]x y
u x
dt
dx
0 1
1
0
.
2 0
1 0

1
]
1

+
1
]
1


Cho T= 1sec.
s
e
Ts
1

G
p
(s)
[ ] x y
u x
dt
dx
. 1 0
0
1
.
0 1
0 1

1
]
1

+
1
]
1

PDF created with pdfFactory Pro trial version www.pdffactory.com


http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
393
16. Cho
( ) ) ( . 0 1 ) (
) ( .
0952 , 0
00484 , 0
) ( .
905 , 0 0
0952 , 0 1
) 1 (
k x k y
k u k x k x

,
_

,
_

+

Phng phap at cc co luat ieu khien hoi tiep :
( )

,
_


2
1
2 1
x
x
k k u
T=0,1 sec. Cc nam 0,888j0,713
Phng trnh ac trng : z
2
-1,776z+0,819
S dung cong thc Ackermann tm K=[k
1
k
2
].
17. Cho he con lac ngc c mo ta bi he phng trnh sau :
Chung ta xem xet he con lac ngc hnh sau va cho
1
x la goc
cua con lac so vi phng thang ng, va cho
.
2
x la van toc goc
tng ng cua vat.




&

2
x

1
x


l mg


f=u


Hnh : He con lac ngc


Phng trnh ong cua he con lac ngc c cho nh sau :
) ). , ( ) , ( (
1
0
0 0
1 0
2 1 2 1
2
1
2
1
d u x x g x x f
x
x
x
x
+ +
1
]
1

+
1
]
1

1
]
1

1
]
1

&
&

[ ]
1
]
1

2
1
0 1
x
x
y
trong o
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
394
) (
3
4
cos .
cos
) , (
) (
3
4
cos .
cos . ). ( cos sin
) , (
1
2
1
2 1
1
2
1 1 1
2
2
2 1
m M l x ml
x
x x g
m M l x ml
x g m M x x mlx
x x f
+

+
+



va g=9,8 m/s
2
la gia toc trong trng. M la khoi lng xe, m la khoi lng
con lac, l la na chieu dai cua con lac, u la lc tac dung, ngha la tn hieu
ieu khien va d la nhieu ngoai tien nh. Trong v du nay, ta chon M= 1 kg,
m=0,1 kg, l=0,5 m. e thuan tien mo phong , nhieu ngoai d tien nh c
gia thiet la song vuong vi bien o +/- 0,1 va chu k 2. ay tn hieu
mong muon la gia thiet y
r
=(/30).sin(t) va ngo ra he y la o c. Nhiem vu
ieu khien cua ta la ieu khien trang thai x
1
cua he thong bam theo quy ao
cua tn hieu mong muon y
r
.
ieu khien lqr he con lac ngc tren. Cho chu k lay mau la h=0,1 sec.

Tham khao :
[1].Nguyen c Thanh, o lng va ieu khien bang may tnh, NXB HQG Tp.
HCM, 2002 va 2005(lan 2).
[2].Gustaf Olsson and Gianguido Piani, Computer systems for automation and
control, Prentice Hall ,1992.
[3].K.J.Astrom,B.J Wittenmark, Computer-Controlled Systems - Theory and
Design, Prentice Hall, 3
rd
ed., 1997.
Ma chng trnh C cho bo ieu khien PID da tren ri rac hoa Tustin (phng
phap hnh thang): xem [3], trang 318-319.
[4]. Hans B. Kief, T. Frederick Waters, Computer numerical control, Glencoe,
McGraw-Hill, International Editions 1992.
[5].Ramakant Gayakwad, Leonard Sokoloff, Analog and Digital control systems,
Prentice Hall NJ, 1990.
[6]. Ho Trung My, Vi x ly, NXB HQG Tp. HCM, 2003.
[7]. Tong Van On, Ho Vi ieu khien 8051, NXB LXh, 2001.
[8]. Van The Minh, Ky thuat xi x ly, NXB Giao duc, 1997.
[9]. Pham Quang Tr, Vi x ly, trng HCN Tp. HCM, 2006.
[10]. I. Scott Mackenzie, The 8051 microcontroller, Prentice Hall, 1995.
[11].Douglas V. Hall, Microprocessors and Interfacing, 2
nd
ed, Prentice Hall, 1992.
[12]. PC-AT Technical Reference.

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
395
CHNG 10: MANG TRUYEN THONG CONG NGHIEP
10.1.Khai nim
S o ket noi he thong m OSI:
Lp


7



6



5



4



3



2



1
Kenh vat ly
Hnh 10.1: Mo hnh ket noi OSI
*Lp vat ly: lp nay gom co ca c giao tiep ien, c kh va quang hoc cung vi
phan mem ieu khien thiet b cho cac cong truyen thong COM, USB.. lp nay
tat ca chi tiet ve moi trng truyen thong, mc tn hieu, tan so eu c kiem
soat. Lp vat ly la ket noi thc duy nhat gia hai node (v tr lien lac).
* Lp lien ket d lieu: lp nay cung cap cho am bao rang chuoi bit c truyen
ung an gia hai node. Neu co sai sot xay ra, th du nhieu ng truyen, lp nay
yeu cau truyen lai chuoi bit. Lp lien ket d lieu the hien ti lp cao hn mot lien
ket d lieu khong co loi gia cac node.
* Lp mang: lp nay thiet lap ng i nay u va quan sat rang thong iep i
khap cac ng t nguon ti ch, ngay ca khi ng dan gom nhieu phan qua cac
node.
ng dung
Trnh dien
Phien
Van
chuyen
Mang
Lien ket
d lieu
Vat l
ng dung
Trnh dien
Phien
Van
chuyen
Mang
Lien ket
d lieu
Vat l

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
396
* Lp van chuyen: lp nay cung cap kiem soat truyen thong iem iem en va
la ni giao tiep gia cac phan mem ng dung yeu cau truyen d lieu va mang
ngoai. Lp nay co nhiem vu kiem tra rang d lieu t mot may nay ti mot may
khac c truyen va nhan ung an.
* Lp phien: cai tien lp van chuyen bang cach them vao dch vu e ho tr cac
hoat ong cac hoat ong nay u gia cac ma y. Th du: login(ang nhap), vao
mang bang may tnh xa.
*Lp trnh dien: ma hoa d lieu va chuyen oi trong o d lieu nh phan tho c
chuyen thanh cac y ngha cua no: thong iep, van ban, hnh anh.. xay ra lp
nay.
* Lp ng dung: lp cao nhat, cung cap cac tac vu quan l he thong ng dung nh
la truyen file, tac vu c s d lieu phan bo va ieu khien t xa(email, ftp, truyen
file..).
Mang cuc bo :(LAN-Local Area network)
Mang cuc bo c dung e noi nhieu v tr (goi la node) vi nhau, e chung co
the lien laic vi nhau. LAN c noi dung cap xoan hay ong truc . Tuy vao
phng thc lam viec, LAN co the c xay dng vong hay ket thuc m.
Cau hnh mang (Topology network): (Hnh 10.2)
-sao.
-vong.
-Bus.

H10.2: Topology network
Cac to chc thc hien chuan hoa mang may tnh:
-ISO (International Organization for Standardization) la to chc tieu chuan hoa
quoc te hoat ong di s bao tr cua lien hiep quoc vi thanh vien la cac c quan
tieu chuan hoa cua ca c quoc gia. ISO c to chc thanh cac ban ky thuat
(Technical Committee-TC) phu trach cac lnh vc khac nhau.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
397
-CCITT (Commite Consultatif International pour Telegraphe et Telephone) la to
chc t van quoc te ve ien tn va ien thoai cung hoat ong di s bao tr cua
Lien Hiep Quoc, vi cac thanh vien chu yeu la cac c quan Bu chnh Vien
thong cua cac quoc gia hoac t nhan.
-Ngoai ra con co ECMA (European Computer Manufactures Association), ANSI
(American National Standards Institute), IEEE (Institute of Electrical and
Electronics Engineers)-hoi cac ky s ien va ien t,.. la nhng to chc co nhieu
ong gop quan trong trong viec chuan hoa mang. ac biet IEEE la to chc tien
phong va chu ao oi vi viec tieu chuan hoa mang cuc bo.
10.2.Mang Ethernet va bus trng
10.2.1.Ethernet



Network control (ti lp cao hn)



Sub layer 2.2 802.2 LLC


Sublayer 2.1 802.3 802.4 802.5 MAC





Hnh 10.3: IEEE/ISO network standard constructing for OSI layer 2.

Physical medium : moi trng truyen thong.
LLC: logical link control: kiem soat lien ket logic.
MAC: Medium Access control: kiem soat truy xuat moi trng
Chuan IEEE 802.1: la chuan ac ta kien truc mang, ket noi gia cac mang va
viec quan tr mang oi vi mang cuc bo.
Chuan IEEE 802.2 (ISO 8802-2: kiem soat lien ket logic LLC): la chuan ac ta
tang LLC (dch vu, giao thc) cua mang cuc bo.
Chuan IEEE 802.3: la chuan ac ta mang cuc bo da tren mang Ethernet noi
tieng do Digital, Intel va Xerox hp tac phat trien t name 1980 (luc o goi la
DIX Ethernet version 1.0 en name 1982 th version 2.0 ra i).
IEEE 802.3 bao gom ca tang vat ly va tang con MAC vi cac ac ta sau:
-ac ta dch vu MAC.
-Giao thc MAC.
-ac ta vat l oc lap vi ng truyen.
-ac ta vat l phu thuoc ng truyen.
Physical medium
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
398
ac ta dch vu MAC nh ngha cac dch vu ma IEEE 802.3 cung cap cho tan
LLC hoac ngi s dung tang cao hn.
Phan coat loi cua IEEE 802.3 la giao thc MAC da tren phng thc
CSMA/CD. CSMA/CD la phng phap a truy nhap s dung song mang co
phat hien xung ot. Phng phap truy nhap ngau nhien nay c s dung cho
topo dang bus. Moi tram eu co the truy nhap vao bus chung (a truy nhap)
moat cach ngau nhien va do vay rat co the dan en xung o (hai hoac nhieu
tram ong thi truyen d lieu). D lieu c truyen i theo dang chuan trong
o co vung thong tin ieu khien cha a ch cua d lieu. e phat hien xung
ot , CSMA/CD dung giai thuat kien nhan: tram tiep tuc nghe en khi
ng truyen roi th truyen d lieu i vi xac suat bang 1 (1-persistent) va
CSMA/CD bo sung them qui tac:
. Khi moat tram ang truyen, no van tiep tuc nghe ng truyen. Neu phat
hien thay xung ot th no ngng ngay viec truyen nhng van gi tn hieu song
mang them moat thi gian na e am bao rang tat ca ca c tram tren mang eu
co the nghe c s kien xung ot o.
. Sau o tram ch i trong mot thi oan ngau nhien nao o roi thou truyen
lai theo qui tac cua CSMA/CD.
Ro rang vi CSMA/CD, thi gian chiem dung vo ch ng truyen c giam
xuong bang thi gian dung e phat hien xung ot.
Phng phap truy nhap co ieu khien chu yeu dung ky thuat chuyen the bai
(token passing) e cap phat quyen truy nhap ng truyen (tc la quyen c
truyen d lieu i). The bai nay la moat n v d lieu ac biet, co kch thc
va noi dung (gom cac thong tin ieu khien) c qui nh rieng chomoi phng
phap. Co hai phng phap tieu bieu la : moat cho topo dang bus (token bus) va
mot cho topo dang vong (token ring).
Tang vat ly cua IEEE 802.3 c chia lam hai phan. Phan oc lap vi ng
truyen ac ta giao dien gia cac tang MAC va vat ly. Phan phu thuoc ng
truyen la bat buoc phai co va ac ta giao dien vi ng truyen cua LAN va
cac tn hieu trao oi vi ng truyen. Phan nay cho nhieu kha nang chon
khac nhau ve kieu ng truyen, phng thc truyen tn hieu (tng t hoac
so) va toc o truyen.
Hien tai co cac phng an sau nay cho tang vat ly cua IEEE 802.3 vi cach
at ten qui c theo bo 3:
-Toc o truyen tn hieu: 1, 10 hoac 100 Mb/s.
-BASE (neu la baseband) hoac BROAD (neu la broadband).
-Ch nh ac trng ng truyen.
. 1BASE5: toc o 1 Mb/s, dung cap UTP (xoan oi) vi pham vi tn hieu lean
ti 500 m (250m/segment), topo hnh sao.
. 10BASE 5: dung cap ong truc beo ng knh ln (10 mm), tr khang 50
ohm, toc o 10 Mb/s, pham vi tn hieu 500m/segment, topo dang bus. Phng
an nay goi la Thick Ethernet hay Thicknet.
. 10BASE 2:
.10BASE-F:
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
399
.10BROAD 36:
. 10BASE T:dung cap UTP, topo hnh sao, toc o 100 Mb/s, khoang cach t
HUB ti tram toi a la 100 m.
. 100 BASE-T: tng t nh 10BASE-T nhng toc o cao hn la 100 Mb/s.
IEEE 802.4: la chuan ac ta mang cuc bo vi topo dang bus s dung the bai e
ieu khien truy nhap ng truyen.
IEEE 802.5: la chuan ac ta mang cuc bo vi topo dang vong s dung the bai
e ieu khien truy nhap ng truyen.

Ethernet IEEE802.3 Network






terminator Ethernet cable terminator

wiretap




Hnh 10.4: Cau hnh Ethernet vi wiretap
Mang Ethernet c phat trien bi cong ty Xerox nam 1970, sau o c
ho tr bi cac cong ty Xerox, Intel, Digital Equipment nam 1978. Ethernet tuan
theo nghi thc IEEE 802.3. Moi trng vat ly cua Ethernet la cap ong truc
mong 10 Base-2 0,25 inch (giong cap TV), tong tr 50 RG-58, cap ong
truc day 10 base-5 0,5 inch, van toc truyen 10 Mbit/sec, chieu dai toi a
500m; day oi xoan boc giap STP, day oi xoan boc giap UTP 10base-T, van
toc truyen 1 Mbps, si quang 10base-F. Chuan Ethernet toc o cao 10 Mbps
dung cac loai cap 100 base FX, 100 base 4, 100 base TX.
Ethernet dung trong mang LAN, noi bo. Ngay nay Ethernet c xem nh
tru coat cua mang cong nghiep ket noi cac may tram vi PLC va qua trnh san
xuat do gia thanh mang thap va co san hau heat cong ty, x nghiep.
Ethernet xac nh lp 1 va 2 cua OSI. Thong tin truyen i tren mang la tn
hieu so ma hoa Manchester va ieu che song mang kieu baseband (dai nen).
Van e giai quyet mang la tranh xung ot khi co hai hay nhieu node
cung phat tin. Phng phap thng dung la CSMA/CD (a truy cap cam bien
song mang phat hien xung ot). Phng phap khac la dung the bai tuyen, o la
mot mau bit ac biet lu thong trong vong logic cho en khi no en mot node
can truyen, node nay se chiem gi the va truyen tin.
10.2.2. Bus trng
10.2.2.1.Bus trng :
Unit 1
Unit 2 Unit 3

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
400
1/Giai phap cho truyen thong tram cap thap.
Trong ng dung cong nghiep, chia xe ln nhat cong viec (va gia thanh) cho
thu thap d lieu khong x ly trung tam ma bat nguon t hien trng ni
thiet b c cai at. e at thuan li cua ky thuat so, co nhu cau phat trien
trong the gii cong nghiep ve mot chuan so mi cho truyen thong cap thap;
chuan nay c biet en la bus trng.
Chuan bus trng c chap nhan rong rai cung nh trng hp vong dong
ien 4-20 mA. Kha nang m rong cua bus trng la ang ghi nhan. Hoi IEC
(International Electrotechnical Commission) lam viec tren chuan bus trng
quoc te. Tng t nh MAP, chuan bus trng se am bao lien noi gia cac
thiet b khac nhau noi chung moi trng vat ly.
2/FIP (Factory Instrumentation Protocol)
Bus trng do Phap a ra, dung cap xoan, toc o truyen dan 31,25 kbit/s,
1 Mbit/s va 2,5 Mbit/s. Chieu dai cable cho mot segment la 500m hoat ong
1Mbit/s va thay oi tuy thuoc vao toc o cu the. Nhieu segment co the noi vi
nhau thong qua repeater va so tram toi a trong segment la 32 va cho toan he
thong la 256. Tat ca ham giao tiep c cha trong mach tch hp goi la
FULLFIP. Mach tch hp nay c noi vi CPU cua thiet b truyen thong
(PLC, cam bien thong minh,..) va tren pha kia vi bus FIP.
3/PROFIBUS (Process Fieldbus): bus trng qua trnh
PROFIBUS c ho tr bi cac nha san xuat c. No c phat trien au
tien bi s hp tac cua bo nghien cu va cong nghe lien bang c va hien
nay tr thanh chuan c (DIN 19245). Giong nh cac bus trng khac,
PROFIBUS gom lp 1,2, va 7 (lp vat l, lien ket d lieu va ng dung).
Profibus cho phep chuan cu ton tai cac lp khac nhau va s quan tam c
can nhac e tng thch vi phan cng a s dung. Hoat ong bus quan trong
nhat khong oi hoi bo x ly chuyen dung nhng co the thc thi lap trnh chay
tren cac CPU thong dung.
Moi trng vat ly Profibus dung cap xoan oi theo chuan RS-485, vi o
dai toi a 1200 m (len ti 4800 m vi s giup cua repeater). Toc o truyen
la 9.6, 19.2, 187 va 500 kbit/s.
Profibus dung version lai cua IEEE 802.4 mo hnh vi tram chu va t. Len
ti 127 tram, c chia thanh tch cc va thu ong, co the c noi vi bus.
Tram tch cc xoay vong the bai va co the truyen khi gi no. Tram thu ong
khong xoay vong the bai va phai c nh a ch bi tram tch cc e co the
tra li bang thong iep.
Trong Profibus thng c thay 4 loai thong iep, t yeu cau d lieu n
gian va chap nhan ti goi d lieu dai hn. Thong iep co hai mc u tien
(cao/thap) va chieu dai toi a 256 byte. Thong iep Profibus da tren tap con
cua ngon ng MMS ma bao gom khai niem thiet b ao khach-chu va mot
tap lenh e thc thi tac vu mang, khi ong t xa va dng cong viec,vv
Cai tien cua Profibus la nh ngha ham quan l, ngha la cau hnh bus,
them vao hay lay i cac tram cung nh do tm va cach li n v loi.

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
401


token




physical medium








Hnh 10.5: Nguyen tac hoat ong PROFIBUS. Tram chu B, C, E, F va G xoay
vong the bai (token) va co the truyen thong trong khi hi the bai. Tram t A, D va
H ch c phep tra li ti yeu cau trc tiep t mot trong cac tra m chu ma gi the
bai.
Profibus c thiet ke e ho tr ket noi tren cung mot bus cac ca m bien
thong minh cung vi cac n v phc tap hn nh la PLC, bo hieu chnh va
may tnh qua trnh nho. S o giao tiep da tren thong iep cho phep tnh linh
hoat ve loai va lng d lieu co the trao oi. Tuy nhien , cai gia phai tra thay
cho toc o. PROFIBUS khong am bao rang tat ca d lieu cam bien thu c
cung mot toc o. oi vi moi qua trnh ta phai nh ngha tng trng hp.
Profibus: mang IEEE 802.4 token bus, cap xoan oi, toc o truyen cao
(9600 bps 12 Mbit/s), giao tiep RS-485 vi khoang cach 100m 24 km tuy
trng hp cu the. Mang co toi a 127 node.

4/Bit bus.
Bitbus la bus trng c gii thieu bi Intel vao nam 1984 va la nen tang
cua san pham cong nghiep cho cac cong ty khac. Bitbus gom co hai lp cua
OSI la lp vat ly va lp lien ket d lieu.
5/Hng ti chuan bus trng.

10.2.3.ieu khien dung PC: (PC-based Control)
Xay dng giai phap dung may tnh ca nhan
Trong phan nay toi trnh bay lai (trch dan nguyen van) noi dung bai bao
PC-based Control: T xay dng giai phap ieu khien dung may tnh ca nhan
cua ta c gia TS. Hoang Minh Sn (Tap ch t ong hoa ngay nay, so Thang
10(15)/2001, va so Thang 11(16)/2001).
Phan I
B A C
D E F G H
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
402
Theo tap ch Control Engineering, PC control c xep vao Top 20 cac
khai niem can phai biet trong ieu khien va t ong hoa. Giai phap s dung
may tnh ca nhan (PC) trc tiep lam thiet b ieu khien khong nhng c
ban ti rong rai, ma a tr thanh thc te pho bien trong nhng nam gan ay.
Neu so sanh vi cac bo ieu khien logic lap trnh c (PLC) th the manh cua
PC khong nhng nam tnh nang m, kha nang lap trnh t do, hieu nang tnh
toan cao va a chc nang, ma con kha canh kinh te. Cac bc tien ln trong
ky thuat may tnh, cong nghiep phan mem va cong nghe bus trng chnh la
cac yeu to thuc nay kha nang canh tranh cua PC trong ieu khien va t ong
hoa cong nghiep. Hien nay a co bo ieu khien t ong hoa lap trnh c
PAC (Programmable Automation Controller) la s ket hp cua PC va PLC.
Th d : cong nghe may tnh ieu khien cua hang Beckhoff va phan mem
TwinCAT. PAC ADAM 5000 ca hang Advantech vi phan mem KW
Multiprog.
en nay, hau het cac giai phap dung PC co tren th trng eu c phat
trien tren c s bat chc PLC, t nguyen tac lam viec cho ti phng phap
va ngon ng lap trnh. V vay, ngi ta cung thng noi ti Soft-PLC va
Slot-PLC.
Mot so hang a ra giai phap tron goi co chat lng tot, t ieu khien c s
cho ti ieu khien giam sat, s dung cong cu thong nhat, tch hp. V du Studio
Software cua cong ty Think & Do hay TwinCAT cua Beckhoff la cac san pham
tieu bieu a c nhieu tap ch chuyen nganh bnh chon. Tuy nhien, co nhieu l do
quen thuoc ma khong ch ngi s dung Viet nam muon t tay xay dng cho
mnh mot giai phap rieng.
Di ay tac gia [1] xin gii thieu vi ban oc ve mot phng an tong the
a c th nghiem trong thc te, t xay dng he thong ieu khien c s, ghep
noi vao/ra cho ti tao ng dung ieu khien giam sat. Mot giai phap ieu khien
dung PC can tan dung, khai thac triet e cac the manh cua chnh PC, ch khong
nen co gang tao thanh mot dang PLC mem.

-Cau hnh may tnh :
Co the dung may tnh ca nhan Pentium II tr len, RAM 128 MB tr len ;P3,
P4.
Ve nguyen tac, hau het ca c may tnh ca nhan pho thong hien nay t the he
Pentium I eu u manh ve kha nang tnh toan e thc hien nhiem vu cua mot
bo ieu khien. Tuy nhien viec la chon cau hnh, ac biet dung lng bo nh
lam viec RAM lai phu thuoc vao he ieu hanh. ung ra, mot he ieu hanh ly
tng cho may tnh ieu khien phai co tnh nang thi gian thc nh QNX,
VxWorks, LynOS, Tuy nhien, do tnh chat pho thong va gia thanh tong the ta
co the chon Windows NT 4.0 Workstation cho cac bai toan khong oi hoi ngat
ngheo ve tnh nang thi gian. Vi cac bai toan ieu khien qua trnh co chu k
ieu khien t vai chuc miligiay tr len th Windows NT van co the hoan toan
thch hp. Ngoai ra, mot so cong ty cung cung cap cac phan mem m rong thi
gian thc cho Windows NT e ap ng cac yeu cau cao hn. Neu nh may
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
403
tnh ch ong vai tro ieu khien, ta co the dng lai 48 hoac 64 MB RAM. e
ket hp thc hien giao dien ngi-may bang cac cong cu phan mem chuyen
dung th oi hoi phan cng thong thng la Pentium II va 128 MB RAM hay
P3, P4.
-Ghep noi vao ra:
Mot trong cac van e quan trong can thao luan la phng phap ghep noi may
tnh vi cac au vao/ra t thiet b cam bien va c cau chap hanh, hay noi tat
la ghep noi vao ra. Tn hieu thu c t cac ca m bien co the la tng t theo
mot so dang chuan dong, chuan ap, hoac cung co the la tn hieu so (oi vi cac
cam bien logic). Nhng du di dang tng t hay dang so , tn hieu cung can
c chuyen oi va thch ng vi giao dien ma y tnh. o chnh la vai tro cua
cac module vao ra.
Co the khai quat ba kieu ghep noi thong dung nh sau:
.ghep noi qua cong noi tiep RS232(COM 1/Com2), cong song song
(LPT1/LPT2), hoac mot cong noi tiep a nang (USB).
. Ghep noi qua mot card A/D va D/A.
. Ghep noi qua mang bus trng qua mot card giao dien( PCI hoac ISA) va
s dung cac vao ra phan tan.
Phng phap au mac du cho en nay c dung nhieu trong ieu khien may
moc, nhng co nhc iem la toc o truyen thap, khong thch hp vi ieu
khien qua trnh. Phng phap th hai co nhc iem la o cach ly kem, khong
an toan trong ieu khien cac qua trnh cong nghiep. Ca hai phng phap au
cung eu co hai nhc iem c ban na la khoang cach truyen thap nen phai
at gan may tnh ieu khien ni hien trng va ghep noi ieu khien iem-
iem nen khong cho phep ghep noi nieu thiet b. Vi s tien bo cua cong nghe
bus trng th phng phap th ba la s la chon hp l duy nhat.
ay ta s dung mang phan tan Profibus-DP. Nh tren hnh 1 mo ta, may
tnh ieu khien c noi vi bus qua mot card giao dien DP, cam qua mot khe
PCI hay ISA. Lu y rang, chnh card giao dien nay la tram chu DP. Ve
nguyen tac, card giao dien nay khong co g khac so vi mot card Ethernet
dung cho mang LAN thong thng. Co the s dung san pham cua cac hang
Siemens (CP5411/5611/5613), SST(5136-PFB), Synergetic (CIF-30-DPM) hay
cua mot trong nhieu nha san xuat khac.
Co hai cach noi cac ca m bien va c cau chap hanh vi mang profibus DP.
Cac thiet b thong thng khong co giao dien DP c noi thong qua cac thiet
b vao/ra phan tan. Mot thiet b vao/ra phan tan co the co cau truc module e
cha mot hoac nhieu module vao/ra, hoac co cau truc gon vi so lng cac
kenh vao ra co nh. Trong trng hp nay, cac thiet b vao/ra nay ong vai tro
tram t (client), chung quan ly cac kenh vao ra nhng lai chu s quan ly trc
tiep cua tram chu (server) la may tnh ieu khien. Mac du mang DP cho phep
nhieu chu, nhng trong bai toan ieu khien c s ta ch nen dung mot chu e
am bao tnh nang thi gian thc.
Mot so loai thiet b trng co the c tch hp kha nang truyen thong DP
va noi trc tiep vi ng truyen. Cac thiet b nay co kha nang t x ly giao
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
404
thc truyen thong va am nhiem mot phan x ly thong tin, cac tn hieu au
vao/ra a c thch ng theo chuan DP. Trong trng hp nay, chung la cac
tram t va chu quan l trc tiep t may tnh ieu khien, khong can qua cac
moun vao ra. Chnh v co kha nang x ly thong tin tai cho nen cac thiet b
nay cung c goi la cac thiet b trng thong minh.
Profibus la mot trong 8 he thong bus c chuan hoa quoc te theo IEC-
61158. Profibus-DP cung la mot he thong c s dung nhieu nhat chau Au
trong nhieu nam nay, v the chung loai cac module giao dien cho PC va cac
thiet b ho tr khac rat phong phu. Trong pham vi mot cau hnh n gian, ta se
s dung cac san pham cua Siemens la card giao dien CP5611 e ghep noi may
tnh va thiet b vao ra phan tan co cau truc module ET200M e ghep noi cac
au o cung nh van ieu chnh.




CP5611
Profibus-DP





Hnh 10.6: Cau hnh he thong n gian
-Cong cu lap trnh :
Nham li dung triet e the manh cua may tnh ve kha nang x l thong tin
va lap trnh t do, ta nen chon ngon ng C++. (Visual C++ 6.0 hay Borland
C++ Builder 5.0 hay Borland C++ 5). Vi ca c ac tnh nh hieu suat cao,
hng oi tng, u n gian e gan phan cng nhng cung u tru tng e
thc hien cac thuat toan cao cap. C++ khong nhng a la mot chuan quoc te
ma con c chap nhan rat rong rai trong cong nghiep. Ket hp vi s dung
mot th vien phan mem tnh toan co chat lng, viec thc hien cac thuat toan
ieu khien cao cap se rat de dang, trong khi van am bao hieu suat cao ve thi
gian va hieu qua s dung bo nh.
e thc hien bai toan ieu khien, ben canh luat ieu khien ta cung can lap
trnh trao oi d lieu vao/ra va mot c che ieu khien chng trnh. Trong hau
het cac trng h, ta co the s dung mot bo nh thi (timer) e ieu khien
chng trnh theo chu ky tuan hoan hoac theo mot lch trnh nh san. Lu y
rang he ieu hanh Windows NT cho phep lap trnh nh thi gian co o chnh
xac tng oi cao ti 1 ms qua vi mot th vien co ten multimedia timer.
Vi card giao dien CP5611, ta co hai phng phap e thc hien trao oi cac
d lieu vao/ra gia may tnh (tc gia chng trnh ieu khien) vi cac thiet b
ngoai vi. Phng phap hieu qua nhat va n gian nhat la s dung trc tiep th
vien Softnet-DP. Th vien nay c hang Siemens cung cap di dang mot
May tnh
ET200M
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
405
th vien lien ket ong (DLL) kem theo header file cho C/C++. Phan DLL cha
nh ngha, con header file cha khai bao cac ham dch vu cua Profibus-DP
nh khi ong tram chu, trao oi d lieu tuan hoan, theo doi cac tram t, Vi
th vien nay, ta co the lap trnh bang C/C++ va kiem soat toan bo giao tiep
trong mang. Phng phap th hai phc tap hn mot chut, cho phep ta trao oi
d lieu thong qua mot phan mem trung gian goi la OPC-Server. Phng phap
nay da tren chuan OLE for Process Control nen tong quat hn, cho phep lap
trnh bang nhieu ngon ng khac nhau va khong phu thuoc vao mang truyen
thong pha di. Mac du cho bai toan trao oi d lieu vao/rat a khong s dung
phng phap th hai, u iem cua OPC se c khai thac phuc vu mot muc
ch khac.
Ngoai viec lap trnh cho bai toan ieu khien, ta cung can ngh sm ti viec
giao tiep lien qua trnh e thc hien cau truc ieu khien phan tan va phoi
ghep vi ng dung giao dien ngi-may. V vay, ben canh cac cong cu thuan
tuy cho C++ ta cung can cac cong nghe phan mem khac cho viec lap trnh
giao tiep. Cu the, trong moi trng Windows NT th viec giao tiep lien qua
trnh c ho tr tot nhat qua cac cong nghe xung quanh mo hnh oi tng
thanh phan COM (Component Object Model). COM se c s dung trong
viec thc hien giao tiep gia hai chng trnh ieu khien nam tren cung mot
may tnh, hoac tren hai may tnh khac nhau c noi mang. oi vi cac ng
dung n gian va oi hoi chi ph thap, giao dien ngi-may co the c thc
hien qua Visual Basic. Trong trng hp nay, COM cung se ong vai tro trung
gian gia chng trnh ieu khien va phan mem giao dien ngi-may.
oi vi cac ng dung co yeu cau cao ve ieu khien giam sat, ta co the s
dung cac phan mem chuyen dung. Hau het cac phan mem SCADA chuyen
dung hien nay eu ho tr OPC, v vay OPC se c s dung la au moi lien
ket gia cac chng trnh ieu khien c s vi phan mem ieu khien giam sat.
OPC thc chat cung la mot chuan giao dien da tren mo hnh COM. e phuc
vu cho muc ch nay, cong cu lap trnh manh nhat hien nay la Visual C++ cua
Microsoft cung vi phan mem khung ATL (Active Template Library) i kem.
Cong cu nay cung c rat nhieu cac th vien phan mem khac ho tr. Th du,
th vien Softnet-DP noi tren cung tng thch hoan toan vi Visual C++.
-Thiet lap cau hnh mang :
Sau khi ghep noi cac thiet b va cai at phan mem nh noi tren, bc tiep
thep la thiet lap cau hnh mang. Muc ch cua cong viec nay la tao mot c s
d lieu chi tiet ve cau hnh mang, cac thiet b tham gia va cac ac tnh cua
chung. Da vao c s d lieu nay, card giao dien CP5611 vi vai tro la tram
chu mi co the quan l cac tram khac va trao oi d lieu vao ra. Viec thiet lap
cau hnh c thc hien theo kieu nhung-tha vi chng trnh COM
PROFIBUS c Siemens cung cap i kem theo Softnet-DP. Cac thong tin cau
hnh can c nh vao mot tap tin co nh dang phu hp bang cach goi
File Export NCM File Cuoi cung e card CP5611 biet phai lam viec vi
c s d lieu nao, can chay chng trnh Set PG/PC Interface co san trong th
muc Softnet-DP (hoac trong Control Panel) va nap tap tin o len. Nh vay, sau
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
406
moi lan khi ong may tnh card CP5611 se nam c toan bo thong tin ve cau
hnh mang. Cung nh vay ta mi co the s dung th vien Softnet-DP e lap
trnh vao/ra d lieu phan tan.
-Lap trnh ieu khien:
Vi cac cong cu manh trong tay, viec lap trnh cac thuat toan ieu khien
tr nen de dang. Co the thc hien thuat toan PID, luat ieu khien logic, hay
cac thuat toan cao cap dung ngon ng lap trnh C++.
Ben canh cac luat ieu khien logic hoac cac bo ieu khien PID co phan
hoi, th kha nang thc hien cac thuat toan ieu khien cao cap cung hoan toan
khong b gii han bi ngon ng lap trnh. Cac luat ieu khien nay co the c
s dung lai nhieu lan, v vay co the xay dng thanh mot th vien lp. Ben
canh cac yeu to nh tham so bo ieu khien va chu ky lay mau, can lu y giai
quyet hp ly cac van e thc te nh gii han au vao, chuyen oi che o ieu
khien bang tay/t ong, hieu ng reset windup ( bo ieu khien PID). Th du
n gian hoa sau ay minh hoa phan ma chng trnh khai bao lp C++ thc
hien bo ieu khien PID.
Class PID: public Timer
{
double K; //He so t le
double Ti; // TG tch phan
double Td;//TG vi phan
double T; // Chu k lay mau
double SP ; //Setpoint
bool auto ;//Auto/Manual
//
public:
//khi tao cac tham so va trang thai au
PID (double k=1, double ti=0.05, double td=0, double t=0.1) :
K(k), Ti(ti), Td(td), T(t) {
//...
}
//at setpoint
void SetPoint (double sp)
{
SP=sp;
//...
}
//Thc hien mot bc tnh va tra lai tn hieu ieu khien
double Step (double PV);
};
e luat ieu ieu khien c thc hien tuan hoan theo chu ky lay mau, ta co the
s dung mot bo nh thi phat xung theo chu ky tuan hoan. Mot phng phap n
gian la nh ngha mot lp dan xuat t lp Timer co san va thc hien ham ao
OnTimer(). Moi khi bo nh thi c khi ong (bang cach goi ham start()), ha m
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
407
OnTimer() nay se c goi tuan hoan theo chu ky thi gian a la chon. Trong he
ieu hanh Windows NT, bo nh thi co the thc hien theo nhieu cach khac nhau.
Tuy nhien, e at o chnh xac cao nhat va am bao kha nang x ly canh tranh, ta
can s dung th vien multimedia timer. Thc chat, sau khi khi ong bo nh thi
nay tao mot mach tnh toan (thread) rieng e thc hien ma ham ng dung at
trong OnTimer().
// Mach vong ieu khien
class ControlLoop: public Timer
double PV; //process value
double SP; //setpoint
double CV; //control value
//giao dien DP
dpn_interface dp;
// bo ieu khien
PID pid;
Public:
ControlLoop (double Tsample): Timer (Tsample) { }
Void start() {
//
Timer::start();
}
void stop() { }
private:
void OnTimer();
};
Tuy theo bai toan ma so lng cac ma ch vong ieu khien co the t hoac nhieu.
Lp ControlLoop tren ch ieu khien mot bien. Trong trng hp nhieu mach
vong ieu khien co cung chu ky lay mau, ta co the m rong lp ControlLoop bang
cach s dung nhieu bo ieu khien. Cac bien rieng cua lp se la cac mang cha
bien qua trnh, cha gia tr at, gia tr ieu khien cung nh cha cac bo ieu
khien. ng nhien, ta cung se phai bo sung cac bien rieng nh a ch cac kenh
vao/ra. Cho moi ma ch vong ieu khien ta cung co the tao mot oi tng rieng
thuoc lp ControlLoop neu nh chu ky lay mau cua moi ma ch vong khac nhau.
Trong trng hp nay, moi oi tng se t tao mot thread rieng.
Ham khi ong start() khi tao giao dien DP va at che o lam viec, sau o
goi lai ham khi ong cua Timer. Vi mot bien ieu khien, viec thc hien ham
OnTimer() bao gom cac bc sau:
. oc bien au vao qua mang DP s dung ham dpn_in_slv(). Lu y cach bieu dien
gia tr va chuyen oi thang.
. Goi ham Step() cua bo ieu khien e thc hien mot bc tnh.
. a gia tr ieu khien xuong mot kenh ra tng t s dung ham dpn_out_slv().
oan ma chng trnh sau ay minh hoa nguyen tac thc hien cac bc tren. e
n gian, ay ta khong quan tam ti a ch cac kenh vao/ra. Ve chi tiet s dung
cac ham DP, ban oc can tham khao tai lieu Siemens AG: Softnet-DP Manual.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
408
Void ControlLoop:: OnTimer() {
//
dpn_in_slv(&dp);
PV
Double(dp.user_data[0]*256+dp.user_data[1]);
CV=pid.Step(PV)
//...
dp.user_data[0]=...
dp.user_date[1]=...
dpn_out_slv(&dp);
}
Sau khi a nh ngha cac lp can thiet, viec xay dng chng trnh ieu khien ng
dung tr nen tng oi n gian. Sau ay la v du ma chng trnh cho mot mach
vong ieu khien.
Void main()
{
//khai bao mot mach vong k
// vi chu ky lay mau 0.1 sec
ControlLoop cl(0.1);
//gan a ch cac au vao ra va at cac tham so k.
//...
//khi ong bo ieu khien
cl.start();
//ch ket thuc
char c=0;
while (c!=x) {
cout<<\n Enter x to exit:;
cin>> c;
}
}
Chng trnh cc xay dng nh tren ch mi thc hien bai toan ieu khien t
ong c s. e co the ap dung trong thc te ta can giao dien ngi may e giam
sat he thong va thay oi cac tham so ieu khien. Ben canh o, mot so van e
khac nh o tin cay, kha nang t khoi phuc trang thai lam viec sau khi khi ong
lai cung can c quan tam. Cac e tai nay se c gii thieu trong phan II.

Phan II
Trong phan I, ta a tm hieu ve cau hnh he thong cung nh cac cong cu,
thanh phan phan mem lien quan ti viec ieu khien dung PC va Profibus-DP.
Phng phap lap trnh cho mot bai toan ieu khien n gian s dung C++ va
giao dien lap trnh Softnet-DP cung a c gii thieu. Kha nang lap trnh rat
manh cua C++ cho phep de dang m rong chng trnh e ieu khien nhieu
bien, thc hien cac thuat toan ieu khien cao ca p va x ly mot cach hp ly cac
trng hp ngoai le. Tuy nhien, e giai phap thc s co y ngha trong thc te,
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
409
trc het ta can xay dng mot he thong ieu khien giam sat, trong o co giao
dien ngi-may (HMI). Hn na, oi vi cac ng dung oi hoi o tin cay cao,
mot phng an d phong hp ly can c ban luan.
- Giao dien ngi may HMI:
HMI : giao dien ngi may (Human Machine Interfacing)
Giao dien ngi may la he thong phan mem ho tr con ngi theo doi
qua trnh cac dien bien cua ky thuat, trang thai va cac thong so lam viec cua
cac thiet b trong he thong, qua o co the thc hien cac thao tac van hanh va
can thiep t xa ti he thong ieu khien pha di. Ngay nay, cac phan mem
giao dien ngi-may chu yeu c xay dng tren nen may tnh ca nhan, da
tren cac ky thuat o hoa hien ai. Giao dien ngi may la mot trong cac thanh
phan chnh cua mot he thong ieu khien giam sat.
Ve nguyen tac, co the de dang m rong chng trnh ieu khien them cac
thanh phan giao dien ngi may. Cong cu Visaul C++ cung cap cac ky thuat
giao dien o hoa nh ca so, hop thoai, nut bam, can gat, Tuy nhien, co nhieu
ly do ta khong nen gop phan mem ieu khien t ong vi phan mem giao dien
o hoa trong mot chng trnh:
.Kem linh hoat: Thay oi mot chut trong phan mem ieu khien hay phan giao
dien o hoa cung dan en phai bien dch lai toan bo chng trnh. Kha nang
m rong he thong cung v the gap nhieu kho khan. oi vi cac he thong oi
hoi nhieu ngi van hanh th ay la mot van e rat na giai.
.Kem hieu qua: Viec thc hien phan giao dien o hoa thng chiem rat nhieu
tai nguyen may tnh (thi gian CPU, bo nh,), anh hng xau ti phan mem
o hoa gay ra co the lam dng ca phan chng trnh ieu tnh nang thi gian
gian thc cua chng trnh ieu khien.
.Kem tin cay: loi do ieu khien.
.Kho to chc thc hien: Moi phan chng trnh ieu khien hoac giao dien o
hoa thong thng do mot (nhom) ngi khac viet, v vay gop chung trong mot
chng trnh dan en kho khan trong viec to chc thc hien phat trien phan
mem.
V ly do tren , ngay t au ta nen chon giai phap xay dng phan mem giao
dien ngi-may oc lap vi phan mem ieu khien. Co the to chc cai at phan
mem giao dien ngi-may tren cung mot may tnh hoac tren nhieu may tnh
rieng biet vi may tnh ieu khien. Hnh 10.7 minh hoa cho mot giai phap tieu
bieu vi nhieu may tnh ieu khien cung nh nhieu may tnh giam sat, c
noi mang vi nhau qua Ethernet-TCP/IP. Van e at ra ay la c che giao
tiep gia cac may tnh ieu khien va may tnh ieu khien giam sat, hay noi cac
khac la gia chng trnh oc lap tren cac may nay. Co the dung hai phng
phap sau ay e xay dng phan mem giao dien ngi may va lien ket vi
chng trnh ieu khien:
. Phng phap 1: xay dng chng trnh giao dien rieng bang mot ngon ng
lap trnh pho thong nh Visual Basic, Visual C++, va lien ket vi chng
trnh ieu khien thong qua c che COM.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
410
. Phng phap 2: s dung mot cong cu phan mem SCADA chuyen dung nh
WinCC (Siemens), FIX (Intellution), Lookout (National Instruments), Cac
phan mem nay c lien ket vi chng trnh ieu khien thong qua giao dien
OPC.
Phng phap th nhat co u iem la chi ph thap cho cong cu phan mem, thch
hp vi cac ng dung qui mo nho. oi vi cac ng dung qui mo ln, co yeu
cau cao ve chat lng man hnh giao dien va cac chc nang ieu khien giam
sat, ta nen au t cho cac cong cu phan mem chuyen dung theo phng phap
2.


VB VB
WinCC WinCC





Ethernet-TCP/IP





Profibus-DP Profibus-DP

Hnh 10.7 : Cau hnh he ieu khien va giam sat s dung PC.

HMI vi Visual Basic
Neu lc chon phng phap lap trnh pho thong th Visual Basic la mot ngon
ng rat thch hp bi s n gian va tien li trong viec xay dng cac man hnh
o hoa. Trong Visual Basic, ta co the s dung hang loat cac oi tng o hoa
chuan co san, hoac co the bo sung cac oi tng ac biet di dang ActiveX-
Control. ieu can quan tam ay la phng thc lien ket gia chng trnh
nay vi chng trnh ieu khien (viet bang C++). COM/DCOM [2] chnh la
phng phap thch hp cho viec thc hien lien ket nay.
COM (Component Object Model) la mo hnh oi tng thanh phan quan
trong trong cac cong nghe cua Microsoft, cho phep cac chng trnh khac nhau,
cac qua trnh tnh toan khac nhau giao tiep thong qua cac giao dien chuan.
Mot oi tng COM ong vai tro la server, cho phep cac client truy nhap cac
ac tnh va goi cac ham cua no t mot chng trnh khac, khong phu thuoc vao
ngon ng lap trnh. DCOM (Distributed Component Object Model) m rong
COM cho viec giao tiep gia cac oi tng phan tan, thuoc cac chng trnh
May tnh
PC
HMI
May tnh
PC
HMI
May tnh
PC
HMI
May tnh
PC
K
May tnh
PC
K
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
411
chay tren nhieu may tnh khac nhau. oi khi khai niem COM cung c s
dung chung ay cho ca hai trng hp.
Trong v du bai toan ieu khien phan hoi, t man hnh giao dien ta phai co
kha nang khi ong va dng bo ieu khien, giam sat bien qua trnh (process
value), gia tr at (setpoint), gia tr ieu khien (control value) cung nh cac
tham so cua bo PID. e thc hien lien ket theo mo hnh COM, ta can thay oi
cach thc hien chng trnh ieu khien. Bo ieu khien se khong phai la mot
oi tng thuoc mot lp C++ thong thng, ma phai la mot oi tng COM
(COM Object). Nh vay, ControlLoop phai c xay dng la mot lp COM
(COM Class). ControlLoop cha cac ac tnh co the truy nhap t ben ngoai nh
PV, CV, SP va cac tham so bo ieu khien cua luat PID cunh nh cac ham co
the goi c t xa nh Start(), Stop().
Co nhieu cach e thc hien mot oi tng hay mot lp COM trong C++.
Phng phap thch hp nhat hien nay la s dung phan mem khung ATL
(Active Template Library) co san trong cong cu Visual C++. Ve chi tiet cac
bc thc hien co the tham khao cac v du va hng dan trong [2].


HMI
(Visual Basic)


Giao dien COM










Chng trnh ieu khien C++



Hnh 10.8 : Giao tiep qua COM
Tren hnh 10.8 la s o minh hoa c che giao tiep gia chng trnh giao
dien ngi-may (viet bang Visual Basic) va chng trnh ieu khien (viet bang
C++. ay client la chng trnh Visual Basic con bo ieu khien ong vai tro
server. Mot lan na, co the thay ro u iem cua cong nghe COM la cho phep
cac chng trnh viet bang nhieu ngon ng khac nhau, chay tren cung mot
Control loop

PV: double, readonly
SP: double
CV: double
K:double

Start()
Stop()
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
412
may tnh hoac tren nhieu may tnh khac nhau co the ghep noi e tao thanh mot
ng dung phan tan.

- Thiet lap he thong thu thap d lieu va ieu khien giam sat SCADA.
HMI vi cac cong cu SCADA chuyen dung
oi vi cac ng dung co qui mo va va ln, co yeu cau cao hn ve so
lng cung nh chat lng cac chc nang theo doi va giam sat, ta nen s dung
cac cong cu phan mem chuyen dung nh WinCC, FIX, In touch, Ben canh
cac he thong giao dien ngi may, cac cong cu nay con cung cap cho ta hang
loat chc nang khac nh ieu khien cao cap. He thong bao ong, lu tr d
lieu va lap bao cao. Cac cong cu nay cung c goi la phan mem SCADA.
Tuy au t cho ban quyen s dung cong cu la mot van e, song gia thanh tong
the thng c can bang do au t t hn cho cong viec trien khai xay dng
ng dung.
Ve cong viec thiet ke cac man hnh o hoa giao dien vi cac cong cu
chuyen dung, ta khong can i sau vao chi tiet. Bat c cong cu nao cung eu ho
tr rat manh phng phap xay dng man hnh theo kieu nhung-tha vi cac
th vien oi tng o hoa, oi tng hoat hnh phong phu. Viec the hien mo
phong qua trnh ky thuat, ve cac o th thi gian thc, thiet ke cac phm ieu
khien thng de dang hn nhieu so vi s dung bat c mot cong cu lap trnh
pho thong nao. Van e can quan tam ay lai la phng phap lien ket vi
may tnh ieu khien, vi chng trnh ieu khien.
Moi cong cu SCADA chuyen dung thng co san cac phan mem ieu
khien vao/ra cho cac thiet b ieu khien thong dung va cac mang cong nghiep
chuan, phuc vu cho viec thu thap d lieu. Trong giai phap ieu khien dung
may tnh ca nhan ay, viec lien ket chng trnh khong n gian nh vay.
Cung khong giong vi giai phap Visual Basic, cac cong cu SCADA khong cho
phep lap trnh t do e goi mot ham hay truy nhap mot ac tnh cua mot oi
tng COM trong chng trnh ieu khien. Mac du a so cac cong cu co giao
dien lap trnh ng dung API cho phep nhung ma C/C++ e m rong chc nang,
kha nang nay rat b han che. Mot giai phap linh hoat va hieu qua hn nhieu la
s dung giao dien chuan OPC.
OPC (OLE for Process Control) la mot chuan giao dien c hiep hoi OPC
Foundation xay dng va phat trien tren c s mo hnh COM. Vi muccch
ban au la thay the cho cac dang phan mem ket noi nh I/O-Drivers va DDE,
OPC qui nh mot so giao dien chuan cho cac chc nang nh:
.Khai thac, truy nhap d lieu qua trnh (data access) t nhieu nguon khac nhau
(PLC, cac thiet b trng, bus trng, c s d lieu).
. X ly s kien va s co.
. Truy nhap d lieu qua kh.
Trong tng lai, OPC se ho tr cac chc nang khac nh an toan he thong,
va ieu khien me. OPC s dung c che COM/COM e cung cap cac dch vu
truyen thong cho tat ca cac ng dung ho tr COM. Co the ke ra hang loat cac ng
dung cua OPC nh :
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
413
. Cho phep cac ng dung khai thac, truy nhap d lieu theo mot cach n gian,
thong nhat.
. Ho tr truy nhap d lieu theo c tham do (polling) hoac theo s kien (event-
driven).
. c toi u cho viec s dung trong mang cong nghiep.
. Kien truc khong phu thuoc vao nha cung cap thiet b.
. Linh hoat va hieu suat cao.
. S dung c t hau het cac cong cu phan mem SCADA thong dung, hoac bang
mot ngon ng bac cao (C++, Visual Basic, Delphi,).
Cot loi cua OPC la mot chng trnh phan mem phuc vu goi la OPC server,
trong o cha cac muc d lieu (OPC-Item) c to chc thanh cac nhom (OPC-
group). Thong thng, mot OPC server ai dien mot thiet b thu thap d lieu nh
PLC, RTU, I/O hoac mot cau hnh mang truyen thong. Cac OPC-Item se ai dien
cho cac bien qua trnh, cac tham so ieu khien,vv
T y tng xay dng mot OPC server a nang lam cau noi gia cac chng
trnh ieu khien va cac phan mem SCADA, ta i ti mot kien truc nh c mo ta
tren hnh.








OPC server








Profibus-DP

Hnh 10.9: ieu khien giam sat vi cac phan mem SCADA chuyen dung va
OPC.
ay OPC-Server ch ong vai tro trung gian, ban than no khong co d lieu
rieng, ma ch quan ly cac d lieu do cac chng trnh ieu khien cung cap.
OPC-Server se giao tiep vi cac phan mem SCADA nh WinCC, RSView va
Fix thong qua cac giao dien chuan cua OPC. Trong khi o, cac chng trnh
ieu khien giao tiep vi OPC-Server thong qua giao dien COM c nh
ngha rieng. Vi phng thc nay, ca tnh hieu qua va kha nang ket noi a
RS View
WinCC
FIX
Bo ieu
khien PID
Bo ieu
khien
trang thai
Th vien
SoftnetDP
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
414
nang cua OPC_Server c am bao. Hn na, phan mem OPC-Server ch can
viet mot lan va co the s dung lai trong cac d an ieu khien dung PC khac.
Lu y rang, giai phap nay cung khac vi giai phap Visual Basic cho, viec
cap nhat d lieu ay c cac chng trnh ieu khien chu ong khi xng.
Nh vay, ta khong can thiet phai thc hien cac ca c oi tng trong chng
trnh ieu khien di dang COM-Server. V du, cac bo ieu khien ch can la
cac oi tng C++ thong thng, bi chung khong c goi t ben ngoai
chng trnh. Thc chat, chng trnh ieu khien gi ay se la mot COM
Client, co the goi cac ham cua OPC-Server e tao cac OPC-Items va cap nhat
gia tr cua chung theo chu ky hoac theo s kien.
Cau hnh d phong
Trong giai phap ieu khien dung may tnh ca nhan th mot van e rat
c quan tam la o tin cay cua may tnh. Mot phan ta co the yen tam bi cau
truc vao/ra phan tan, may tnh ieu khien c at trong phong ieu khien
trung tam vi ieu kien moi trng lam viec tot. Mat khac, tren th trng cung
a co rat nhieu loai may tnh ca nhan cong nghiep, am bao o tin cay cao
khong kem mot PLC. Mot khi may tnh ch c cai at he ieu hanh va phan
mem ieu khien th kha nang gay loi do phan mem cung se c giam thieu.
Tuy nhien, oi vi cac ng dung co yeu cau cao ve tnh san sang, o tin
cay cua he thong, ta can co mot giai phap d phong thch hp. Giai phap n
gian va tiet kiem nhat la d phong lanh, co ngha la trong trng hp co s
co tai may tnh ieu khien xay rat a ch can thay the mot may tnh vi cau
hnh va cac phan mem a c cai at giong heat may chnh. Song giai phap
tot hn la s dung mot cau hnh d phong nong.
Hnh 10.10 minh hoa mot cau hnh d phong nong n gian, trong o ta
ch d phong cho may tnh ieu khien. May tnh phu co cau hnh giong het
may chnh va c noi mang vi may chnh (v du Ethernet-TCP/IP). Trong
trng hp bnh thng, may phu khong thc hien nhiem vu ieu khien, ma
ch canh gii may chnh va cap nhat d lieu quan trong. Viec giao tiep nay
cung co the thc hien da tren COM. Khi phat hien may chnh co loi, may phu
se thay the thc hien tiep tuc bai toan ieu khien vi cac d lieu cap nhat.













PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
415






Ethernet-TCP/IP






Profibus-DP


Hnh 10.10: Cau hnh d phong may tnh ieu khien.
Tuy theo yeu cau cua ng dung, cau hnh d phong nong co the m rong e
ho tr kha nang d phong cho he thong mang Profibus-DP, mang Ethernet va
cac thiet b vao/ra. Ve iem nay ta co the tham khao cac cau hnh d phong
trong cac giai phap s dung PLC.
Co the thay, giai phap gii thieu tren ay rat co trien vong tuy khong phai la
phng an duy nhat va ch dng o. V du, tuy theo yeu cau cu the cua mot
d an ma thay v Profibus-DP ta co the s dung mot loai bus trng thch hp
khac nh CAN, Interbus-S hay tham ch ca Internet. Thay v Windows NT, ta
co the s dung cac he ieu hanh thi gian thc nh VxWorks, QNX, LynOS,
Mot e xuat khac na la co the dung cong nghe Web e xay dng he thong
giao dien ngi-may, thay cho Visual Basic, WinCC hoac cac cong cu phan
mem SCADA thong mai khac.
Tham khao: [1].TS. Hoang Minh Sn, PC-based Control: T xay dng giai
phap ieu khien dung may tnh ca nhan, Tap ch t ong hoa ngay nay, so
Thang 10(15)/2001, va so Thang 11(16)/2001.
[2].Microsoft Corp.: Microsoft developer Network Library (MSDN).
[3]. Hoang Minh Sn, Mang truyen thong cong nghiep, NXB KHKT, 2001.
10.3.Mang I
2
C
Mang I
2
C (Inter Integrated Circuit) la mang cap thap nhat co nhiem vu ket
noi cac vi mach trong he vi ieu khien vi nhau thong qua hai day chung.
Mang c phat trien bi cong ty Philips Semiconductors khoang thap nien
1980, chu yeu dung trong TV e ghep noi CPU vi ngoai vi.
Gii thieu mang cong nghiep cua hang Siemens.
May tnh
PC
HMI
May tnh
PC
K
May tnh
PC
K
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
416

Hnh 10.11
Hnh ve c trch dan t sach [1]. Nguyen c Thanh, o lng va ieu khien
bang may tnh, NXB HQG Tp. HCM, 2002.
Cau hoi va bai tap:
Chng 10: Mang truyen thong cong nghiep
1.Mo hnh ket noi he thong m OSI.
2.Mang cuc bo LAN Ethernet 802.3.
3.Trnh bay ac iem cua bus trng.
4.ieu khien dung PC.
5. Mang CAN
6.Devicenet
7.Mang ASI
8.Mang I
2
C.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
417

Tham khao :
[1]. Nguyen c Thanh, o lng va ieu khien bang may tnh, NXB HQG Tp.
HCM, 2002 va 2005(lan 2).
[2].Gustaf Olsson and Gianguido Piani, Computer systems for automation and
control, Prentice Hall ,1992.
3. Hoang Minh Sn, Mng truyn thong cong nghip, NXB KHKT, Ha noi ,2001.
4. Nguyen Thuc Hai, Mang May tnh va cac he thong m, NXB GD.





PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
418
TAI LIEU THAM KHAO
Sach hoc :
[1]. Nguyen c Thanh, o lng va ieu khien bang may tnh, NXB HQG
Tp. HCM, 2002 va 2005(lan 2).
[2].Gustaf Olsson and Gianguido Piani, Computer systems for automation and
control, Prentice Hall ,1992.
[3].K.J.Astrom,B.J Wittenmark, Computer-Controlled Systems - Theory and
Design, Prentice Hall, 3
rd
ed., 1997.
Sach tham khao:
[4].George C. Barney, Intelligent Instrumentation, Prentice Hall 1988.
[5].Willis J. Tompkins, John G. Webster, Interfacing sensors to the PC, Prentice
Hall 1990.
[6]. Hans B. Kief, T. Frederick Waters, Computer numerical control, Glencoe,
McGraw-Hill, International Editions 1992.
[7]. Ngo Dien Tap, o lng va ieu khien bang may tnh, NXB KHKT, Ha noi,
1999.
[8].Nguyen Manh Giang, Ky thuat ghep noi may vi tnh, NXB GD, tap 1: 1998,
tap 2: 2006.
[9]. Hoang Minh Sn, Mng truyn thong cong nghip, NXB KHKT, Ha noi
,2001.
[10].Ramakant Gayakwad, Leonard Sokoloff, Analog and Digital control systems,
Prentice Hall NJ, 1990.
[11]. Ngo Dien Tap, Ky thuat ghep noi may vi tnh.
[12]. Tai lieu vi ieu khien 8051 cua hang Intel, 89C51 cua Atmel, Philip,
Microchip (PIC16F 877A).
[13]. Tai lieu PLC cua hang Omron, Siemens.
[14]. Le Phi Yen, Nguyen Nh Anh, Lu Phu, Ky thuat ien t, NXB KHKT, Ha
noi, 1998.
[15]. Pham Minh Ha, Ky thuat mach ien t (tap 1, 2,3), NXB KHKT Ha noi,
1996.
[16]. Nguyen Bnh, ien t cong suat, NXB KHKT Ha noi, 1995.
[17]. o an mon hoc cua cac sinh vien ai hoc va cao ang.


PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
419
Phu luc A: May tnh cong nghiep
IPC (Industrial PC).
1.MAY TNH CONG NGHIEP ADVANTECH
IPC thiet ke chuyen dung cho cac ng dung cong nghiep.
Hoat ong trong moi trng khac nghiet (bui, am, rung soc, t,..).
Thiet ke am bao chay 24/7. Giam chi ph bao tr may.
Nguon cong suat thc, thi gian s dung tren 100.000 gi.
Tuoi i san pham dai: 3 en 5 nam, cam ket ho tr khach hang lau dai.
Chc nang Watchdog time, t khi ong lai khi treo may.
1/IPC-510MB
4U Rackmount
Intel Pentium IV 3.0 Ghz
2GB DDRII Bus 667 Mhz
80 GBHDD 3.5 inch SATA.
1 slot PCIe x16
1 slot PCIe x1
5 slots PCI
1 VGA, Max 8 USB 2.0, 2 Serial, 1 Parallel, 1 GbLAN
Watchdog timer: Programmable 1~255 sec/min.
2.May tnh cong nghiep cua hang WonderWare.
Wonderware Industrial Computer
Thin Client Computer:
Wonderware Thin Client Computer la giai phap thch hp cho cac may tram trong
cau truc Client/Server vi Intouch ong vai tro la Terminal server. Trong cau truc
nay Wonderware Thin Client ap ng rat totyeu cau cua mot he SCADA/HMI nh
ieu khien t xa, bao mat, giam thieu kha nang lam xao tron he thong t cac may
tram. Vi thiet ke nho gon, trong lng thap, ket cau chac chan, hoat ong on
nh, tin cay, phu hp vi moi trng cong nghiep, ay la san pham toi u danh
cho nhng ng dung ieu khien, giam sat, mo phong hoat ong cua may moc va
qua trnh san xuat.
Vi he ieu hanh Windows CE, o cng Solid State: 128 MB, CPU: VIA Eden 667
Mhz, Wonderware Thin Client em lai mot phng an va giam chi ph phan cng
va tang tnh an toan, bao mat va de dang m rong he thong.
Box PC Computer:
Hng en nhng he thong co khoang cach gia cac tra m Client ln,
Wonderware a ra san pham may tnh cong nghiep Box PC vi ket cau chong bui
ban, khong can quat lam mat, co kha nang lam viec trong moi trng khac nghiet.
Box PC s dung Processor Intels Pentium M 1.6 Mhz, RAM 1GB, a cai at san
cac phan mem cong nghiep Wonderware nh InTouch HMI, Active Factory tren
he ieu hanh Microsoft Windows Xp Professional nen ay la mot cau truc manh
me va tron goi cho cac may tram (Client).
Box PC cung co the hoat ong nh mot tram Workstation vi kha nang mo phong,
ieu khien giam sat may moc va qua trnh. Nh Active Factory, phan mem lap
bao cao, o th, bang bieu ma ngi van hanh co the giam sat chat che qua trnh
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
420
san xuat va de dang a ra cac quyet nh phu hp cho khu vc ma Client quan ly.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
421
Phu luc B: VISUAL BASIC 6.0 C BAN
1. Gii thieu :
Mi ng dng vit bng Visaul Basic 6.0 bao gm mt hay nhiu form. Mi
form thng cha nhiu nt nhp d liu cng vi m chng trnh iu khin
chng. M chng trnh c th lu tr i km vi form, c vit trong mt lp
hay l trong mt n th chun. Mt on m chng trnh s c thc hin
tng ng vi mt bin c no , chng hn nh khi ngi dng n mt nt lnh
hay di chuyn mouse.
Mt project bao gm mt tp hp cc tp tin cn thit bin dch ng dng
VB6 thnh m thc thi c th chy trn mi trng Windows. Ni dung ca mi
project c trnh by trong ca s project (H1.1) (nu ca s ny b du i th n
Ctrl+R hin n tr li. Th d sau y trnh by cc bc to mt ng dng
n gin, in ra dng ch Cho cc bn, by gi l cng vi ngy gi hin
hnh.

H1.1
Ca so project

Tools

H1.2
V du 1 : Tao, chay th mot ng dung n gian
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
422
1.Khi ong VB, i hop thoai New Project xuat hien, chon project thuoc loai
Standard EXE t hop thoai va an nut OK.
2. Project se c tao va co san mot form duy nhat co ten la Form1. T cua so
Tools chon nut co dang CommandButton, ve vao form mot nut (mac nhien la
Command1)
3. e at ma chng trnh ieu khien nut lenh Command1, nhap up chuot vao
nut lenh nay, mot c a so m ra e chen cac ch th vao mot thu tuc co ten la
Command1_Click(),
nhap dong lenh sau vao phan than cua thu tuc nay :
Code :
Private Sub Command1_Click()
MsgBox "Chao cac ban, bay gio la:" & Now
End Sub
Trong o MsgBox la ham co san cua VB dung e hien ra mot c so vi mot
thong bao nai o; ham Now tra ve ngay gi hien hanh; con phep toan & dung
e ghep hai chuoi ky t.
4. Chay th bang cach an phm chc nang F5 hay chon Start t thc n Run. Mot
c so ten Form1 hien ra, co cha mot nut lenh ten la Command1, an vao
nut lenh nay se thay mot hop thoai project1 hien ra do goi ham MsgBox.
5. An nut OK e ong hop thoai project1. Sau o cung ong c so Form1 e
ngng chay th chng trnh.


H1.3
Chay chng trnh : Run, Start (bam F5)

H1.4
2. Kieu d lieu va khai bao bien. Cc php ton trn d liu. i tng t
in
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
423
2.1. Kiu d liu v khai bo bin
khai bin ta dng t kha DIM, k n l tn bin v kiu d liu. Th d mt
bin nguyn tn l SoNV c khai bo l:
V d: DIM SoNV As Integer
khai bo ngn gn, ngi ta c th dng mt hu t cui tn bin thay cho kiu
d liu, i vi bin nguyn th hu t ny k hiu l %. Chng hn bin nguyn
SoNV c th khai bo ngn gn nh sau:
V du : DIM SoNV%
Variant: l kiu d liu mc nhin, c th lu tr bt k loi d liu no v c th
dng cho cc gi tr v ngy gi.
Integer: dng lu s nguyn trong phm vi t -32768 n +32767.
Long: dng lu s nguyn ln -2.147.483.648 n 2.147.483.647. K hiu khai
bo ngn gn cho kiu long l &.
Single: dng lu s thc vi ln (tr tuyt i) ti a l 3,402823x10
38
, v
chnh xc l 1,401298x10
-45
. K hiu khai bo ngn gn cho kiu single l !.
Date: lu tr gi tr ngy, gi.
Double: lu s thc ln hn v chnh xc hn ; ln ti a ca s thc kiu ny l
1,79769313486232x10
308
, chnh xc l 4,94065645841247x10
-324
. K hiu khai
bo ngn gn cho kiu double l #.
Currency: lu gi tr tin t trong phm vi t -922.337.203.685.477,5808 n
922337203685477,5807. K hiu khai bo ngn gn cho kiu Currency l @.
Boolean: dng lu gi tr c 2 trng thi on/off, trong on=1 (ng), off=0 (sai).
Byte: s nguyn khng du 8 bit trong phm vi t 0 n 255.
Fixed Length String: lu chui k t c di c nh, mc ch tng thch vi
cc c s d liu c.
Variable Length String: l chui k t di bin ng, c dng thng xuyn khi
cn thao tc chui k t. K hiu khai bo ngn gn cho kiu ny l $.
Object: lu tham chiu n mt i tng (chng hn nh : nhp liu, form, i
tng truy xut d liu).
User Defined Type: kiu d liu do ngi lp trnh nh ngha c dng to cc
mu tin hay cc cu trc d liu phc tp.

2.2.Cac phep toan tren d lieu
Visual Basic cung cp nhiu php ton cho tt c cc kiu d liu, sau y l nhng
php ton c s dng thng xuyn nht:

-Php cng (+): cng s (nguyn, thc , tin) hay ghp chui k t.
-Php tr ( -) v php nhn (*): tr hoc nhn cc s.
-Php chia (k hiu /) v php chia nguyn (k hiu \).
-Php ly phn d : Mod.
-Php nng ln mt s ly tha: ^.
-Php ghp chui k t: &.
-Php so snh : > (ln hn), < (nh hn), >= (ln hn hay bng), = (bng), <= (nh
hn hay bng).
-Cc php ton cho kiu lun l Boolean: AND (php v), OR (php hoc), NOT
(php ph nh).
3. Cc cau truc ieu khien cua Visual Basic
-Cu trc if/then/else: c 2 dng: mt dng lnh hay nhiu dng lnh. Dng mt dng
lnh c vit nh sau:
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
424
V du : if size>MAXSIZE then size=MAXSIZE
If a>b then maxval=a else maxval=b
Dang nhieu dong lenh
If a>b then
Maxval=a
Else
Maxval=b
End if

-Trng hp c nhiu nhnh r, nn dng cu trc chn Select case
Select case Luong
Case 1000 to 2000
HsThue=0.1
Case is>2000
Hsthue=0.3
Case Else
HsThue=0
End Select

-Cu trc lp For/next
S=0
For j=1 To 100
S=S+j
Next
Z=0
For j=1 To 100 step 2
Z=Z+j
Next
Co the dung Exit For e nhay khoi vong lap

-Cu trc lp Do/loop khng xc nh trc s ln lp, dng vng lp nh biu thc
iu kin, nhy khi vng lp nh lnh Exit Do. C php:
Do
[lenh]
Loop [{While|Until} ieu kien ]
Hay:
Do [{While|Until} ieu kien ]

[lenh]
Loop
4.Ham va thu tuc . nh ngha kiu d liu
4.1. Hm v th tc
Th tc
hiu v cch lp trnh vi th tc v hm, trong bc 3 ca th d 1 hy them vo
cc dng m VB nh sau trong m iu khin cho nt lnh Command1.
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
425
Xet v du 1 :
Code:
Private Sub MaxMin(ByVal a As Integer, ByVal b As Integer, ByRef minVal As
Integer, ByRef maxVal As Integer)
If a > b Then
minVal = b
maxVal = a
Else
minVal = a
maxVal = b
End If
End Sub
Private Sub MaxArray(ByRef nItem As Integer, ByRef Items() As Integer, ByRef
max As Integer)
Dim tempVal As Integer, J As Integer
tempVal = Items(0)
For J = 1 To nItem - 1
If tempVal < Items(J) Then tempVal = Items(J)
Next
max = tempVal
End Sub

Private Sub Command1_Click()
MsgBox "Chao cac ban, bay gio la:" & Now

Dim a As Integer, b As Integer
Dim m1 As Integer, m2 As Integer
a = InputBox("a=")
b = InputBox("b=")
MaxMin a, b, m1, m2 goi thu tuc
MsgBox "Ketqua: min=" & m1 & "max=" & m2
Dim n As Integer
n = 3
ReDim x(0 To n - 1) As Integer
For k = 0 To n - 1
x(k) = InputBox("x[" & k & "]=")
Next
'n = 3
Dim c As Integer

MaxArray n, x, c goi thu tuc
MsgBox "Ket qua, phan tu lon nhat=" & c
End Sub
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
426
Th tc MaxMin nhn vo 2 tham s a v b v tnh gi tr nh nht, ln nht ca
chng lu tng ng vo hai bin minVal v maxVal. Bi v minVal , maxVal l kt
qu nhn v sau khi gi th tc nn chng c truyn i s theo kiu tham chiu
nh t kha ByRef.
Th tc MaxArray tm s nguyn ln nht trong mng Items gm nItem phn t.
K n cn b sung cc dng gi th tc MaxMin v MaxArray vo th tc
Command1_Click iu khin nt lnh Command1 nh trn.
Trong hm InputBox l hm c sn ca VB cho php nhp d liu t mt hp
thoi n gin. Mng x l mng s nguyn c chiu di bin ng ph thuc vo gi
tr ca n (trng hp ny n=3). Ta c th chy th chng trnh nh bc 4 ca th
d 1 quan st kt qu.
Hm:
Th tc MaxArray c th vit li dng hm nh sau:
Code: Cch 2
Private Sub MaxMin(ByVal a As Integer, ByVal b As Integer, ByRef minVal As
Integer, ByRef maxVal As Integer)
If a > b Then
minVal = b
maxVal = a
Else
minVal = a
maxVal = b
End If
End Sub
Private Function MaxArray(ByRef nItem As Integer, ByRef Items() As Integer) As
Integer
Dim tempVal As Integer, J As Integer
tempVal = Items(0)
For J = 1 To nItem - 1
If tempVal < Items(J) Then tempVal = Items(J)
Next
MaxArray = tempVal
End Function

Private Sub Command1_Click()
MsgBox "Chao cac ban, bay gio la:" & Now

Dim a As Integer, b As Integer
Dim m1 As Integer, m2 As Integer
a = InputBox("a=")
b = InputBox("b=")
MaxMin a, b, m1, m2; goi thu tuc
MsgBox "Ketqua: min=" & m1 & "max=" & m2
Dim n As Integer
n = 3
ReDim x(0 To n - 1) As Integer
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
427
For k = 0 To n - 1
x(k) = InputBox("x[" & k & "]=")
Next
Dim c As Integer

c=MaxArray(n, x); goi ham
MsgBox "Ket qua, phan tu lon nhat=" & c
End Sub
Hm MaxArray tm s nguyn ln nht trong mng Items gm nItem phn t. Ch
lnh cui cng trc khi kt thc hm: kt qu tr v (kt qu tnh) bin tempVal
c tr v bng cch gn vo tn hm MaxArray. Trong th tc
Command1_Click ta dng li gi hm:
C=MaxArray(n,x).
Ch y: ng dng c th chy c bn ngoi mi trng VB khi c dch thnh m
thc thi. lm iu ny, ta chn chc nng Make project1.Exe (tn Project1 c
th thay i ty trng hp) t thc n (menu) File. Nu project chua c lu trn
a ln no th VB s nhc lu project v form, c th lu vo th mc mc nhin hay
chn th mc khc.
4.2.nh ngha kieu d lieu:
VB cho php nh ngha kiu d liu mi nh vo c php Type End Type. V d
nh ngha kiu d liu phn s (mi phn s gm c t s v mu s u l cc
s nguyn) v khai bo phn s gi tr 3/4:
C php: Type End type
V du :
Private Type Phanso
Tuso As Integer
Mauso As Integer
End Type
Dim p As Phanso
p.tuso=3
p.mauso=4
T kho Private cn thit khi on m chng trnh c vit km theo mt form.
5. Lp (Class) . Cac loai n the ma nguon
VB h tr ba loi n th (module) chng trnh:
-Form module (n the ma nguon i theo form). Mi form trong ng dng VB c 1
n th m ngun cha m chng trnh iu khin cc bin c lin quan n form
. xem m ngun: chn form, nhp p mouse (chut) hay chn View Code.
-Standard Module (n the chun): Mi n th chun cha cc cu trc d liu v
th tc c th ti s dng cho nhiu form cnh nh trong nhiu ng dng khc nhau.
Mi n th chun c lu trong tp tin vn bn c tn m rng.BAS. to n
th chun: chn chc nng Add Module t thc n Project. a tp tin m ngun
c sn vo project: chn Add file t thc n Project hay nhn Ctrl+D.
Tao ra : Project, Add Module
-Class Module (n th lp): h tr vic dng li m ngun nh vo cc lp i
tng. Mi lp c nhiu thuc tnh v phng thc theo c ch lp trnh hng i
tng. to n th lp: chn chc nng Add Class Module t thc n Project.
Tao ra : Project, Add Class Module
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
428
V du 2: To chc ma nguon trong n the chuan
Chng ta tm hiu vic t chc m ngun trong n th chun thong qua mt v d c
th. Cc th tc ca Form1 s s dng m ngun vit trong mt n th c tn l
CTDL_Phanso lu trong tp tin PhansoStruct.Bas. Thc hin cc bc nh sau:
1. M Project1 ( to trong th d 1), k n chn chc nng Add Module t thc
n Project. Chn New v nhp vo nt lnh Open. n th c to ra v c tn
mc nhin l Module1, i tn ny ta nhp vo tn Module1 (s thy c vt sng
xanh nh hnh v) v nhn phm F4 (hay chn Properties Windows t thc n
View). Ca s Properties-Mudule1 m ra, ta sa tn n th thnh CTDL_Phanso.


H1.5
Thay oi ten module

H1.6
2.Nhp vo ca s m ngun Project1-CTDL_Phanso (Code) a m ngun vo
n th. Vit on m ngun sau y (m t cu trc d liu phn s cng vi cc
hm nhp v tnh tng phn s) vo ca s m ngun. Kiu d liu v cc th tc
u l Public c th dng trong n th khc.
3. Nhn Ctrl+S ghi n th ny ln a, VB s hi tn tp tin n th, nhp vo tn
PhansoStruct (phn m rng .BAS s t them vo).
4. Chn form bng cch nhp p mouse vo tn Form1 trong ca s Project-
Project1, v them mt nt lnh vi tn mc nhin l Command2 vo Form1.
5. Nhp p vo Command2 them m iu khin cho nt ny trong n th m
ngun gn vi Form1. Thm m ngun vo th tc Command2_Click trong ca
s Project1-Form1 (Code).
6. n F5 chy chng trnh.
Code module:
Public Type Phanso
tuso As Integer
mauso As Integer
End Type
Public Sub PS_Input(p As Phanso)
p.tuso = InputBox("Tu so=")
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
429
Do
p.mauso = InputBox("Mau so=")
If p.mauso = 0 Then
MsgBox "Sai: Mau so =0"
End If
Loop Until p.mauso <> 0
End Sub
Public Sub PS_Add(p1 As Phanso, p2 As Phanso, p As Phanso)
p.tuso = p1.tuso * p2.mauso + p1.mauso * p2.tuso
p.mauso = p1.mauso * p2.mauso
End Sub
Them Command2 va code nh sau :
Private Sub Command2_Click()
Dim p1 As Phanso
Dim p2 As Phanso
Dim p As Phanso
PS_Input p1 hm ca n th CTDL_Phanso
PS_Input p2
PS_Add p1, p2, p
Dim sResult As String
sResult = p1.tuso & "/" & p1.mauso & "+" & p2.tuso & "/" & p2.mauso & "=" &
p.tuso & "/" & p.mauso
MsgBox sResult
End Sub

H1.7
V du 3 : n the lp
Tng t v du 2, sau khi to n th lp, sa tn mc nhin Class1 thnh PS_Class.
Thm on m vo ca s Project1-PhansoClass (Code) cho lp ny:
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
430

H1.8
Class:

H1.9
Code :
Public tuso As Integer
Public mauso As Integer
Public Sub Nhap()
tuso = InputBox("Tu so=")
Do
mauso = InputBox("Mau so=")
If mauso = 0 Then
MsgBox "Sai: Mau so =0"
End If
Loop Until mauso <> 0
End Sub
Public Sub Cong(p1 As PS_Class, p2 As PS_Class)
tuso = p1.tuso * p2.mauso + p1.mauso * p2.tuso
mauso = p1.mauso * p2.mauso
End Sub
Them mot nut Command 3, va code nh sau :
Private Sub Command3_Click()
Dim p1 As New PS_Class
Dim p2 As New PS_Class
Dim p As New PS_Class
p1.Nhap
p2.Nhap
p.Cong p1, p2
Dim sResult As String
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
431
sResult = p1.tuso & "/" & p1.mauso & "+" & p2.tuso & "/" & p2.mauso & "=" &
p.tuso & "/" & p.mauso
MsgBox sResult
End Sub

Cac ham thong dung cua VB
Hm v chui:
Left(string,n): tr v n k t k t pha tri ca chui.
Right(string,n): tr v n k t k t pha phi ca chui.
Mid(string, start [,n]): trch ra n k t k t start
Instr([start,]string1, string2 [, compare]): tm xem string1 c l chui con ca string2
(k t start). Nu compare l 0(hay khng c) th phn bit ch thng ch hoa; nu
l 1 th khng phn bit ch thng ch hoa.
Ucase(string): tr v bn sao ca chui string, i ht sang ch hoa.
Lcase(string): tr v bn sao ca chui string, i ht sang ch thng.
Ltrim(string): tr v bn sao ca chui string, ct b cc khong trng u chui.
Rtrim(string): tr v bn sao ca chui string, ct b cc khong trng cui chui.
Trim(string): tr v bn sao ca chui string, ct b cc khong trng u chui v
cui chui.
Len(string): tnh v tr v chiu di chui string.
ASC(string): tr v m ASCII ca k t u tin.
Replace(str1, str2, str3[,start[,count[,compare]]]): thay th chui con str2 trong str1
bi str3, khng qui nh count t thay th tt c nhng ch c xut hin str2 trong
str1.
StrReverse(string): tr v chui gm cc k t ca chui string nhng sp theo th t
ngc li.
Hm ton hc:
Abs(number): tr v tr tuyt i ca s number.
Atn(number): tr v arctang ca s number.
Cos(number): tr v cosine ca gc number..
Hex(number): tr v mt chui gm cc k hiu biu din ca s num trong h m
c s 16.
Log(number): tr v logarith c s e ca s number
Sin(number): tr v sin ca gc number
Sqr(number): tr v cn bc 2 ca s number.
Tan(number): tr v tang ca gc number.
Hm v ngy gi:
DateSerial(year,month,day): tr v ngy xc nh bi nm, thng, ngy.
Day(date), Month(date), Year(date), Weekday(date): cc hm ny tr v ngy , thng,
nm, ngy trong tun (tc th my) ca bin kiu Date).
Time: tr v gi h thng; Timer: tr v s giy k t gia m; Date: tr v ngy h
thng.; Now: tr v ngy v gi h thng.
Format(expression [, format])tr v gi tr ca expression sau khi p dng dng qui
nh bi format.

TAI LIEU THAM KHAO
[1]. Tran an Th, Visual Basic 6.0 c ban , NXB L-XH, 2004
[2].Le Minh Tr, T hoc ky nang lap trnh Visual Basic 6.0, NXB Thong ke, 2001
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
432
[3]. Bui Th Cao, Lap trnh Visual Basic 6.0, Trng ai hoc Cong nghiep Tp.
HCM, 2006.
[4]. Phan mem Visual Basic 6.0.

Phu luc C:
Chng trnh he thong thu thap d lieu nhieu kenh
1. Code chng trnh Visual Basic
Option Explicit
Private Declare Sub keybd_event Lib "user32" (ByVal bVk As Byte, ByVal _
bScan As Byte, ByVal dwFlags As Long, ByVal dwExtraInfo As Long)
Private Declare Function GetVersionExA Lib "kernel32" _
(lpVersionInformation As OSVERSIONINFO) As Integer
Private Type OSVERSIONINFO
dwOSVersionInfoSize As Long
dwMajorVersion As Long
dwMinorVersion As Long
dwBuildNumber As Long
dwPlatformId As Long
szCSDVersion As String * 128
End Type
Private Const KEYEVENTF_KEYUP = &H2
Private Const VK_SNAPSHOT = &H2C
Private Const VK_MENU = &H12
Dim blnAboveVer4 As Boolean

''''''''''''''''KHAI BAO BANG GHI**************
Dim db As Database
Dim rs As Recordset
Dim L, T As Double
Dim ti As Double
'KHAI BAO BAO CHAY
Dim Des, DES1, DES2, DES3 As String
Dim I, J, P As Integer
Dim note, CONNECT As Boolean
Dim DATA As String
Dim T1, T11, T22, T33, T2, T3, T4 As Double
Dim dat1, dat2, dat3, dat4, dat5, dat6 As Integer
'KHAI BAO CAC BIEN PID
Dim kp, kd, ki, A0, A1, A2 As Single
Dim e0, e1, e2, nddo, nddat As Single
Dim DUTY, DUTY1 As Integer
'check1 cho phep mo lo 1 hay ko
'check2 cho phep mo lo 2 hay ko
'check3 cho phep mo lo 3 hay ko
'check4 mo do thi lo 1
'check5 mo do thi lo 2
'check6 mo do thi lo 3
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
433
'TIMER1 CHAY CHU TIEU DE
'TIMER2 CHAY THOI GIAN
'TIMER3 LAY MAU ADC -TIMER4 DUNG GHI DU LIEU SAU 1S

'*********************bat dau chuong trinh**************************
Private Sub CHAY_Click()
With TChart1.Series(0)
.Clear
End With
With TChart1.Series(1)
.Clear
End With
With TChart1.Series(2)
.Clear
End With
With TChart1.Series(3)
.Clear
End With
With TChart1.Series(4)
.Clear
End With
With TChart1.Series(5)
.Clear
End With
If (Text1.Text = "" Or Not (IsNumeric(Text1.Text)) Or Val(Text1.Text) < 35 Or
Val(Text1.Text) > 200) And Check1.Value = 0 Then
MsgBox "NHAP GIA TRI LO 1 TU 50 DEN 200 DO", vbInformation,
"THONG BAO"
Text1.SetFocus
ElseIf (Text2.Text = "" Or Not (IsNumeric(Text2.Text)) Or Val(Text2.Text) < 32
Or Val(Text2.Text) > 200) And Check2.Value = 0 Then
MsgBox "NHAP GIA TRI LO 2 TU 50 DEN 127 DO", vbInformation,
"THONG BAO"
Text2.SetFocus
ElseIf (Text3.Text = "" Or Not (IsNumeric(Text3.Text)) Or Val(Text3.Text) < 32
Or Val(Text3.Text) > 200) And Check3.Value = 0 Then
MsgBox "NHAP GIA TRI LO 3 TU 50 DEN 127 DO", vbInformation,
"THONG BAO"
Text3.SetFocus
Else

MSComm1.CommPort = Combo1.ListIndex + 1 'CONG COM1
MSComm1.Settings = Combo2.Text 'TOC DO BAUD 9600
MSComm1.RThreshold = 4
MSComm1.InputLen = 4
MSComm1.DTREnable = False
MSComm1.PortOpen = True

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
434
DATA = MSComm1.Input 'kiem tra ket noi cong com
If DATA = "" Then
KNOI.Caption = "KIEM TRA KET NOI CONG COM "
End If
nddat = Val(Text3.Text)
DUTY = 0
DUTY1 = 0
e0 = 0
e1 = 0
e2 = 0
Form2.Hide 'an 3 form - de dang chay
Form3.Hide
Form4.Hide
Check1.Enabled = False
Check2.Enabled = False
Check3.Enabled = False
Text1.Enabled = False
Text2.Enabled = False
Text3.Enabled = False
Timer3.Enabled = True 'CHO DOC ADC
Timer5.Enabled = True
End If
End Sub

Private Sub Check1_Click()
If Check1.Value = 1 Then
Check1.Caption = "Tat Lo"
Text1.Enabled = False
Text1.Text = 0
Text4.Text = 0
Else
Text1.Enabled = True
Check1.Caption = "Bat Lo"
End If
End Sub

Private Sub Check2_Click()
If Check2.Value = 1 Then
Check2.Caption = "Tat Lo"
Text2.Enabled = False
Text2.Text = 0
Text5.Text = 0
Else
Text2.Enabled = True
Check2.Caption = "Bat Lo"
End If
End Sub

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
435
Private Sub Check3_Click()
If Check3.Value = 1 Then 'khong hoat dong
Check3.Caption = "Tat Lo"
Text3.Enabled = False
Text3.Text = 0
Text6.Text = 0
Check7.Enabled = False
Check8.Enabled = False
TXTKP.Enabled = False
TXTKI.Enabled = False
TXTKD.Enabled = False
MACDINH.Enabled = False
Else
Check3.Caption = "Bat Lo"
Text3.Enabled = True
Check7.Enabled = True
Check8.Enabled = True
TXTKP.Enabled = True
TXTKI.Enabled = True
TXTKD.Enabled = True
MACDINH.Enabled = True
End If
End Sub
Private Sub Check4_Click() 'HIEN DO THI
If Check4.Value = 1 Then
Form2.Show
Else
Form2.Hide
End If
End Sub

Private Sub Check5_Click()
If Check5.Value = 1 Then
Form3.Show
Else
Form3.Hide
End If
End Sub

Private Sub Check6_Click()
If Check6.Value = 1 Then
Form4.Show
Else
Form4.Hide
End If
End Sub

Private Sub Check7_Click()
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
436
If Check7.Value = 1 Then 'nut chon dieu khien on/off lo 3
Check8.Value = 0
Check8.Enabled = False
TXTKP.Enabled = False
TXTKI.Enabled = False
TXTKD.Enabled = False
MACDINH.Enabled = False
Else
Check8.Value = 0
Check8.Enabled = True
TXTKP.Enabled = True
TXTKI.Enabled = True
TXTKD.Enabled = True
MACDINH.Enabled = True
End If
End Sub

Private Sub Check8_Click()
If Check8.Value = 1 Then 'nut chon dieu khien pid lo 3
Check7.Value = 0
Check7.Enabled = False
TXTKP.Enabled = True
TXTKI.Enabled = True
TXTKD.Enabled = True
MACDINH.Enabled = True
Else
Check7.Value = 0
Check7.Enabled = True
End If
End Sub
Private Sub DUNG_Click()
Timer5.Enabled = False
Timer4.Enabled = False 'CAP NHAT VAO BANG TIN
Check1.Enabled = True
Check2.Enabled = True
Check3.Enabled = True
Check8.Enabled = True
Check7.Enabled = True
TXTKP.Enabled = True
TXTKI.Enabled = True
TXTKD.Enabled = True
MACDINH.Enabled = True
ti = 0
If MSComm1.PortOpen = True Then
If CHAY.Enabled = False Then
'MSComm1.Output = Chr$(0) 'TAT TAT CA QUAT VA LO
MSComm1.Output = "T"
Timer3.Enabled = False 'NGUNG DOC ADC
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
437
MSComm1.PortOpen = False 'DONG CONG GIAO TIEP
Check1.Enabled = True
Check2.Enabled = True
Check3.Enabled = True
Text1.Enabled = True
Text2.Enabled = True
Text3.Enabled = True
CHAY.Enabled = True
Label4.Caption = "OFF"
Label6.Caption = "OFF"
Label8.Caption = "OFF"
Label10.Caption = "OFF"
Label12.Caption = "OFF"
Label14.Caption = "OFF"
KNOI.Caption = " DNG KET NOI"
Shape1.FillColor = vbBlack
Shape2.FillColor = vbBlack
Shape3.FillColor = vbBlack
Shape4.FillColor = vbBlack
Shape5.FillColor = vbBlack
Shape6.FillColor = vbBlack
End If

End If
End Sub

Private Sub Form_Load()
With TChart1.Series(0)
.Clear
End With
With TChart1.Series(1)
.Clear
End With
With TChart1.Series(2)
.Clear
End With
With TChart1.Series(3)
.Clear
End With
With TChart1.Series(4)
.Clear
End With
With TChart1.Series(5)
.Clear
End With
Form1.Height = 18000
Form1.Width = 18000
Check7.Value = 1
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
438
DUNG.Enabled = False
MO_FILE.Enabled = False
XOA.Enabled = False
Check1.Value = 0
Check2.Value = 0
Check3.Value = 0
Check4.Value = 0 'THE HIEN DO THI LO 1
Check5.Value = 0 'THE HIEN DOTHI LO 2
Check6.Value = 0 'THE HIEN DO THI LO3
Check1.Caption = "Bat Lo"
Check2.Caption = "Bat Lo"
Check3.Caption = "Bat Lo"
Timer4.Enabled = False
Call setFlex 'goi chuong trinh luu data
Call fillGrid
T11 = 0
T1 = 0
T2 = 0
T3 = 0
T4 = 0
ti = 0
Timer5.Enabled = False
Timer3.Enabled = False
KNOI.Caption = " KIEM TRA KET NOI"
note = True
DES2 = " TRNG AI HOC CONG NGHIEP TPHCM- KHOA
CONG NGHE IEN T"
Des = " HE THONG THU THAP D LIEU NHIEU KENH "
DES1 = "SVTH: VO HOANG MINH GVHD: THS HUYNH MINH NGOC
"
DES3 = "DO AN TOT NGHIEP-TRUONG DAI HOC CONG NGHIEP
TP.HCM-KHOA CONG NGHE DIEN TU"
Combo1.ListIndex = 0
Combo2.ListIndex = 0
Label4.ForeColor = vbRed
Label6.ForeColor = vbRed
Label8.ForeColor = vbRed
Label10.ForeColor = vbRed
Label12.ForeColor = vbRed
Label14.ForeColor = vbRed
Label4.Caption = "OFF" 'lo1
Label6.Caption = "OFF" 'lo2
Label8.Caption = "OFF" 'lo3
Label10.Caption = "OFF" 'quat1
Label12.Caption = "OFF" 'quat2
Label14.Caption = "OFF" 'quat3
kp = 10 'Nap gia tri PID vao 3 bien
ki = 4
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
439
kd = 0.00001
TXTKP.Text = kp 'hien thi len
TXTKI.Text = ki
TXTKD.Text = kd
End Sub

Private Sub Form_Unload(Cancel As Integer)
If MSComm1.PortOpen = True Then
MSComm1.PortOpen = False
End If
End Sub

Private Sub MACDINH_Click()
TXTKP.Text = kp 'nap gia tri mac dinh
TXTKI.Text = ki
TXTKD.Text = kd
End Sub

Private Sub MClose_Click()
Dim TH As Integer
If MSComm1.PortOpen = True Then
MSComm1.Output = "T" 'TAT TAT CA QUAT VA LO
Timer3.Enabled = False
MSComm1.PortOpen = False
End If
TH = MsgBox("BAN CO MUON THOAT KHOI CHUONG TRINH KHONG ?",
vbInformation + vbOKCancel, "THONG BAO!!!!")
If TH = vbOK Then
End
End If
End Sub

Private Sub MEXit_Click()
If MSComm1.PortOpen = True Then
MSComm1.PortOpen = False
End If
Timer3.Enabled = False
End
End Sub

Private Sub MHelp_Topic_Click()
Form5.Show
End Sub

Private Sub MInfo_Click()
MsgBox "MULTI CHANNEL DATA ACQUISITION SYSTEM" & vbCrLf _
& "Copyright " & Chr$(169) & " 2009 DESIGNER BY : VO HOANG MINH", ,
_
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
440
"INFORMATION"
End Sub

Private Sub MO_FILE_Click()
Timer4.Enabled = False
Call Shell("C:\Program Files\Microsoft Office\OFFICE11\MSACCESS.EXE
""D:\do an\DO AN TOT NGHIEP\daq.mdb")
End Sub

Private Sub MOpen_Click()
Call Shell("C:\Program Files\Microsoft Office\OFFICE11\MSACCESS.EXE
""D:\do an\DO AN TOT NGHIEP\daq.mdb")
End Sub

Private Sub MPrint_Click()
If blnAboveVer4 Then
keybd_event VK_SNAPSHOT, 0, 0, 0
Else
keybd_event VK_SNAPSHOT, 1, 0, 0
End If
Picture1.Picture = Clipboard.GetData(vbCFBitmap)
DoEvents
If Picture1.Picture <> 0 Then
SavePicture Picture1.Picture, "D:\Hinh.JPG"
End If
End Sub

Private Sub MSComm1_OnComm()
If MSComm1.CommEvent = comEvReceive Then
CHAY.Enabled = False
Timer4.Enabled = True 'DUNG DE GHI TAP TIN
DUNG.Enabled = True
MO_FILE.Enabled = True
XOA.Enabled = True
DATA = MSComm1.Input
KNOI.Caption = " ANG KET NOI"
T1 = Asc(Mid$(DATA, 1, 1))
T2 = Asc(Mid$(DATA, 2, 1))
T3 = Asc(Mid$(DATA, 3, 1))
T4 = Asc(Mid$(DATA, 4, 1))
MT.Caption = T4 / 2 'nhiet do moi truong
If Check1.Value = 0 Then
T11 = T1 - 24
Text4.Text = T11 'NHIET DO THUC TE lo 1
End If
If Check2.Value = 0 Then
Text5.Text = T2 / 2 'NHIET DO THUC TE lo 2
T22 = T2 / 2
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
441
End If
If Check3.Value = 0 Then
Text6.Text = T3 / 2 'NHIET DO THUC TE lo 3
T33 = T3 / 2
End If
If Check7.Value = 1 Then
Call KIEMTRA1 'KIEM TRA ND DO VA ND DAT
End If
If Check8.Value = 1 Then
Shape3.FillColor = vbYellow
PID Val(TXTKP.Text), Val(TXTKI.Text), Val(TXTKD.Text) 'Tnh
DUTY
MSComm1.Output = Chr$(DUTY) 'GOI DUTY cho VDK LO 3
End If
Call VEDOTHI1
Call KIEMTRA
End If
End Sub

Function VEDOTHI1()
'***************VE DO THI LO 1***************
If Check1.Value = 0 Then
'I = I + 1
With TChart1.Series(0)
.AddXY ti * 10, Val(Text1.Text), "", vbRed 'NHIET DO DAT LO1
End With
With TChart1.Series(3)
.AddXY ti * 10, (T11), "", vbBlue 'NHIET DO THUC TE
End With
End If
'*************VE DO THI LO 2***********"***********
If Check2.Value = 0 Then
With TChart1.Series(1)
.AddXY ti * 10, Val(Text2.Text), "", vbBlack 'NHIET DO DAT LO 1
End With
With TChart1.Series(4) 'NHIET DO THUC TE LO2
.AddXY ti * 10, Val(T2 / 2), "", vbRed
End With
End If

'**********VE DO THI LO 3**************
If Check3.Value = 0 Then
With TChart1.Series(2)
.AddXY ti * 10, Val(Text3.Text), "", vbGreen 'NHIET DO DAT LO3
End With
With TChart1.Series(5)
.AddXY ti * 10, (T3 / 2), "", vbBlack 'NHIET DO DO LO3
End With
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
442
End If
End Function
Function KIEMTRA()
'CAC GIA TRI T LA NHIET DO THUC TE
dat1 = Val(Text1.Text) + 1 'sai so delta cua lo 1 la 1 do
dat2 = Val(Text1.Text) - 1
dat3 = Val(Text2.Text) + 1 'sai so delta cua lo 2 la 1 do
dat4 = Val(Text2.Text) - 1
If T11 < dat2 Then
Shape1.FillColor = vbYellow 'QUAT 1
Shape4.FillColor = vbBlack 'LO 1
Label10.ForeColor = vbRed
Label4.ForeColor = vbRed
Label4.Caption = "ON"
Label10.Caption = "OFF"
' MO LO TAT QUAT 1
MSComm1.Output = "A"
End If
If Check1.Value = 0 Then
If T11 > dat1 Then
Shape1.FillColor = vbBlack
Shape4.FillColor = vbYellow
Label10.ForeColor = vbRed
Label4.ForeColor = vbRed
Label10.Caption = "ON"
Label4.Caption = "OFF" 'DONG LO MO QUAT 1
' TAT LO MO QUAT 1
MSComm1.Output = "B"
End If
End If
If Check2.Value = 0 Then
If T22 < dat4 Then
Shape2.FillColor = vbYellow
Shape5.FillColor = vbBlack 'MO LO 2 DONG QUAT 2
Label6.ForeColor = vbRed
Label12.ForeColor = vbRed
Label6.Caption = "ON"
Label12.Caption = "OFF"
' MO LO TAT QUAT 2
MSComm1.Output = "C"
End If
End If
If Check2.Value = 0 Then
If T22 > dat3 Then
Shape2.FillColor = vbBlack
Shape5.FillColor = vbYellow
Label6.ForeColor = vbRed
Label12.ForeColor = vbRed 'DONG LO MO QUAT 2
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
443
Label6.Caption = "OFF"
Label12.Caption = "ON"
MSComm1.Output = "D"
End If
End If
End Function
Function KIEMTRA1()
dat5 = Val(Text3.Text) + 1 'sai so delta cua lo 3 la 1 do
dat6 = Val(Text3.Text) - 1
If T33 < dat6 Then 'MUC DUOI
Shape3.FillColor = vbYellow
Shape6.FillColor = vbBlack
Label8.ForeColor = vbRed
Label14.ForeColor = vbRed
Label8.Caption = "ON"
Label14.Caption = "OFF"
' MO LO TAT QUAT 3
MSComm1.Output = "E"
End If
If T33 > dat5 Then 'MUC TREN
Shape3.FillColor = vbBlack
Shape6.FillColor = vbYellow
Label8.ForeColor = vbRed
Label14.ForeColor = vbRed
Label8.Caption = "OFF"
Label14.Caption = "ON"
' MO QUAT TAT LO 3
MSComm1.Output = "F"
End If
End Function

Private Sub Text1_Change()
ti = 0
VScroll1.Value = Val(Text1.Text)
With TChart1.Series(0) 'XOA MAN HINH TCHART KHI THAY DOI GIA TRI
DAT
.Clear
End With
With TChart1.Series(3)
.Clear
End With
End Sub

Private Sub Text2_Change()
ti = 0
VScroll2.Value = Val(Text2.Text)
With TChart1.Series(1) 'XOA MAN HINH TCHART
.Clear
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
444
End With
With TChart1.Series(4)
.Clear
End With
End Sub

Private Sub Text3_Change()
ti = 0
VScroll3.Value = Val(Text3.Text)
With TChart1.Series(2) 'XOA MAN HINH TCHART
.Clear
End With
With TChart1.Series(5)
.Clear
End With
End Sub

Private Sub THOAT_Click()
Dim TH As Integer
If MSComm1.PortOpen = True Then
MSComm1.Output = "T" 'Chr$(0) 'TAT TAT CA QUAT VA LO
Timer5.Enabled = False
Timer3.Enabled = False
MSComm1.PortOpen = False
End If
TH = MsgBox("BAN CO MUON THOAT KHOI CHUONG TRINH KHONG ?",
vbInformation + vbOKCancel, "THONG BAO!!!!")
If TH = vbOK Then
End
End If
End Sub

Private Sub Timer1_Timer()
If note = True Then
Form1.Caption = Right(DES3, J)
note = IIf(J > Len(DES3), False, True)
TEN.Caption = Right(Des, J)
note = IIf(J > Len(Des), False, True)
TEN1.Caption = Right(DES1, J)
note = IIf(J > Len(Des), False, True)
TIEUDE.Caption = Right(DES2, J)
note = IIf(J > Len(DES2), False, True)
J = J + 1
Else
Form1.Caption = Left(DES3, J)
note = IIf(J > 0, False, True)
TEN.Caption = Left(Des, J)
note = IIf(J > 0, False, True)
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
445
TEN1.Caption = Left(DES1, J)
note = IIf(J > 0, False, True)
TIEUDE.Caption = Left(DES2, J)
note = IIf(J > 0, False, True)
J = IIf(J > 0, J - 1, 0)
End If
End Sub

Private Sub Timer2_Timer()
GIO.Caption = Now
End Sub
Private Sub Timer3_Timer()
MSComm1.Output = "M" 'Chr$(7) 'CHO PHEP DOC ADC
End Sub
'THU THAP VAO BANG GHI DATA
Public Sub setFlex()
'Dim T As Single
Set db = OpenDatabase(App.Path & "\daq.mdb")
Set rs = db.OpenRecordset("log", dbOpenDynaset)
fg.TextMatrix(0, 0) = "Sr No"
fg.TextMatrix(0, 1) = "Time And Date"
fg.TextMatrix(0, 2) = "Temp1"
fg.TextMatrix(0, 3) = "Temp2"
fg.TextMatrix(0, 4) = "Temp3"
fg.TextMatrix(0, 5) = "Temp4"
fg.ColWidth(0) = 600
fg.ColWidth(1) = 2000
fg.ColWidth(2) = 1000
fg.ColWidth(3) = 1000
fg.ColWidth(4) = 1000
fg.ColWidth(5) = 1000
For L = 0 To 5
fg.Row = 0
fg.Col = L
fg.CellFontBold = True
fg.ColAlignment(L) = 3
Next L
End Sub
Sub fillGrid()
Do While Not rs.EOF
T = T + 1
fg.TextMatrix(T, 0) = rs.Fields("Sr No")
fg.TextMatrix(T, 1) = rs.Fields("TimeAndDate")
fg.TextMatrix(T, 2) = rs.Fields("Temp1")
fg.TextMatrix(T, 3) = rs.Fields("Temp2")
fg.TextMatrix(T, 4) = rs.Fields("Temp3")
fg.TextMatrix(T, 5) = rs.Fields("Temp4")
TAP.Caption = "Total Records: " + CStr(fg.Rows - 1)
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
446
If Not rs.EOF Then rs.MoveNext
If fg.TextMatrix(fg.Rows - 1, 2) <> "" Then
fg.Rows = fg.Rows + 1
End If
Loop
End Sub
'GHI DULIEU VAO ASCESS
Private Sub Timer4_Timer()
fg.TextMatrix(fg.Rows - 1, 0) = CStr(fg.Rows - 1)
fg.TextMatrix(fg.Rows - 1, 1) = CStr(Now)
fg.TextMatrix(fg.Rows - 1, 2) = Text4.Text
fg.TextMatrix(fg.Rows - 1, 3) = Text5.Text
fg.TextMatrix(fg.Rows - 1, 4) = Text6.Text
fg.TextMatrix(fg.Rows - 1, 5) = MT.Caption
TAP.Caption = "Total Records: " + CStr(fg.Rows - 1)
rs.AddNew
rs.Fields("Sr No").Value = CStr(fg.Rows - 1)
rs.Fields("TimeAndDate").Value = Now
rs.Fields("Temp1").Value = Val(fg.TextMatrix(fg.Rows - 1, 2))
rs.Fields("Temp2").Value = Val(fg.TextMatrix(fg.Rows - 1, 3))
rs.Fields("Temp3").Value = Val(fg.TextMatrix(fg.Rows - 1, 4))
rs.Fields("Temp4").Value = Val(fg.TextMatrix(fg.Rows - 1, 5))
rs.Update
fg.Rows = fg.Rows + 1
End Sub
'XOA TAP TIN
Sub delRec()
db.Execute ("Delete * from log")
fg.Clear
fg.Rows = 2
Call setFlex
TAP.Caption = "Total Records: 0"
End Sub

Private Sub Timer5_Timer()
ti = ti + 1
Timer5.Enabled = False
Timer5.Enabled = True
End Sub

Private Sub VScroll1_Change()
Text1.Text = CStr(VScroll1.Value)
End Sub

Private Sub VScroll2_Change()
Text2.Text = CStr(VScroll2.Value)
End Sub

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
447
Private Sub VScroll3_Change()
Text3.Text = CStr(VScroll3.Value)
End Sub

Private Sub XOA_Click()
Dim Msg, Style, Title, Response, MyString
Msg = "CO MUON XOA TAP TIN KHONG ?..??"
Style = vbYesNo + vbCritical + vbDefaultButton2
Title = "THONG BAO!!!!!!"
Response = MsgBox(Msg, Style, Title)
If Response = vbYes Then
Call delRec
End If
End Sub
'**************THUAT TOAN PID*****************
Sub PID(kp1 As Single, ki1 As Single, kd1 As Single)
Dim TT As Single
TT = 1
nddat = Val(Text3.Text)
e2 = nddat - T33 'Tnh sai so e(k) lo 3
A0 = kp1 + ki1 * TT + kd1 / TT
A1 = -kp1 - ((2 * kd1) / TT)
A2 = kd1 / TT
DUTY = Round(DUTY1 + A0 * e2 + A1 * e1 + A2 * e0, 0)

If DUTY >= 255 Then
DUTY = 255
ElseIf DUTY <= 0 Then
DUTY = 0
End If
DUTY1 = DUTY 'NaP DUTY(k) cho DUTY(k-1) PID sau
e0 = e1 'Nap e(k-1) cho e(k-2)
e1 = e2 'Nap e(k) cho e(k-1)
End Sub

***********Code hien thi do thi lo 1 tren form 2*********
Option Explicit
Dim I1, S1 As Double
Dim P0 As Boolean
Dim tg As Double
Private Sub THOAT_Click()
Form2.Hide
End Sub

Private Sub Form_Load()
Form2.Height = 7560
Form2.Width = 10395
Timer2.Enabled = False
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
448
tg = 0
End Sub

Private Sub Timer1_Timer()
S1 = Form1.Check1.Value
P0 = Form1.CHAY.Enabled
If S1 = 0 And P0 = False Then
Timer2.Enabled = True
N1 = Form1.Text1.Text
N2 = Form1.Text4.Text
With TChart1.Series(0)
.AddXY tg * 10, Val(N1), "", vbRed 'NHIET DO DAT LO1
End With
With TChart1.Series(1)
.AddXY tg * 10, Val(N2), "", vbBlue 'NHIET DO DO LO1
End With
Else
End If
End Sub

Private Sub Timer2_Timer()
tg = tg + 1
Timer2.Enabled = False
Timer2.Enabled = True
End Sub
******** Code hien thi do thi lo 2 tren form 3**********
Option Explicit
Dim M1, M2 As String
Dim I2, S2 As Double
Dim P1 As Boolean
Dim tg1 As Double

Private Sub Form_Load()
Form3.Height = 7560
Form3.Width = 10395
Timer2.Enabled = False
tg1 = 0
End Sub

Private Sub THOAT_Click()
Form3.Hide
End Sub

Private Sub Timer1_Timer()
S2 = Form1.Check2.Value
P1 = Form1.CHAY.Enabled
If S2 = 0 And P1 = False Then
M1 = Form1.Text2.Text
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
449
M2 = Form1.Text5.Text
Timer2.Enabled = True
With TChart1.Series(0)
.AddXY tg1 * 10, Val(M1), "", vbRed 'NHIET DO DAT LO 2
End With
With TChart1.Series(1)
.AddXY tg1 * 10, Val(M2), "", vbBlue 'NHIET DO DO LO 2
End With
Else
End If
End Sub

Private Sub Timer2_Timer()
tg1 = tg1 + 1
Timer2.Enabled = False
Timer2.Enabled = True
End Sub
***********Code hien thi do thi lo 3 tren form 4*********
Option Explicit
Dim K1, K2 As String
Dim I3, S3 As Integer
Dim P2 As Boolean
Dim tg2 As Double

Private Sub Form_Load()
Form4.Height = 7560
Form4.Width = 10395
Timer2.Enabled = False
tg2 = 0
End Sub
Private Sub THOAT_Click()
Form4.Hide
End Sub
Private Sub Timer1_Timer()
S3 = Form1.Check3.Value
P2 = Form1.CHAY.Enabled
If S3 = 0 And P2 = False Then
Timer2.Enabled = True
K1 = Form1.Text3.Text
K2 = Form1.Text6.Text
With TChart1.Series(0)
.AddXY tg2 * 10, Val(K1), "", vbRed 'NHIET DO DAT LO3
End With
With TChart1.Series(1)
.AddXY tg2 * 10, Val(K2), "", vbBlue 'NHIET DO DO LO3
End With
Else
End If
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
450
End Sub
Private Sub Timer2_Timer()
tg2 = tg2 + 1
Timer2.Enabled = False
Timer2.Enabled = True
End Sub
2 Code chng trnh hp ng 8051
$MOD51
;CHUONG TRINH HOA CHINH
;****************************CHU THICH****************
; LO1 DIEU KHIEN ON/OFF
; LO2 DIEU KHIEN ON/OFF
; LO3 DIEU KHIEN ON/OFF VA PID BANG PWM
KHAI BAOCACBIEN*******************************************
QUAT1 BIT P1.0 ; DIEU KHIEN QUAT CHO QUAT
QUAT2 BIT P1.1
QUAT3 BIT P1.2
;**************************&&&&&&&&&&&&&&***************
**************
SEL1 BIT P3.7 ;DIEU KHIEN CHO LO DONG MO
SEL2 BIT P1.3
SEL3 BIT P1.4
;*******************&&&&&&&&&&&&&&&&&&****************
****************
DK1 BIT P1.5 ;CAC BIEN LUON O TRANG THAI 0 (CHE
DO ON/OFF)
DK2 BIT P1.6
DK3 BIT P1.7 ;DIEU KHIEN ON/OFF VA PID
;**************^^^^^^^^^^^^^^^^^^^***********************
EOC BIT P3.4 ;DIEU KHIEN ADC
OE BIT P3.5
START BIT P3.6 ;ALE CHUNG
DA0 BIT P3.2 ;CHAN QUET KENH CHON NGO VAO ADC
DA1 BIT P3.3
;*******************CAC BIEN DU LIEU********************
ADC1 EQU 30H
ADC2 EQU 31H
ADC3 EQU 32H
ADC4 EQU 33H
TAM EQU 20H
;*******************^^^^^^^^^^^^^^^^*********************
CHUYEN_ADC BIT 00H ;CHO PHEP CHUYEN DOI ADC
;****************&&&&&&&&&&&&&&&************************
**
ORG 0000h
LJMP MAIN
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
451
ORG 0023H
LJMP NGATNOITIEP
ORG 0030H
MAIN:

CLR QUAT1 ;TAT 3 QUAT
CLR QUAT2
CLR QUAT3
SETB SEL1 ;TAT 3 LO
SETB SEL2
SETB SEL3
CLR DK1
CLR DK2
CLR DK3
MOV P0,#00H ; DAC LA 5V-TRANG THAI DONG 3
LO-DAC 0
MOV R7,#0
CLR DA0
CLR DA1
CLR START
CLR OE
SETB EOC
MOV P2,#0FFH ;NHAP DATA ADC VAO
MOV TMOD,#20H
MOV TH1,#0FDH
MOV SCON,#50H
SETB TR1
SETB EA
SETB ES ;BIT DK CONG-CHO PHEP NGAT
SETB PS ;UU TIEN NGAT NOI TIEP
;****** ***CHUONG TRINH CHINH***********************
MAIN1:
JB CHUYEN_ADC,MAIN1
SETB CHUYEN_ADC
LCALL LAYMAU ;LAY MAU DU LIEU O 4
KENH

CLR ES
MOV SBUF,ADC1 ;PHAT DU LIEU LEN MAY TINH
JNB TI,$
CLR TI

MOV SBUF,ADC2
JNB TI,$
CLR TI

PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
452
MOV SBUF,ADC3
JNB TI,$
CLR TI

MOV SBUF,ADC4
JNB TI,$
CLR TI

SETB ES ;CHO PHEP NGAT TRO LAI
LJMP MAIN1
;****** * **CHON KENH VA THU DATA TU
ADC***********************
LAYMAU:
CLR DA0 ;NGO VAO IN0-KENH 1
CLR DA1
LCALL BIENDOI
MOV ADC1,TAM

CLR DA1
SETB DA0 ;NGO VAO IN1 -KENH 2
LCALL BIENDOI
MOV ADC2,TAM

CLR DA0 ;NGO VAO IN2-KENH 3
SETB DA1
LCALL BIENDOI
MOV ADC3,TAM

SETB DA0 ;NGO VAO IN3-KENH 4
SETB DA1
LCALL BIENDOI
MOV ADC4,TAM

CLR DA0
CLR DA1
RET
;************************^^^^^^^BIEN DOADC^^^^^^^^^^^^********
BIENDOI:
SETB START
NOP
CLR START ;BAO BAT DAU BIEN DOI
JB EOC,$ ;NEU OEC = 1 THI CHO
JNB EOC,$ ;TIEP TUC CHO DEN KHI EOC = 1
SETB OE
MOV TAM,P2 ;DOC GIA TRI BIEN DOI
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
453
CLR OE ;KHONG CHO PHEP XUAT DU
LIEU
RET
;****** **CHUONG TRINH NHAN DATA
PC^^^^^^^^^^^^^^^^^^^**********
NGATNOITIEP:
CLR RI ;XOA CO NGAT CHUAN BI CHO LAN
THU KE
MOV A,SBUF ;DOC DU LIEU TU CONG NOI TIEP
VAO A
MOV R7,A
MOV P0,#0FFH ;NGO RA ANALOG LA 5V
;**********DIEU KHIEN LO 1 ON/OFF
************
CJNE A,#'M',KE ;CHO PHEP CHUYEN DOI ADC
CLR CHUYEN_ADC
LJMP EXIT
KE:
CJNE A,#'A',KE1
CLR DK1 ;DIEU KHIEN LO 1-MUC 0
CLR QUAT1
CLR SEL1 ;MO LO 1,TAT QUAT 1 -DK ON/OFF
LJMP EXIT
KE1:
CJNE A,#'B',KE2
CLR DK1 ;MUC 0
SETB QUAT1 ;MO QUAT 1
SETB SEL1 ;TAT LO 1 -DK ON/OFF LO1
SJMP EXIT
;********DIEU KHIEN LO 2 ON/OFF*********
KE2:
CJNE A,#'C',KE3 ;MUC 0
CLR DK2
CLR QUAT2 ;TAT QUAT 2
CLR SEL2 ;MO LO2 -DK ON/OFF
LJMP EXIT
KE3:
CJNE A,#'D',KE4
CLR DK2
SETB QUAT2 ;MO QUAT 2
SETB SEL2 ;TAT LO 2
LJMP EXIT
;**********DIEU KHIEN LO 3***** PP ON
/OFF*********
KE4:
CJNE A,#'E',KE5
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
454
CLR DK3
CLR QUAT3 ;TAT QUAT 3
CLR SEL3 ;MO LO 3
SJMP EXIT
KE5:
CJNE A,#'F',KE6
CLR DK3
SETB QUAT3 ;MO QUAT 3
SETB SEL3 ;TAT LO 3
SJMP EXIT
;*****GUI MA TAT HOAT DONG CAC THIET BI*********
KE6:
CJNE A,#'T',KE7
CLR QUAT1
CLR QUAT2
CLR QUAT3
SETB SEL1
SETB SEL2
SETB SEL3
CLR DK1
CLR DK2
CLR DK3
MOV P0,#00H
LJMP EXIT
KE7:
PUSH 00H
PUSH ACC
CJNE R7,#00H,CCC
SETB DK3
SJMP KT
CCC: CJNE R7,#255,DDD
CLR DK3
SJMP KT
DDD: MOV A,R7
MOV R0,A
CLR DK3
AAA: LCALL DELAY_XUNG
DJNZ R0,AAA
SETB DK3
MOV A,#255
CLR C
SUBB A,R7
MOV R0,A
BBB: LCALL DELAY_XUNG
DJNZ R0,BBB
KT:
PDF created with pdfFactory Pro trial version www.pdffactory.com
http://www.ebook.edu.vn
o lng va ieu khien bang may tnh Huynh Minh Ngoc
455
POP ACC
POP 00H
EXIT:
RETI
DELAY_XUNG:
PUSH 00H
MOV R0,#20
DJNZ R0,$
POP 00H
RET
END

Tham khao: n tt nghip, V Hong Minh, H thng thu thp d liu nhiu
knh, HT1B, thng 7-2009.

PDF created with pdfFactory Pro trial version www.pdffactory.com

You might also like