Biomems Easy Soln

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 44

BIOMEMS SOLUTIONS

www.iambiomed.com

BIOMEMS SOLUTIONS
SEMISTER VIII BIOMEDICAL ENGINEERING

Syllabus Solutions

A.E N.D
Copyrights reserved

*For complete understanding of the subject one must refer to the prescribed reference books.
www.iambiomed.com Page 1

BIOMEMS SOLUTIONS

BIOMEDICAL MICROSYSTEMS

www.iambiomed.com

Page 2

BIOMEMS SOLUTIONS
1. list the materials used for MEMS & give any five properties of silicon(dec11) Materials used for MEMS are: Many Microsystems use microelectronics such as silicon, and gallium arsenide(GaAs) for the sensing or actuating elements. Materials used for MEMS & microsystems not used in microelectronics -quartz & Pyrex -polymers & plastics -ceramics -silicon: there are 3 principles silicon compounds used in MEMS and microsystems: silicon dioxide (SiO2), silicon carbide (SiC) & silicon nitride(Si3N4). -polycrystalline silicon -gallium arsenide. Properties of silicon: It is abundant in nature and also it is easier to fabricate. Silicon is more stable in thermal condition and has much more driving capability. Less temp. Dependent. Leakage current is less. It has got better electrical characteristics. High threshold voltage. Silicon is cheaper & better insulator. 2. what is PCR ? write all steps involved in PCR (dec 11) The polymerase chain reaction(PCR) is a scientific technique in molecular biology to amplify a single or few copies of a piece of DNA across several orders of magnitude generating thousands to millions of copies of a particular DNA sequence. PCR is now a common & often indispensable technique used in medical 7 biological research labs for variety of applications. These include DNA cloning for sequencing , DNA based phylogeny, or functional analysis of genes, the diagnosis of hereditary diseases, the identification of genetic fingerprints and the detection & diagnosis of infectious diseases. The method thermal cycling, consisting of cycles of repeated heating & cooling of the reaction for DNA melting and enzymatic replication of the DNA. Primers containing sequences complementary to the target region along with the DNA polymerase are key components to enable selective & repeated amplification. As PCR progresses, the DNA generated is itself used a s a template for replication setting in motion a chain reaction in which the DNA template is exponentially amplified. PCR can be extensively modified to perform a wide array of genetic manipulations.

www.iambiomed.com

Page 3

BIOMEMS SOLUTIONS
Almost all PCR applications employ a heat stable DNA polymerase, such as Taq polymerase, an enzyme originally isolated from the bacterium Thermus aquaticus.

This DNA polymerase enzymatically assembles a new DNA strand from the DNA building blocks, the nucleotides by using single stranded DNA as a template & DNA oligonucleotides which are required for initiation of DNA synthesis. The vast majority of PCR methods use thermal cycling i.e. alternatively heating and cooling the PCR sample to a defined series of temperature steps. These thermal cycling steps are necessary first to physically separate the two strands in a DNA double helix at a high temperature in a process called DNA melting. it is the replicated enzymatically at lower temp. this process turns into a chain reaction which cause the reproduction of DNA

3. Draw and explain block diagram of biosensor BIOSENSOR: Biosensor is an analytical device which converts a biological response into an electrical signal.

www.iambiomed.com

Page 4

BIOMEMS SOLUTIONS
it detects, records & transmits information regarding a physiological change or process. it determines the presence and concentration of a specific substance in any test solution. BIORECEPTORS:it is atypically complex chemical system usually extracted or derived directly from a biological organism. it must be capable of detecting the presence of a target compound in the test solution. to interact specifically with target compound.

Transducer:- to convert biological response into an electrical signal. types are electrochemical, optical , piezoelectric. 4. Define surface micromachining .explain in detail all steps involved.(dec 11 ) Micromachinery& MEMS both created for scientific purpose through a process called surface micromachining . This process creates thin and incredibly tiny micromechanical objects & devices on an even thinner layer of silicon substrate or substrate made of silicon substrate made of other material. Most widely used technique much lesser magnitude than bulk micromachining. Enables the integration of microelectronic and micromechanical components . It's easy to integrate the sensor and the signal processing ICs together on the surface. The fabrication process starts with a silicon crystal substrate. Silicon crystal substrate is made. Then deposit the silicon nitride (si3n4) on a substrate layer which act as insulating layer. After that deposit the sacrificial layer i.e. Sio2 (silicon dioxide). Then pattern the sacrificial layer &grow structural layer (PolySi) layer over it, then pattern the structural layer & finally release sacrificial layer by etching.

www.iambiomed.com

Page 5

BIOMEMS SOLUTIONS

Advantages - It has less thickness & mass - It has built in support - use of Polysilicon gives freedom of shape in x-y plane & ease of integration of several sensors in one die. - It has an advantage of assembling tiny mechanical structures. Disadvantages -multiple deposition & etching required to build up structures. - vertical dimensions are limited to the thickness of the deposited layers leading to complaint suspended structures with the tendency to stick to the support. 5. Explain fabrication process of pressure sensor using bulk micromachining with diagram(dec11) Bulk micromachining is the process used to produce microelectromechnaical system. usually silicon wafers are used as substrate for bulk micromachining. Piezoresistive membrane can be used for pressure sensor or accelerometer. -Deposit photoresist -expose the photoresist using the UV light with mask -develop the photoresist implant boron with Si wafer through openings in photoresist boron acts as an etch stop during anisotropic etching. anneal to bind boron with silicon & then oxidize. pattern SiO2 to open contacts (using mask & UV light). deposit Al & pattern Al.
www.iambiomed.com Page 6

BIOMEMS SOLUTIONS
pattern SiO2 on backside & anisotropic etching of Si.

6. list the drug delivery systems with advantages. explain any one in detail(dec 11) Drug delivery is the method or process of administering a pharmaceutical compound to achieve a therapeutic effect in humans or animals. most common routes of administration are -preferred non invasive-peroral (through the mouth). -topical (skin) -transmucosal(nasal, buccal/sublingual, vaginal, ocular & rectal) -inhalation routes. oral drug delivery: -most common but also the most variable. -Drugs are absorbed in stomach but most are absorbed in small intestines. -Ingestion drug enter into the blood. transdermal drug delivery:-controlled absorption -more uniform plasma levels -improved bioavailability -reduced side effects, painless & simple application.

www.iambiomed.com

Page 7

BIOMEMS SOLUTIONS
-flexibility of terminating drug administrating by simply removing the patch from skin. transdermal drug delivery system:-the tropical drug delivery system is generally used where the other system of drug administration fail. -it is mainly used in pain management, contraception and urinary incontinence. -it is the non invasive delivery of medications from the surface of skin, through its layers to the circulatory system. -transdermal patches are polymeric formulations which when applied to skin, through its layers to the circulatory system. -transdermal patches are polymeric formulations which when applied to skin deliver the drug at a predominated rate across dermis to achieve systemic effects. -skin is the most intensive & readily accessible organ of the body as only a fraction of mm of tissue separate its surface from the underlaying capillary network. the various steps involved in transport of drug from patch to systemic circulation are as follows: -diffusion of drug from drug reservoir to the race controlling membrane. -diffusion of drug from rate limiting membrane to stratum corneum. -sorption by stratum corneum& penetration through viable epidermis. -uptake of drug y capillary network in the dermal capillary layer. 7. what is softlithography? explain microcontact printing in detail(dec 11) Softlithography is another new tool in the nanofabrication. It is the collective name for a set of new techniques: replica molding, micro contact printing, micro molding in capillaries, micro transfer molding. All these methods use a patterned elastomer as a stamp mold or mask to generate micro patterns and microstructures instead of a rigid photomask. In soft lithography, a master mold is first made by lithographic techniques and an elastomeric stamp is cast from this master mold. A simple example procedure for making a polydimethylsiloxane (PDMS) stamp from a photo-lithographically patterned resist layer as master mold is outlined. A thin layer of su-8 photoresist is coated on Si wafer. The resist is patterned by UV lithography. The contact mask for UV lithography may be transparency. A 10:1 ratio of a PDMS mix, PDMS oligomer and cross linking agent is cast on the photoresist film and cured for 1 hr at 60 oc in an oven. PDMS has properties that make it suitable as a stamp material are: a. It provides a surface that has a low interfacial free energy. b. Chemically inert c. Non hygroscopic
www.iambiomed.com Page 8

BIOMEMS SOLUTIONS
d. e. f. g. Passes gas easily Good thermal stability Optically transparent down to -300nm Isotropic& homogenous

Micro contract printing:In micro contact printing (cp) the PDMS rubber stamp is coated with an ink of the molecules that one wants to print in selected patterns on a solid substrate. During stamping, only the raised parts of the stamp collect the ink. The inking of the substrate consists of self assembled monolayer formation on the solid surface by covalent chemical reactions. The inked areas are self passivating& exhibit very low interfacial tension that repels additional molecular layers so that SAMS from only in areas of conformal contact between polymer and substrate. The SAMS patterns acts as a highly localized & efficient barrier to some wet etches. This lithographic technique once the master is made it is not subjected to diffraction or DOF limitations. The deformability of the elastomeric stamp allows it to accommodate rough surfaces.
Page 9

www.iambiomed.com

BIOMEMS SOLUTIONS
This technique has been used for example t build an antibody grating on a Si wafer by inking the rubber stamp with an antibody solution.

8. What is the difference between IC packaging and MEMS packaging. explain MEMS packaging in detail (dec 11) MEMS fabrication involves more variety of materials. besides the conventional materials used in IC fabrication, MEMS fabrication also use other materials. MEMS can also be made from quartz, ceramics & polyimide etc. Feature size of MEMS fabrication is normally larger than IC fabrication. MEMS fabrication cares about the mechanical properties much more than IC fabrication. MEMS fabrication shares many of unit processes from IC fabrication. The no. of layers/masks in MEMS fabrication is usually less than IC fabrication. Some MEMS devices need to be processed on both front & back side of the wafer. The package stress can cause deflection & stress MEMS structure & thus change the device behaviour while IC is less affected by mechanical stress. MEMS packaging: Many types of MEMS products are not packaged in hermetic enclosures because they require access to the outside world as with inkjet chips & fluidic MEMS products. But inertial sensors are somewhat unique & only need electrical I/O's. At this junction however inertial devices are being hermetically enclosed with cavity designs so that mechanical action is allowed. therefore the first MEMS package requirements is free space typically achieved with cavity style packaging. Some devices also require internal atmosphere control since moisture & particle contamination can be damaging. Getters (trap moisture & particle) lubricants or anti-stiction agents may also be added t the package to prevent wear, gradation or stiction. stiction, a combination of sticking and friction occurs when smooth planar surfaces make contact & become permanently locked together by short range atom forces. More complex MEMS devices such as gas and fluid analyzer require selective access to the environment. Materials used for packaging :plastics, ceramics, thermoplastic. The other materials used are silicon, acrylic, polyurethane, epoxy, fluorocarbon, parylene, polyimide, glass, etc. 9. Give techniques used in nanolithography. Explain any one in detail(dec 11)

www.iambiomed.com

Page 10

BIOMEMS SOLUTIONS
Nanolithography is the art & science of etching , writing o printing at the microscopic level, where the dimensions of characters are on the order of nanometers'. it is a branch of nanotechnology which is used for further fabrication of manometer materials& molecules. It is used during the integration of edge semiconductors, integrated nanocircuitry or nanoeletromechanical systems. nanolithography techniques: 1. electron beam direct write lithography 2. extreme ultraviolet lithography 3. charged particle lithography 4. neutral particle lithography 5.atomic force microscopic nanolithography 6. scanning probe lithography 7. magnetolithography

Electron beam lithography(EBL): It refers to a lithographic process that uses a focused beam of electrons to form the ckt patterns needed for material deposition on the wafer. Electron lithography offers higher patterning resolution than optical lithography because of shorter wavelength possessed by 10-15KeV electrons that it uses. construction:A typical EBL system consists of following parts:1. An electron gun or electron source that supplies the electrons 2.An electron column that shapes & focuses the electron beam 3.A mechanical stage that positions the wafer under electron beam. 4.A wafer handling system that automatically feed the wafers to the system& unloads them after processing. 5.A computer system that controls the equipment. Fig. shows block diagram of a typical electron beam lithography tool. The column is responsible for forming& controlling the electron beam. Underneath the column is chamber containing a stage for moving the sample around & facilities for loading & unloading it. Associated with the chamber is a vacuum system needed to maintain an appropriate vacuum level throughput. The machine & also during the load & unload cycles. A set of control electronics supplies power & signals to the various parts of the machine. Finally the system is controlled by a computer. E-beam resists are E-beam sensitive materials that are used to cover the wafer according to defined pattern.
Page 11

www.iambiomed.com

BIOMEMS SOLUTIONS
Positive resists undergo bond breaking when exposed to electron bombardment while negative resists form bonds or cross-links between polymer chains under the situation.

As a result, areas of the positive resists form positive images because its electron exposed areas will result in exposed areas on the wafer after they have dissolved in the developer.

10) what is wet and dry etching WET ETCHING This is the simplest etching technology. All it requires is a container with a liquid solution that will dissolve the material in question. Unfortunately, there are complications since usually a mask is desired to selectively etch the material. One must find a mask that will not dissolve or at least etches much slower than the material to be patterned. Secondly, some single crystal materials, such as silicon, exhibit anisotropic etching in certain chemicals. Anisotropic etching in contrast to isotropic etching means different etch rates in different directions in the material. The classic example of this is the <111> crystal plane sidewalls that appear when etching a hole in a <100> silicon wafer in a chemical such as potassium hydroxide (KOH). The result is a pyramid shaped hole instead of a hole with rounded sidewalls with a isotropic etchant. The principle of anisotropic and isotropic wet etching is illustrated in the figure below. When to use wet etching This is a simple technology, which will give good results if you can find the combination of etchant and mask material to suit your application.
www.iambiomed.com Page 12

BIOMEMS SOLUTIONS
Wet etching works very well for etching thin films on substrates, and can also be used to etch the substrate itself. The problem with substrate etching is that isotropic processes will cause undercutting of the mask layer by the same distance as the etch depth. Anisotropic processes allow the etching to stop on certain crystal planes in the substrate, but still results in a loss of space, since these planes cannot be vertical to the surface when etching holes or cavities. If this is a limitation for you, you should consider dry etching of the substrate instead. However, keep in mind that the cost per wafer will be 1-2 orders of magnitude higher to perform the dry etching If you are making very small features in thin films (comparable to the film thickness), you may also encounter problems with isotropic wet etching, since the undercutting will be at least equal to the film thickness. With dry etching it is possible etch almost straight down without undercutting, which provides much higher resolution. The problem with substrate etching is that isotropic processes will cause undercutting of the mask layer by the same distance as that depth. Anisotropic processes allow the etching to stop on certain crystal planes in the substrate, but still results in a loss of space, since these planes cannot be vertical etched With dry etching it is possible etch almost straight down without undercutting, which provides much higher resolution.

Difference between anisotropic and isotropic wet etching. DRY ETCHING The dry etching technology can split in three separate classes called reactive ion etching (RIE), sputter etching, and vapor phase etching. In RIE, the substrate is placed inside a reactor in which several gases are introduced. A plasma is struck in the gas mixture using an RF power source, breaking the gas molecules into ions. The ions are accelerated towards, and reacts at, the surface of the material being etched, forming another gaseous material. This is known as the chemical part of reactive ion etching. There is also a physical part which is similar in nature to the sputtering deposition process. If the ions have high
www.iambiomed.com Page 13

BIOMEMS SOLUTIONS
enough energy, they can knock atoms out of the material to be etched without a chemical reaction. It is a very complex task to develop dry etch processes that balance chemical and physical etching, since there are many parameters to adjust. By changing the balance it is possible to influence the anisotropy of the etching, since the chemical part is isotropic and the physical part highly anisotropic the combination can form sidewalls that have shapes from rounded to vertical. A special subclass of RIE which continues to grow rapidly in popularity is deep RIE (DRIE). In this process, etch depths of hundreds of microns can be achieved with almost vertical sidewalls. The primary technology is based on the so-called "Bosch process", named after the German company Robert Bosch which filed the original patent, where two different gas compositions are alternated in the reactor. The first gas composition creates a polymer on the surface of the substrate, and the second gas composition etches the substrate. The polymer is immediately sputtered away by the physical part of the etching, but only on the horizontal surfaces and not the sidewalls. Since the polymer only dissolves very slowly in the chemical part of the etching, it builds up on the sidewalls and protects them from etching. As a result, etching aspect ratios of 50 to 1 can be achieved. The process can easily be used to etch completely through a silicon substrate, and etch rates are 3-4 times higher than wet etching. Sputter etching is essentially RIE without reactive ions. The systems used are very similar in principle to sputtering deposition systems. The big difference is that substrate is now subjected to the ion bombardment instead of the material target used in sputter deposition. Vapor phase etching is another dry etching method, which can be done with simpler equipment than what RIE requires. In this process the wafer to be etched is placed inside a chamber, in which one or more gases are introduced. The material to be etched is dissolved at the surface in a chemical reaction with the gas molecules. The two most common vapor phase etching technologies are silicon dioxide etching using hydrogen fluoride (HF) and silicon etching using xenon diflouride (XeF2), both of which are isotropic in nature. Usually, care must be taken in the design of a vapor phase process to not have biproducts form in the chemical reaction that condense on the surface and interfere with the etching process. 11) WHAT ARE MICROPUMP Micro pump is often referred to as accurate and up to-date definition restricts this term to pumps with functional dimensions in the micrometer range. Such pumps are of special interest in microfluidic research, and have become available for industrial product integration in recent years. Their miniaturized overall size, potential cost and improved dosing accuracy compared to existing miniature pumps fuel the growing interest for this innovative kind of pump. Micro pumps can be grouped into mechanical and non-mechanical devices:
www.iambiomed.com Page 14

BIOMEMS SOLUTIONS
Mechanical systems contain moving parts, which are usually actuation and valve membranes or flaps. The driving force can be generated by utilizing piezoelectric, electrostatic, thermopneumatic, pneumatic or magnetic effects. Non-mechanical pumps function with electro-hydrodynamic, electro-osmotic, electrochemical or ultrasonic flow generation, just to name of few of the actuation mechanism that are currently studied. Any kind of microfluidic handling or analysis system (T lab on Chip System) requires some kind of micro pump system. In addition, macro-fluidic systems which rely on miniature pumps might be reduced in size or enhanced in their functionality by integrating a micro pump. All commercially available micro pumps depend on piezoelectric actuation and incorporate passive check valves. Micro pumps made of polymers appear to yield potentially low prices while silicon micro pumps prove to be the smallest pump device.

12) what is LIGA LIGA is a German acronym for Lithographie, Galvanoformung, Abformung (Lithography, Electroplating, and Molding) that describes a fabrication technology used to create high-aspect-ratio microstructures. There are two main LIGA-fabrication technologies, X-Ray LIGA. which uses X-rays produced by a synchrotron to create high-aspect ratio structures, and UV LIGA . A more accessible method which uses ultraviolet light to create structures with relatively low aspect ratios. The notable characteristics of X-ray LIGA-fabricated structures include: 1. high aspect ratios of the order of 100:1 2. parallel side walls with a flank angle 3. smooth side walls, suitable for optical mirrors 4. structural heights from tens of microns lo several millimeters. 5. structural details on the order of micrometers over distances of centimeters X-Ray LIGA X-Ray LIGA is a fabrication process in micro technology and it was one of the first major techniques to allow on-demand manufacturing of high-aspect-ratio structures (structures that are much taller than wide) with lateral precision below one micrometer. In the process, an X-ray sensitive polymer photoresist, typically PMMA, bonded to an electrically conductive substrate, is exposed to parallel beams of high-energy X-rays from a synchrotron radiation source through a mask partly covered with a strong Xray absorbing material.
www.iambiomed.com Page 15

BIOMEMS SOLUTIONS
Chemical removal of exposed (or unexposed) photoresist results in a threedimensional structure, which can be filled by the electrodeposition of metal. The resist is chemically stripped away to produce a metallic mold insert. The mold insert can be used to produce parts in polymers or ceramics through injection molding. The LIGA technique's unique value is the precision obtained by the use of deep X-ray lithography (DXRL). The technique enables microstructures with high aspect ratios and high precision to be fabricated in a variety of materials (metals, plastics, and ceramics) UV LIGA UV LIGA utilizes an inexpensive ultraviolet light source, like a mercury lamp, to expose a polymer photoresist typically SU-8. because heating and transmittance are not an issue in optical masks, a simple chromium mask can be substituted for the technically sophisticated X-ray mask. These reductions in complexity make UV LIGA much cheaper and more accessible than its X-ray counterpart. However, UV LIGA is not as effective at producing precision molds and is thus used when cost must be kept low and very high aspect ratios are not required. Process details The LIGA-fabrication process is composed of: exposure (a) development (b) electroforming (c) stripping (d) replication (e).

13) WHAT ARE MICROACTUATORS A microactuator is a microscopic servomechanism that supplies and transmits a measured amount of energy for the operation of another mechanism or system. As a general actuator, following standards have to be met: Large travel High precision Fast switching Low power consumption Power free force sustainability For microactuator, the two things are most important: Microstructurability Integrability
www.iambiomed.com Page 16

BIOMEMS SOLUTIONS
The basic principle can be described as the expression for mechanical work since an actuator is to manipulate positions and therefore force is needed. For different kind of microactuator, different physical principles are applied. Classes of microactuator electrostatic Electromagnetic Piezoelectric Fluid Thermal 14) what is Synchrotron Radiation Synchrotron radiation is emitted by electrons orbiting in a storage ring. It provides ultra-bright ultraviolet light and soft X-rays which are used for a wide range of analytical techniques. The energy spectrum of photoelectrons reflects the energy levels of surface atoms, which identify an atom and its neighbors via chemical shifts. Synchrotron radiation is electromagnetic radiation generated by a synchrotron. It is similar to cyclotron radiation, but generated by the acceleration of ultra relativistic (i.e., moving near the speed of light) charged particles through magnetic fields. This may be achieved artificially in synchrotrons or storage rings, or naturally by fast electrons moving through magnetic fields in space. The radiation produced may range over the entire electromagnetic spectrum, from radio waves to infrared light, visible light, ultraviolet light, X-rays, and gamma rays. It is distinguished by its characteristic polarization and spectrum. Emission mechanism When high energy particles are in rapid motion, including electrons forced to travel in a curved path by a magnetic field, synchrotron radiation is produced, similar to a radio antenna, but with the difference that, in theory, the relativistic speed will change the observed frequency due to the Doppler effect by the Lorentz factor, Y. Synchrotron radiation is the brightest artificial source of X-rays. 15) what is Electroplating Electroplating is a plating process in which metal ions in a solution are moved by an electric field to coat electrode. The process uses electrical current to reduce cations of a desired material from a solution and coat a conductive object with a thin layer of the material, such as a metal.

www.iambiomed.com

Page 17

BIOMEMS SOLUTIONS
Electroplating is primarily used for depositing a layer of material to bestow a desired property (e.g., abrasion and wear resistance, corrosion protection lubricity, aesthetic qualities, etc.) to a surface that otherwise lacks that property. Another application uses electroplating to build up thickness on undersized part. The process used in electroplating is called electrodeposition. It is analogous to a galvanic cell acting in reverse. The part to be plated is the cathode of the circuit. In one technique, the anode is made of the metal to be plated is placed on the part. Both components are immersed in a solution called an electrolyte containing one or more dissolved metal salts as well as other ions that permit the flow of electricity. A power supply supplies a direct current to the anode, oxidizing the metal atoms that comprise it and allowing them to dissolve in the solution. At the cathode, the dissolved metal ions in the electrolyte solution are reduced at the interface between the solution and the cathode, such that they "plate out" onto the cathode. The rate at which the anode is dissolved is equal to the rate at which the cathode is plated vis-a-vis the current flowing through the circuit. In this manner, the ions in the electrolyte bath are continuously replenished by the anode. Other electroplating processes may use a non-consumable anode such as lead. In these techniques, ions of the metal to be plated must be periodically replenished in the both as they are drawn out of the solution. Process The anode and cathode in the electroplating cell are both connected to an external supply of direct current- a battery or, more commonly , a rectifier. The anode is connected to positive terminal of the supply, and the cathode (article to be plated) is connected to the negative terminal. When the external power supply is switched on, the metal at the anode is oxidized from the zero valence state to form cations with a positive charge. These cations associate with the anions in the solution. The cations are reduced at the cathode to deposit in the metallic, zero valence state. The plating is most commonly a single metallic element, not an alloy. However, some alloys can be electrodeposited, notably brass and solder. Limitations Obtaining a uniform thickness with electroplating can be difficult depending on the geometry of the object being plated. The plating metal is preferentially attracted to external corners and protrusions, but unattracted to internal corners and recesses. These difficulties can be overcome with multiple anodes or a specially shapes anode that mimics the object geometry, however both of these solutions increase cost.
Page 18

www.iambiomed.com

BIOMEMS SOLUTIONS
The ability of a plating to cover uniformly is called throwing power, the better the 'throwing power" the more uniform the coating. How does plating work?

In the figure above we have a metal object that we want to plate with another metal. first we fill a "cell" (a tank, vat, or bowl) with a solution of salt of the metal to be plated Most of the time the salt is simply dissolved in water and maybe a little acid. In this example, the NiCl2 salt ionizes in the water into Ni++ions and two parts of Clions.

16)what is PVD? give types. discuss any two techniques in detail with diagram physical vapor deposition (PVD) is a process by which a thin film of material is deposited on a substrate according to following steps: 1) the material to be deposited is converted into vapor by physical means. 2) the vapor is transported across a region of low pressure from its source to the substrate. 3) vapor undergoes condensation on the substrate to form the thin film. PVD reactors may use solid, liquid or vapor as raw material in a variety of source configuration. There are 2 types of PVD: 1) thermal evaporation 2) sputtering

www.iambiomed.com

Page 19

BIOMEMS SOLUTIONS
1) thermal evaporation: thermal evaporation is the oldest the film deposition technique. it is based on boiling off of a heated material onto a substrate in a vacuum. Flux i.e. no. of molecules leaving a unit area per sec F=N0 exp-(e/KT) T-temp e-actuation energy(required to evaporate one molecule) N0 - function of T Resistive heating In this technique tungsten filament is used as a source through which high current is passed. but the small size of the filament limit the thickness of the deposited film it is called as resistively heating as the filament is resistively heated E-beam evaporation In the E-beam mode, the high intensity electron beam gun(3-20 KeV) is focused on the target material. the target material is placed in a water cooled copper hearth. upon impact, the high kinetic energy is converted into thermal energy, heating up & evaporating the target material. electron beam is magnetically directed onto the evaporant, which melts locally. In this manner, the metal forms its own crucible and the contact with the hearth is too cool for chemical reaction. this causes fewer source contamination problem & hence higher quality films & provides higher deposition rate(50-500nm/min) in this technique very high temperature can be achieved.

2)Sputtering
www.iambiomed.com Page 20

BIOMEMS SOLUTIONS
Sputtering is a mechanism by which atoms are dislodged from the surface of a material as a result of collision with high-energy particles. Thus PVD by Sputtering is a term used to refer to a physical vapor deposition (PVD) technique wherein atoms or molecules are ejected from a target material by highenergy particle bombardment so that the ejected atoms or molecules can condense on a substrate as a thin film. Sputtering has become one of the most widely used techniques for depositing various metallic films on wafers, Including aluminum, aluminum alloys, platinum, gold, TiW, and tungsten. Sputtering as a deposition technique may be described as a sequence of these steps: 1) ions are generated and directed at a target material; 2) the Ions sputter atoms from the target; 3) the sputtered atoms get transported to the substrate through o region of reduced pressure; 4) the sputtered atoms condense on the substrate. forming a thin film. Sputtering offers the following advantages over other PVD methods used In VLSI fabrication: 1) Sputtering can be achieved from large-size targets, simplifying the deposition of thins with uniform thickness over large wafers; 2) Film thickness Is easily controlled by fixing the operating parameters and simply adjusting the deposition time; 3) Control of the alloy composition, as we as other film properties such as step coverage and grain structure, is more easily accomplished than by deposition through evaporation; 4) Sputter-cleaning of the substrate In vacuum prior to film deposition can be done; 5) Device damage from X-rays generated by electron beam evaporation is avoided. Sputtering, however, has the following disadvantages too: 1) High capital expenses are required 2) the rates of deposition of some materials (such as Si02) are relatively low, 3) Some materials such as organic solids are easily degraded by ionic bombardment; 4) Sputtering has a greater tendency to introduce Impurities In the substrate than deposition by evaporation because the former Operates under a lesser vacuum ra.igo than the latter. The high-energy particles used in sputter-deposition arc generated by glow discharges. A glow discharge is a self-sustaining type of plasma created by applying an RF field to a pressurized gas like argon, creating free electrons within the discharge region. A complete theory on how sputtering occurs has not yet been established due to the complexity of interactions involved, but experts in the field state that sputtering is comparable to billiard ball kinetics in three dimensions.

www.iambiomed.com

Page 21

BIOMEMS SOLUTIONS
Sputtering yield, or the number of atoms ejected per incident ion is an Important factor in sputter deposition processes, since it affects the sputter deposition rate Sputtering yield primarily depends on -three major factors: 1) target material; 2) mass of the bombarding particles; and 3) energy of bombarding particles. In the energy range where sputtering occurs (10 to 5000 eV) the sputtering yield increases with particle mass and energy

RF Sputtering - Radio Frequency (RF) sputtering will allow the sputtering of targets that are electrical insulators (Si02, etc). The target attracts Argon ions during one half of the cycle and electrons during the other half cycle. The electrons are more mobile and build up a negative charge called self bias that aids in attracting the Argon ions which does the sputtering.

dark space region is the region between plasma and electrode. -f=13.56Mhz(open industry frequency) -higher electron density -even the insulators can be sputtered DC Sputtering Sputtering can be achieved by applying large (~2000) DC voltages to the target (cathode). A plasma discharge will be established and the Ar+ ions will be attracted to and impact the target sputtering off target atoms.

www.iambiomed.com

Page 22

BIOMEMS SOLUTIONS
In DC sputtering the target must be electrically conductive otherwise the target surface will charge up with the collection of Ar+ ions and repel other argon ions halting the process.

17) what is -TAS? explain its block diagram and anyone separation technique. the analysis of bio-chemical state of system is often described in terms of sensors. these -TAS or lab on chip (LOC) devices convert the biochemical state (i.e. chemical conc. , partial pressure of particles such as atoms, molecules, ions, etc) into an electrical signal. harnessing electro kinetic phenomena in microfluidic devices for moving fluid and particles including proteins, cell, bacteria and viruses is essential for -TAS & other LOC devices. the elements of -TAS are 1) sampling unit 2) microfluidic 3) detector 4) electronic signal processing Sampling unit it guarantees a representative part of the analyte to be prepared and transported into the analyzers fluid handling part. it contains a microfilter which may consist of a conventional polymer membrane or a micro fabricated Si filter which has a uniform pore site, low pressure loss and very small internal volume that may be used in a setup for rapid microscale mixing
www.iambiomed.com Page 23

BIOMEMS SOLUTIONS

microfluidic unit fluid deals with behavior, precise control and manipulation of fluids that are geometrically constrained to a small sub-millimeter scale. this unit consists of four subparts -microvalves -micropumps -miroactuators -microflowchannels the valves are assembled by combining multiple layers of Si wafer each with unique geometric structure etched into it or through Si surface. valves have been developed in form of active or passive employing mechanical ,non mechanical and external system. application includes flow and pressure regulators, on/off switching, etc. micropumps are the means of moving around samples and reagents and both non mechanical and mechanical means may be used. microactuator is a microscopic servomechanical that supplies and transmits measured amount of energy for the operation of another mechanism or system. no. of actuators including valves for control of gas and liquid flows, optical switches and mirrors to redirect or modulate light beams; pumps to develop +ve fluid pressure etc are used. Detectors TAS uses ISFETs detector which has a differential setup with two spatially separated ISFETs in combination with a reference electrode. for optical detection, the absorption length is artificially increased by multiple reflection technique. Electronic unit it is used for signal processing in order to overcome noise s/g due to submicron size of system. SEPARATION TECHNIQUE
www.iambiomed.com Page 24

BIOMEMS SOLUTIONS
the various TAS separation techniques are: 1) chromatography 2) electrophoresis 3) coupling of methods chromatography chromatography is collective term for a set of laboratory techniques for separation of mixtures it involves passing a mixture, dissolved in a mobile phase through a stationary phase, which separates the analyte to be measured from other molecules in the mixture, based on differential partitioning between the mobile and stationary phase. chromatography is classified into two types i. gas chromatography:- mobile phase is a gas and it is always carried out in a column which is typically "packed" or "capillary" & it is based on partition equilibrium. ii. liquid chromatography:- mobile phase is liquid and it is carried out either in a column or a plane Electrophoresis it is a procedure which enables separation of molecules based on size and charge. using an electric field, the molecules can be made to move through a gel of agar. the gel is placed in an electrophoresis chamber, which is connected to power source. when electric field is applied, the larger molecules moves slower than the smaller ones. the different sized molecules form distinct band of gel. it is mainly used for separation of DNA, RNA or protein molecules. Coupling of methods:-coupling of method involves combining two methods of separation in order to obtain better results in terms of efficiency and speed.

18. What is CVD? Explain any two techniques of CVD in detail. -CVD is a process by which gases or vapors are chemically reacted leading to the formation of a solid on substrate. -It may be defined as the formation of non volatile solid film on a substrate by the reaction of vapor phase chemicals that contain the required constituents. -CVD is used to deposit dielectric & Polysilicon films & therefore is an important process in the fabrication of ICs. CVD is used not only to deposit dielectrics &Polysilicon but also to deposit single crystal silicon on Si-wafer in which case the deposition is termed as epitaxial deposition. -In this process the substrate is placed inside a reactor to which number of gases are supplied. The fundamental principle of the process is that chemical reaction takes place

www.iambiomed.com

Page 25

BIOMEMS SOLUTIONS
between the source gases. The product of that reaction is a solid material which condenses on all surfaces inside the reactor. CVD methods:-APCVD(Atmospheric Pressure Chemical Vapour Deposition) -LPCVD(Low Pressure Chemical Vapour Deposition) 1. APCVD -APCVD reactors operate in mass transport limited region. -So they are designed such that equal flux of reactants is delivered. -This ensures uniform film deposition. This is done by placing the wafers horizontally & then moving them under gas stream. -They are used for depositing low temp oxide films. -Samples are carried through the reactor on a conveyor belt. -Reactant gases flowing through the centre of the reactor are contained by gas curtains formed by fast flow nitrogen.

Advantages: Simple, high deposition rate, low temp. Disadvantages: Poor step coverage, particle contamination, requires excessive wafer handling. Application: Doped &undoped low temp oxides. 2.LPCVD -The reactor consists of a quartz tube heated by a three zone furnace. -Gas introduced from one end & pumped out from the other end. -Wafers stand vertically, perpendicular to the gas flow. -They are placed in a quartz holder. -It operates in a surface reaction rate limited mode. -Therefore supply of equal flux of reactants is not required. -Therefore geometry can be designed such that it can accommodate a large number of wafers. -It allows wafer holder to hold 200 wafers at a time.
www.iambiomed.com Page 26

BIOMEMS SOLUTIONS

Advantages: Excellent purity, comfortable step coverage, large wafer capacity. Disadvantages: High temp & low deposition rate. Application: Doped &undoped high temp oxide, silicon nitride, Polysilicon. 19. -TAS detection techniques The detection techniques are classified as -optical -fluorescence -non fluorescence -electrochemical -mass spectroscopy -electrochemilluminecnce Fluorescence Fluorescence is emission of light by a substance that has absorbed light or other electromagnetic radiation. It is used for the chip detection of DNA samples. Electrochemical: The electrochemical detectors responds to substance that are either oxidizable or reducible electrode o/p is an electron flow generated by reaction that takes place at the surface of electrode used in detection of carbohydrates and amino acids Mass spectroscopy Mass spectroscopy is an analytical technique that measures the mass to charge ratio of charged particles. The principles consists of ionizing chemical compounds to generate charged molecules or molecule fragments measuring their mass to charge ratio. Pharmokinetics fingerprints can be visualized. Blood and other substances can be detected. electrochemilliuminance

www.iambiomed.com

Page 27

BIOMEMS SOLUTIONS
During electrochemical reaction fluids undergo reaction to produce an electronically excited state that emits light.

20. Difference between CVD and PVD CVD Chemical vapour deposition is a process by which gas or vapours are chemically reacted leading to the formation of solid on a substrate. In CVD, the material that is introduced onto the substrate is introduced in gaseous form. PVD Physical vapour deposition is a process by which a thin film of material is deposited on a substrate. In PVD, the material that is introduced onto the substrate is introduced in solid form.

CVD is used to deposit dielectric and Polysilicon

PVD is most commonly used for metallization

In CVD, the gaseous molecules will react with In PVD, atoms are moving and depositing on the substrate. the substrate.

CVD (CVD uses high temperatures in the range of 450C to 1050 C).

PVD coating is deposited at a relatively low temperature (around 250C~450C)

CVD is mainly used for depositing compound protective coatings.

PVD is suitable for coating tools that are used in applications that demand a tough cutting edge

CVD uses a mixed source material. (In CVD, the source material is actually not pure as it is mixed with a volatile precursor that acts as a carrier.)

PVD typically uses a pure source material. (In PVD, a pure source material is gasified via evaporation)

Advantages: 1. High growth rates possible 2. Good reproducibility 3. Can deposit materials which are hard to evaporate 4. Can grow epitaxial films.

Advantages: 1. Materials can be deposited with improved properties compared to substrate material. 2. Almost any type of inorganic material can be used as well as some organic materials.
Page 28

www.iambiomed.com

BIOMEMS SOLUTIONS

Applications: 1. Coatings (wear resistance, corrosion resistance) 2. Semiconductors (IC, Sensors) 3. Optical fibers

Applications: 1. Aerospace 2. Automotive 3. Surgical/ medical 4. Cutting tools

21. Difference between positive and negative photoresist positive photoresist Negative photoresist portion of the photoresist that is exposed to light becomes soluble to photoresist developer portion of the photoresist that is unexposed to light remains insoluble to photoresist developer Adhesion of silicon : fair Portion of the photoresist that is exposed to light becomes insoluble to photoresist developer. portion of the photoresist that is unexposed is dissolved by photoresist developer Adhesion of silicon : Excellent

Developer base :aqueous

Developer base :Organic

Relative cost: more Minimum: 0.5 micrometer Step coverage: better Wet chemical resistance : fair

Relative cost: Less Minimum: 2 micrometers Step coverage: Lower Wet chemical resistance : Excellent

22. Electron beam lithography: Electron beam lithography refers to a lithographic process that uses a focused beam of electrons to form the circuit patterns needed for material deposition on the wafer.

www.iambiomed.com

Page 29

BIOMEMS SOLUTIONS
It offers higher patterning resolution than optical lithography because of the shorter wavelength possessed by the 10-15KeV electrons that it uses. EBL system doesnt need masks anymore to perform its task as it uses a small diameter focused beam of electrons to be scanned over a surface (unlike optical lithography, which uses photomasks to project the patterns). CONSTRUCTION A typical EBL system consists of the following parts : 1) an electron gun or electron source that supplies the electrons. 2) An electron column that shapes and focuses the electron beam . 3) a mechanical stage that positions the wafer under the electron beam 4) a wafer handling system that automatically feeds wafer to the system and unloads them after processing. 5) a computer system that controls the equipment. Fig shows a block diagram of typical electron lithography tool. The column is responsible for forming and controlling the electron beam. Underneath the column is a chamber containing a stage for moving the sample around and facilities for loading and unloading it. Associated with the chamber is a vacuum system needed to maintain an appropriate vacuum level throughout the machine and also during the load and unload cycles. A set of control electronics supplies power and signals to the various parts of the machine. Finally, the system is controlled by a computer , which may be anything from personal computer to mainframe. The computer handles such diverse functions as setting up an exposure job, loading and unloading the sample, aligning and focusing the electron beam, and sending pattern data to the pattern generator. The part of computer and electronics used to handle pattern data is sometimes referred as data path.

www.iambiomed.com

Page 30

BIOMEMS SOLUTIONS

23. Micropumps: Any king of small pump is often referred to as micropump, a more accurate up to date definition restricts this term to pump with functional dimensions in the range Such pumps are of special interest in microfluidic research and have become available for industrial product integration in recent years. Micro pumps can be grouped into mechanical and non-mechanical devices. Mechanical systems contain moving parts which are usually actuation and value membranes or flops the driving force can be generated by utilizing piezoelectric , electrostatic or magnetic effects. Non mechanical pumps function with electro hydrodynamic ,elect osmotic, electrochemical or ultrasonic flows generation just to name a few of the actuation mechanism that are currently studied any king of active microfluidic handling or analysis system requires some kind of micropump system, in addition macrofluidic systems which rely on miniature pumps might be reduced in size or enchanced in their functionality by integrating a micropump micropumps made of polymers appear to yield potentially low unit prices while silicon micropumps prove to be smallest pump devices in the world. microneedles The common needle phobia & painful injections could soon be eliminated because of revolutionary new drug delivery technique developed by team of Georgia institute of technology US.
www.iambiomed.com Page 31

BIOMEMS SOLUTIONS
The long practiced method of drug administration has now up to involved the use of hypodermic needled but they have many drawbacks, including pain, risk of infection and the need for trained staff to perform infection The very few non-invasive methods of drug delivery, such as the transdermal patch best known in its role as the smokers nicotine patch, are unsuitable for most drugs as only very small molecules can be transported across intact skin which excludes the large bio therapeutic molecules found in many medicines. The drugs in form of biomolecules is encapsulated within the microneedles which are then inserted into the skin in the same way that nicotine is released into bloodstone from patch the needles dissolve within minutes releasing the trapped cargo at the intended delivery site.

24. Photolithography Photolithography is the process of transferring geometric shapes on a mask to the surface of a silicon wafer. The steps involved in the photolithography process are:1.wafer cleaning; 2.barrier layer formation; 3.photoresist application; 4.soft baking; 5.mask alignment; 6.exposure and development; and 7.Hard-baking. Wafer cleaning, Barrier layer formation and Photoresist application In the first step, the wafers are chemically cleaned to remove particulate matter on the surface as well as any traces of organic, ionic, and metallic impurities. After cleaning, silicon dioxide, which serves as a barrier layer, is deposited on the surface of the wafer. After the formation of the SiO2 layer, photoresist is applied to the surface of the wafer. High-speed centrifugal whirling of silicon wafers is the standard method for applying photo resist coatings in IC manufacturing. This technique, known as Spin Coating, Produces a thin uniform layer of photo resist on the wafer surface. Positive and Negative Photoresist There are two types of photoresist: positive and negative. For positive resists, the resist is exposed with UV light wherever the underlying material is to be removed. In these resists, exposure to the UV light changes the chemical structure of the resist so that it becomes more soluble in the developer. The exposed resist is then washed away by the developer solution, leaving windows of the bare underlying material. In other words, whatever shows, goes.The mask, Therefore, contains an exact copy of the pattern which is to remain on the wafer. Negative resists behave in the opposite manner.
www.iambiomed.com Page 32

BIOMEMS SOLUTIONS
Exposure to the UV light causes the negative resist to become polymerized, and more difficult to dissolve. Therefore, the negative resist remains on the surface wherever it is exposed, and the developer solution removes only the unexposed portions. Masks used for the negative photoresists, therefore, contain the inverse(or photographic negative) of the pattern to be transferred. The figure below shows the pattern differences generated from the use of positive and negative resist. Negative resists were popular in the early history of integrated circuit processing, but positive resist gradually became more widely used since they offer better process controllability for small geometry features. Positive resists are now the dominant type of resist used in VLSI fabrication processes. Soft-Baking Soft-baking is the step during which almost all of the solvents are removed from the photoresist coating. Soft-baking plays a very critical role in photo-imaging. Oversoft-baking will degrade the photosensitivity of resists by either reducing the developer solubility or actually destroying a portion of the sensitizer.

Mask Alignment and Exposure One of the most important steps n the photolithography process is mask alignment. A mask or photomask is a square glass plate with a patterned emulsion of metal film on one side. The mask is aligned with the wafer, so that the pattern can be transferred onto the wafer surface. Each mask after the first one must be aligned to the previous pattern.

www.iambiomed.com

Page 33

BIOMEMS SOLUTIONS
Once the mask has been accurately aligned with the pattern on the wafers surface, the photoresist is exposed through the pattern on the mask with a high intensity ultraviolet light. There are three primary exposure methods:contact,proximity, and projection. They are shown in figure below.

Contact Printing In contact printing, the resist-coated silicon wafer is brought into physical contact with the glass photomask. The wafer is held on vacuum chuck, and the whole assembly rises until the wafer and mask contact each other. The photoresist is exposed with UV light while the wafer is in contact position with the mask. Because of the contact between the resist and mask, very high resolution is possible in contact printing(e.g. 1-micron features in 0.5 microns of positive resist). The problem with contact printing is that debris, trapped between the resist and the mask, Can damage the mask and cause defects in the pattern. Proximity Printing The proximity exposure method is similar to contact printing except that a small gap, 10 to 25 microns wide, is maintained between the wafer and mask during exposure. This gap minimizes mask damage .Approximately 2 to 4 micron resolution is possible with proximity printing. Projection Printing Projection printing, avoids mask damage entirely. An image of the patterns on the mask is projected onto the resist-coated wafer, which is many centimeters away. In order to achieve high resolution, only a small portion of the mask is imaged. This small image field is scanned or stepped over the surface of the wafer.

www.iambiomed.com

Page 34

BIOMEMS SOLUTIONS
Projection printers that step the mask image over the wafer surface are called stepand-repeat systems. Step-and-repeat projection printers are capable of approximately 1-micron resolution. Development One of the last steps in the photolithographic process is development. The figure below shows response curves for negative and positive resist after exposure and development. At low exposure energies, the negative resist remains completely soluble in the developer solution. As the exposure is increased above the threshold energy Et, more of the resist film remains after development. At exposures two or three times the threshold energy, very little of the resist film is dissolved. For positive resist, the resist solubility in its developer is finite even at zero exposure energy. The solubility gradually increases until, at some threshold, it becomes completely soluble. These curves are affected by all the resist processing variables: initial resist thickness, developer chemistry, developing time, and others.

Hard Baking It is the final step in the photolithographic process.


www.iambiomed.com Page 35

BIOMEMS SOLUTIONS
This step is necessary to harden the photoresist and improve adhesion of the photoresist to the wafer surface.

25. Silicon compounds: There are three principal silicon compounds used in MEMS and microsystems: Silicon dioxide (SiO2), silicon carbide (SiC) and silicon nitride (Si3N4). Each has distinct characteristics and unique applications. Silicon dioxide (SiO2): It is least expensive material to offer good thermal and electrical insulation. Also used a low cost material for masks in microfabrication processes such as etching, deposition and diffusion. It is used as sacrificial material in surface michromachining. It is very easy to produce : 1) dry heating of silicon 2) oxide silicon in wet stream Dry heating : Si + 02 SiO2 Oxide silicon in wet streams : Si + 2H20 SiO2 + 2H2 Silicon Carbide (SiC) :Thin films of silicon carbide are often deposited over MEMS components to protect them from extreme temperature, high melting point and resistance. The patterned SiC film can further be used as a protective layer in micromachining for the underlying silicon substrate, as SiC can resist common etchants such as KOH and HF. Silicon nitride (Si3N4) : It is used as excellent barrier to diffuse water and ions. It is produced by chemical reaction: 3SiCl2H2 + 4NH3 Si3N4 + 6HCl +6 H2 It has ultra strong resistance to oxidation and many etchants make it a superior material for masks in deep etching. It is also used as high strength electric insulators. Silica/ Glass: Fused silica is a purer version of fused quartz, that is made synthetically from various silicon gases. Fused quartz is the amorphous form of quartz. Fused Quartz is made from natural crystalline quartz. Glass- amorphous solid contain impurities and has low melting temperature. Borosilicate glass is an engineered glass developed specifically for use in environments such as laboratory and heating applications. 26. Amperometric biosensors. It is based on method of sensing. Produces a current proportional to concentration of the substance to be detected.
www.iambiomed.com Page 36

BIOMEMS SOLUTIONS
The most common Amperometric biosensors use the Clark oxygen electrode. The Clark oxygen electrode is separated from glucose by a membrane, that is permeable to oxygen. A biocatalyst Glucose Oxidize (GOD) is housed between this membrane and another membrane that separates it from the glucose. This membrane that separates GOD and glucose is permeable to both oxygen and glucose. The enzyme GOD is immobilized between two membranes, the top being permeable only to oxygen and bottom to both oxygen and glucose. The glucose that enters the membrane is oxidized In presence of the enzyme GOD, to produce Glucuronic acid and hydrogen peroxide. Glucose + O2 --->glucuronic acid + H2O2 The concentration of oxygen decreases as it moves up through the membrane to reach the cathode. This decrease in oxygen concentration is reflected as a decrease in current between the electrodes.

Piezoelectric biosensors Piezoelectric sensors which utilize crystals which undergo an elastic deformation when an electric potential is applied to them. This frequency is highly dependent on the elastic properties of the crystal, such that if a crystal is coated with a biological recognition element the binding of a large target analyte to a receptor will produce a change in the resonance frequency, which gives a binding signal.

www.iambiomed.com

Page 37

BIOMEMS SOLUTIONS
27. Draw and explain Arrhenius plot for CVD processes. An Arrhenius plot for etching Si is 43% HNO3, 20% HF and 35% HC2H3O2. Increasing the temperature increases the reaction rate. The graph shows two straight line segments, indicating higher activation energy below 30 C and a lower one above this temperature. In low temperature range, etching is preferential and the activation energy is associated with the oxidation reaction. At higher temperatures, the etching leads to smooth surfaces, and the activation energy is lower and associated with diffusion. With isotropic etchants, the etchant moves downward and outward to form an opening in the mask, undercuts the mask, and enlarges the etched pit while deepening it. The resulting isotropically etched features show more symmetry and rounding when agitation accompanies the etching (the process is diffusion limited). With agitation, the etched features approaches an ideal round cup. Without agitation, the etched features resembles a round box. The flatness is defined by agitation.

28. Explain any two methods of Doping in detail. Doping is a method for increasing the charge carrier density of a semiconductor doping with impurities of higher and lower valencies.
www.iambiomed.com Page 38

BIOMEMS SOLUTIONS
Ion implantation: It is a process by which energetic impurity atoms can be introduced into a single crystal silicon substrate. This method is used to deliver a wide range of doses i.e. from 1011 to 1017 ions/cm2. Here the dopant atoms are vaporized, accelerated and directed at a silicon substrate. They enter the crystal lattice, collide with Si atoms and gradually lose energy. Finally they come to rest at some depth within the lattice. The basic requirement is to deliver a beam of ions of a particular type and energy to the silicon wafer. There is a high voltage enclosure containing a gas source. The gas is used as carrier to carry ions to be implemented. Usually nitrogen is used as a carrier gas. The carrier gas is fed into the ion source where a heated filament causes the molecules to break up into charged fragments. A voltage of about 20kV causes the ions to move out of the ion source into the analyzer. The pressure is maintained so as to minimize the scattering of ions by gas molecules. The magnetic field is chosen such that only ions with the desired charge to mass ratio can travel through without being blocked by the analyzer walls. The ion beam is then deflected vertically and horizontally using X and Y planes as per the requirements.

ADVANTAGES: 1. Speed at which ions strike the Si wafer can be controlled 2. It provides precise control over the doping 3. Ion beam can be measured DISADVANTAGES 1. A high voltage is required 2. Lattice damage occurs because of the collision between the ions and the lattice atoms
www.iambiomed.com Page 39

BIOMEMS SOLUTIONS
3. This method is expensive DIFFUSION Diffusion is the process of introducing controlled amounts of dopants into semiconductors. Using diffusion conductivity of silicon is being altered by producing either n-type or p-type region. Selectively producing u-type and p-type regions require that diffusion to be carried out at an elevated temperature and by placing the dopant atoms on the surface of the semiconductor. So we have a high concentration of the dopant at the surface and it gradually decreases as one move inside the semiconductor. Diffusion is generally carried out in a furnace similar to that used in thermal placing the wafers inside it and passing an inert gas that contains the desired dopant through it at an increased temperature in the range of 800 1200*C. p-type semiconductor is usually obtained by diffusion of solid, liquid or gaseous source of boron into silicon and n-type semiconductor by diffusion of solid, liquid or gaseous source of arsenic or phosphorous into silicon. Diffusion Mechanics At elevated temperatures point defects like vacancies or interstitials are generated tr. the crystal lattice. Diffusion occurs by the movement of dopant atoms through these vacancies. The migration of the dopant atom occurs when the host (silicon) atom leave the Lattice site by gaining high energy at high temperatures as shown in Fig.

If the migrating atom is a host atom, the diffusion is referred to as self-diffusion, if it is an impurity atom the diffusion is called impurity diffusion. The movement of impurity atom other than oscillating between two lattice sites is produced by diffusing, the vacancy away from the site that the impurity atom had just occupied or the impurity atom has to move to a second vacancy that is the nearest. This is referred to as diffusion assisted by a double vacancy. An interstitial atom moving from one place to another without occupying a lattice site is known as interstitial diffusion mechanism. This happens when the interstitial atom is smaller than the host atom and cannot form covalent bonds with silicon. There is another mechanism in which a self-interstitial atom displaces an impurity atom which in turn becomes an interstitial atom.
Page 40

www.iambiomed.com

BIOMEMS SOLUTIONS
The impurity atom displaces another host atom and the second host atom becomes a self-interstitial. This is known as interstitially mechanism. The common dopants like boron and phosphorus diffuse by vacancy and interstitially mechanism with the interstitially component dominating most of the times. In arsenic and antimony diffusion takes place mostly by vacancy mechanism.

Diffusion Equation If F is flux of the number of atoms passing through a unit area in a unit time and C is the dopant concentration per unit volume then

where D is the diffusion constant, dC/dx is the concentration gradient, Using one-dimensional continuity equations we have

Equation is known as Ficks diffusion law.


29. Basic Characteristics of a biosensor LINEARITY : It should be high for detection of high substrate concentration. SENSITIVITY: Value of the electrode response per substrate concentration. SELECTIVITY: Chemical interference must be minimized for obtaining the correct result. RESPONSE TIME: Time necessary for having 95% of the response. Requirements of biosensor: Accuracy & repeatability Resolution Dynamic range Speed of response Insensitivity to temperature (or temperature compensation) Insensitive to electrical and other environmental interference Amenable to testing and calibration Reliability and Self-Checking Capability Physical Robustness Service Requirements Capital cost

Calorimetric biosensor:www.iambiomed.com Page 41

BIOMEMS SOLUTIONS
It measures the change in temperature in the solution containing analyte and interprets it in terms of analyte concentration. Temperature changes are usually determined by means of thermistors at the entrance and exit of small packed bed columns containing immobilized enzymes within a constant temperature environment. The sample stream (a) passes through the outer insulated box(b) to the heat exchanger (C) and to the aluminum block (d). From there, it flows past the reference thermistors(e) and in to the packed bed bioreactor containing the biocatalyst, where the reaction occurs. The change in temperature is determined by the thermistors (g) and the solution passed to waste(h). External electronics (I) determines the difference in the resistance, and hence temperature, between the thermistors. Maintenance of constant sample temperature is a disadvantage of this type. It is based on enzymatic catalysis of a reaction that produces or consumes electrons. The sensor substrate usually contains three electrodes; a reference electrode, a working electrode & a sink electrode. The target analyte is involved in the reaction that takes place on the active electrode surface, and the ions produced create a potential which is subtracted from that of the reference electrode to give a signal.

An e.g. Glucose biosensor Glucose + Oxygen Gluconolactone + Hydrogen Peroxide

30. Short note on :Silicon as substrate material

Silicon is the most abundant material on earth. However it exists in compounds with other elements. Single crystal silicon is the most widely used substrate material for MEMS.

www.iambiomed.com

Page 42

BIOMEMS SOLUTIONS
Silicon is mechanically stable and can be integrated into electronics on the same substrate. Silicon is almost an ideal structure material. It has the same youngs modulus as steel but it is as light as aluminum. Materials with higher Youngs m odulus can maintain better linear relationship between applied load and induced deformation. It has a melting point of 1400C, which is twice as high as that of aluminum. This makes silicon dimensionally stable even at elevated temperature. Its coefficient of thermal expansion is about 8 times smaller than that of steel and more than 10 times than that of aluminum. Silicon shows virtually no mechanically hysteresis. It is thus an ideal candidate material for sensors and actuators. Silicon wafers are extremely flat and accept coatings and additional thin film layers for building micro structural geometry. There is greater flexibility in design and manufacturing with Si than with other substrate materials. Treatments and fabrication process for Si substrate are well established and documented.

www.iambiomed.com

Page 43

BIOMEMS SOLUTIONS

www.iambiomed.com is a website exclusive for biomedical engineering. Along with academics we present all the latest happenings in the field of Biomedical. A student can find the notes under the NOTES section. You can get SYLLABUS prescribed by the Mumbai University & UNIV.PAPERS in the Exam Material section.

LIKE US ON www.faceook.com/iambiomed

www.iambiomed.com

Page 44

You might also like