Download as pdf or txt
Download as pdf or txt
You are on page 1of 60

VM-88S1 Graphics Processor Manual

~ ___ lJmD
VM-8851 Graphics Processor Manual
MULTIBUS Intel trademark
Copyright VMI1984
Vermont M icrosystems, Inc.
One Main Street, POB 236
Winooski, Vermont 05404
May 1984
VM 10180001-00
While. to the best of our knowledge. this manual contains accurate information and graphics, it is subject to change
without notice. VMI cannot be held responsible for any errors. omissions. or misinformation that may appear in this
manual. Changes made to the information contained in this document will be incorporated in new editions of this
publication.
ClCopyright. Vermont Microsystems. Inc. 1984. All rights reserved. No part of this document may be reprinted.
reproduced. or used in any form or by any electronic. mechanical. or other means now known or hereafter invented.
including photocopying. recording. or using any information storage and retrieval system. without permission in
. writing from VMI.
VM-8851 Graphics Processor Manual
Sec t ion
1
2
2.1
2.2
2.3
2.3.1
2.3.2
2.4
2.5
2.6
3
3.0
3.1
3.2
3.3
3.4
3.5
3.6
5
5.1
5.2
5.3
5.4
5.5
5.6
Table of Contents
Description
Introduction
VM8851 Architecture
Processor Section
Scanne r Sec t ion
MULTIBUS Intertace
Programmed I/O
Master Mode
Display RAM
Timing and Control
Output Section
Bardware Operation
overview
Processor Section
Host Interface
Scanner and Start Address Table
Display RAM Timing and Control
Di splay RAM
Output Section
Firmware Design Considerations
~ i r m w r e Initialization
Address Assignment
Memory Organization
Display. RAM Organization
Display RAM Access
LUT Programming
Appendix A
Appendix Bl
Appendix C
Related Documents
Processor Schematics
Componen t Lis t
1-- 1
2-1
2-1
2-1
2-4
2-4
2-4
2-6
2-8
2-8
3- 1
3-1
3-1
3-2
3-4
3-5
3-8
3-9
5-1
5-1
5-1
5-4
5-4
5-5
5-10
VM-8851 Graphics Processor Manual
List of Illustrations
Figure Title
----
2.1 Scanner Section 2-2
2.2 RAM Address Generator
2-3
2.3 Programmed I/O and Master Mode 2-5
2.4 Display RAM
2-7
2.6 Timing ana Control 2-9
2.7
System Control
2-10
5.1 Display RAN Map for SYSMODE 01 5-6
5.2 Display RAM Map for 10 5-7
5.3 Display RAM Map for SYSMODE 11 ( 1 K x 1 K x 4) 5-8
5.4 Display RAM Map for SYSMODE 1 1 (lKx 1 K x 8) 5-9
VM-8851 GraphiCS Processor Manual
1 - Introduction
The VM-b851 Graphics Processor delivers
on a single MULTIBUS compatible board. The Intel 8088 CPU.
operating at 8 MHz with up to 200 Mbytes local memory and up to
two Mbytes image memory, provides considerable flexibilty in
complex applications requiring highly independent graphics
processing. For less complex applications. 8088-based
applications code installed directly onto the single-board system
provides the basis for stand-alone sys.tem capability. The
graphics processor generates displays of up to 256 simultaneous
colors chosen from a 4096 color palette. Flexible image RAM
organization permits the choice of a high-resolution image (up to
lK x lK) or multiple, image buffers. High-performance features
such as 60 Hz refresh rate, pixel pan within a lK x lK image
space, 128 independent display windows, and hardware zoom offer
signi1icant enhancements beyond previous graphics processor
implementations. Output consists of RGB video with selectable
sync.
The graphics processor provides flE:xible MULTIBUS interfaces. A
128-byte FIFO buffers MULTIBUS to graphics processor commands and
data when in slave moue. Master mode capability permits DMA
operation or stand-alone operation. MULTIBUS 24-bit addresses
are generated and detected. The functionality of the graphics
processor expands by adding expansion modules on the SBX
connector.
A hig h-l eve..l, easy- to-use gr aph ic s la nguag e, INTERACTTM, run s on
the graphics processor to provide a user accessible method for
generating complex graphics images. INTERACTTM resides in a
section of the graphics processor PROM space and responds to
high-level commands from the MULTIBUS interface or from on-board
applications code.
This manual provides a description of the graphics hardware
architecture and operation and firmware design considerations.
Section 2 describes the architecture 01 the graphics processor.
Section 3 explains the theory of operation of the hardware.
Section 5 covers the board hardware from the programming point of
view. This information enables the user to maximize board use
for any particular application. Section 5 also includes
necessary hardware and software descriptions for user-
written 80bb software.
Introduction 1-- 1
VM-8851 Graphics Processor Manual
2 - Processor Architecture
2.1 - CPU Local Memory
An 8 - MHz 8 0 8 m i c r 0 pro c e s s 0 r run n i n gin "M AX mod e" for m s the
basis of the CPU section. The board aesign of local memory
allows various combinations of PROM and RAM. Jumpers supply
appropriate connections to flag the board for the current
configuratIon. (Refer to the configuration section to determine
the proper Jumper placement for signaling the contents of each
socket.)
2.2 - Scanner Section
The scanner section supports the hardware ZOOM and PAN functions
by producing the proper addresses to scan, though it does not
directly address memory. Instead, the RAM address generator
latch holds the scanner address during a valid period. This
address remains latched until applied to memory at a later time
Both the scanner and the CPU share the VA and VD buses (for
addresses and data respectively). Using these buses the CPU can
program the scanner. To achieve this goal, the CPU first gains
control of tne scanner so that the scanner ceases to send out its
own signals. The processor then applies addresses on the VA bus
and data on the VD lines to program the scanner.
The scanner and CPU also shar e 256 bytes of RAM (U39.U40). The
scanner, accessing during horizontal retrace, can only read
information stored in RAM. The CPU accesses this RAM space
during vertical retrace and can perform both read and write
functions.
This RAM space contains the starting address table usea by the
scanner during its operation. Every four raster lines in a
display constItutes a group. for each group, the RAM stores a
starting address in a table format. These addresses can exist
anywhere withIn a 16-pixel boundary of display RAM. The CPU
programs the starting address table to structure the memory and
to determine which part of the display to scan out. At every
fourth line, the scanner accesses the starting address table
during horizontal retrace to determine the starting address for
the next group. The scanner reads in the next value then applies
the address during visible line time Between t ~ CPU and the
scanner, U38 arbitrates access to the starting address table and
the scanner. Re1er to figures 2.1 and 2.2.
Processor Architecture
2 1
N
en
(!)
('l
r-t
~ .
o
::l
N
I
N
DATA ... -.... --.......
fROM CPU
ADDRESS ---......
TRI-STATE
BUfFERS
START
ADDRESS
TABLE {SATl
r 4 ~ SYNC SIGNALS
SCAN
ADDRESS
TO DISPLAY
RAM
<
~
I
00
00
I.n
....
"'0
.,
o
('l
(!)
C/l
C/l
o
.,
N
ROW
CPU ADDRESS
< 4: 19)
COL
COLUMN ADDRESS
6
DISP/CPU
..
-
..
-
..
LRTCH
,
I
SCANNER
TIS ROW
LRTCH
ADDRESS
SCANNER
COLUMN
TIS
ADDRESS
LATCH
TIS CPU ROW
LATCH
ADDRESS
CPU COLUMN
TIS
ADDRESS
LATCH
-
MUX
5
~
HUX
S
j
SCANNER HOST
SIGNIfICANT
RDDRESS TO
CONTROL
MULTIPLEXED
ADDRESS
BUS A
MULTIPLEXED
ADDRESS
BUS B
<:
~
I
0)
0)
\Jl
~
Ci
t;
III
'0
::-
....
n
C/l
'"
t;
0
n
ID
C/l
C/l
0
t;
~
III
::l
c::
III
I-'
VM-8851 Graphics Processor Manual
2.3 - MULTIBUS Interface
The VM:-8851 supports two standard typt!s of host interface
simultaneously. Programmed I/O provides high-speed. bi-
directional data transfer between the host and the VM-8851 with
an extremely simple interface. DMA operation allows greater
sys t em throughput by fre e i ng the ho s t proc e s so r fo r ot he r tas ks
while the VM-8851 fetches data from system memory. With an
optional SBX serial interface, board data can also be transmitted
to the VM-8851 from any RS232 compatible device. Refer to figure
2.3 for further inlormation in the next sections.
2.3.1 - Programmed I/O
Using an o-bit-wide data transfer, the programmed I/O section
allows the ho'st to communicate through the MULTIBUS to the on-
board an Intel 8088. Signals coming onto the board pass
first through the bus receiver, composed of four 64 x 5 FIFOs.
This configuration produces a 128 x (8 + 2) bit FIFO, used by the
host to read status and to send data to the board. The design
reserves eight bits for data. The remaining two bits function as
signal bits. They identify DMA command data and distinguish
those commands from INTERAL1TM commands. (Refer to the INTERACTTM
Command Language Manual for more i nfor ma t io n.)
The address deCOder consists of a large jumper array. Two 20-pin
header sockets lie offset from each other on the board. This
deSIgn conserves space. These two positions can derive five
commonly used addresses: 24-bit, 20-bit, l6-bit, l2-bit, and 8-
bit. In all these cases. jumpers select the eight most
significant bits which will feed to the address comparator
(ALb520). This chip compares the data with the eight bits held
in the dip switch to produce the host select signal (HSTSEL).
The PAL 20LlO further decodes the signal to determine the action
requested.
2.3.2 - DMA Section
The VM-8851 enacts DMA transfers using Master Mode. In the DMA
section, the bus arbiter makes all requests of the bus for DMA
transactions and handles the priority levels. The bus controller
generates I/O signals as determined by CPU. The CPU
initiates a transfer by sending an address. The three address
latches hold this value while the CPU sends data to each
respective address. The host software determines the block
accessed and controls the read/write operations sent to the
board. Also through the host software, the latch controls access
to memory pages.
When the LPU wants to access certain ranges of adaresses, the DMA
section interprets these inquiries as off-board requests. It
then disables all local devices and converts to Master Mode
the bus. Following this transfer of control, the 8289 produces a
Processor Architecture 2-4
.
Vol
H
-o
III
::I
c:l.
N
I
V'1
ADORES
FROM MULTI BUS
CONTRO
S
L
XACK
. MULTIBUS DATA
ADDRESS
MULTIBUS
CONTROL
......
-
--
~
--
HOST
ADDRESS
DECODER
-
I
HOST
DATA
OUTPUT
~
I""'-
REGISTER

TAG
~
,
BITS
19 BIT
--
X 126 WOIW
FIFO
HOST
DATA
INPUT
INTERRUPT MASK
CHIP SELECTS
READY STATUS
HOST
STATUS
REGISTER
I""'-
--
-
l-'"
FIFO STATUS
BUFFERS
-
-
1
r
~
INTERRUPT
CONTROL
LOCAL
STATUS
REGISTER
BUS
ARBITER
f--+-
I
-
LOCAL CHIP
SELECTS
LOCAL INTERRUPT
(PBINTJ
MULTI6US
INTERUPT I"C'J
t-I
o
n
ro
en
en
o
t-I
LOCAL DATA U S ~
LOCAL ADDRESS
BUS
L
B
OCAL CONTROL
US
III
::I
c::
III
.....
VM-8851 Graphics Processor Manual
bus request signal. On receiving a bus grant
using local CPU data and control.
It drives the bus
The upper six bits supplied on MULTIBUS drive the latch. The
board can then access any of the 16K blocks available. However,
to cross a 256K boundary, the CPU must reprogram the latch.
2.4 - Display RAM
This section relerences figure 2.3. The image is stored in an
array of dynamic RAM chips which are densely packed in single-
(SIPs). With standard 64K x 4 devices, the memory
may be configured as one of the following:
Number of bits/
buffers X-Dim Y-Dim pixel
2 512 512 8
1 1K 512 8
1 lK lK 4
2 1K 512 4
4 512 512 4
With optional 256K x 4 devices, the options become
Number of bits/
buffers X-Dim Y-Dim pixel
2 lK lK 8
4 1K 512 8
8 512 512 8
4 1K 1K 4
1K 4
16 512 512 4
The memory is organized to produce 16 pixels in each 640 nsec
cycle. These pixels are then shifted out to the video output
section at a rate of 40 nsec per pixel. The CPU can access the
display RAM at any time without disturbing the display output.
When the CPU writes to display RAh the address and data are
latched briefly to synchronize to the display cycle without
making the CPU wait.
Display RAh data output is transformed through a programmable
look-up table into binary values which drive video DACs. The
design contains four separate look-up tables of 256 x 12 bits.
These LUTs may be selected by the CPU to implement an
instantaneous transtormation of the displayed image. Display
modes which use only four bits per pixel have only two selectable
llok-up tables. In those conligurations, one bit of the scan
address drives the look-up table select to distinguish which
nibble of the display data should be mapped to output.
Processor Architecture 2-6
~
~
00
c::
t"$
CD
N
"'"
t;
~
rJl
"0
.....
III
'<
~
:t>
l:?:
N
I
-...J
LATCHED 0<0:7>
HAD A
RAS
CAS
WRITE
CONTROL
CPU DATA
BUS
BLANK
,
,
I
I
~
I
I
I
--.
I
I
I
-
I
I
I
I
I
I
32 BIT
-
LATCH
HUX
<:
~
I
00
0:
\J1
-
,
G')
t"$
III
'e
::r
-
-
MADB
~
n
rJl
"d
-
-
RAS
t"$
0
n
CD
rJl
-
CAS
rJl
0
t"$
~
III
.WRITE
;:l
c::
III
.....
32 BIT
LATCH -
CONTROL
HUX
REGISTER
-
CLOCK
PIXEL BUS
--
-
VM-8851 Graphics Processor Manual
2.5 - Timing and Control
The major structure of the timing and controi section is depicted
in figures 2.5 and 2.6. The clock generator produces various
divisions and phases of the 50 lYiliz master clock. The dot clock
has a frequency of 25 so video data output is updated every
40 n sec. An 0 the rim po r tan t per i 0 dis the " c h a r act e r" per i 0 d, 6 40
nsee or 16 pixels. This frequency defines the resolution with
which the scanner addresses display RAM.
CPU accessible latches drive the major control lines, making many
operational choices software-selectable. These options include
seven display RAM write modes ranging from one to 16 pixels, pan
displacement. nibble write-protect. bank selection, and
look-up table (LUT) selection. System mode selection is also
accessible to the CPU and refers to the logical structure of
display RAM and the LUT. Many dynamic control signals are
directly affected by these software-defined controls.
Much of the timing and control circuitry is implemented in
programmable logic (PLA or PAL) due to the complex interrelations
of these signals. A programmable logic sequencer generates the
display RAM control signals and arbitrates, between the CPU and
the access to the the display RAM. When the CPU writes
to display RAM) the address and data are latched so that the CPU
can execute further instructions without waiting for the display
RAM cycle to complete. This process cannot be done with read
but very few common operations require reading the
dis pIa y RAM.
Selection of individual pixels or groups of pixels from a
"character" ot 16 pixels is accomplished by a combination of
timing and device selection. Timing is essential, because nibble
mode operation implies that different addresses are accessible at
di11erent times in the cycle.
Individual pixel panning is accomplished by selecting the
appropriate phase of the clock to latch sync and blanking
slgnals. This technique effectively skews the displayed data on
the screen. Since the monitor cannot instnatly adjust to this
change in sync the operation is done only at the beginning
of vertical blanking.
Two-power zoom operation alters the control sequences to run most
parts at half-speed. Clock signals to the scanner, however, must
remain at full speed and not shift in phase at the transition to
zoom mode. This condition requires that the transition be
synchronized to the scanner clock.
2.6 - Output Section
Storage registers contain all the output LUT information. The
board provides an option of two D/A converters (DACs):
monochrome or color (T0444).
Processor Architecture 2- 8
.
0\
ZOOM
CPURe
CPUWR
DISPLAY SELECT
MOOE<0:3>

LATCHED

P.AN CONTROL
ZOOM REQUEST
SYNC 6 BLANKING
FROM SCANNER
--
--
-- ...
....
HASTER
OSCILLATOR
511JHHZ
CPU
ACCESS
CONTROL
58 MHZ
CLOCK
-
GENERATOR
-
-
MULTI
PIXEL
WRITE
CONTROL
-
RAS,
CAS,
AND OTHER
MEMORY
TIMING
-
--
-
-
VIDEO
r----.
CONTROL

-
-
-

FOR
SYSTEM
SYNCRON IZATION
DOTCLOCK(25 MHZ)
12.5 MHZ CLOCK,
PHASE 1
12.5 MHZ CLOCK,
PHASE 2
WRITE LINES
GRAS<0:3>

MISCELLANEOUS
LATCHING SIGNALS
TO MAINTAIN DATA
PIPELINE TO DISPLAY
ZOOM
SIGNALS TO
CONTROL SYNC,
BLANKING INTERFACE
TO SCANNER
SCANNER
CLOCKS
<

I
00
00
V1
.....
t<.l
....
I
'tl
::r
....
n
OJ
I-tI
....
0
n
(b
OJ
OJ
0
....

I
1:1

III
.....
N
.
"-J
cpU CONTROL
m RD,VR
rot
CD
S
(":)
o
::l
rot
1'1
o
HODEL
N
I
-o
DISPLAY SELECT
R<0:3>
SCANNER HOST
SIGNIFICANT
ADDRESS
VR rTE SELECTS
CPU 0<0:7>
SYNCRON I ZATI ON
REGISTER
,
CPU
-
ADDRESS
-
LATCHED
SIGNALS
I
CPU
SYSTEH
DATA
DESCRIBING
LATCHED
SIGNALS
-
SIGNALS
-
-
SYSTEH
HODE
LDGIC
-
HAINTAINS
CDHPAT IB IL rTY -
VrTH 256K
NIBBLE HODE
DRAMS -
-
-
-
MULTIPLEXED
RDDRESS 8 FOR
256K DRRMS
CPURD,CPUWR
DISPLAY SELECT
LRTCHEO RDDRESS
<0:3>
MODE<0:3>
LUT BANK CONTROL
CPU BLANK
COLUHN ADDRESS 6
FOR 641( DRAMS
PAN CONTROL
ZOOM
<

1
00
00
VI
....
(j)
1'1

"C

.....
n
m
'"C1
1'1
0
n
CD
m
m
0
1'1


::l
c:


ASSORTED HARDWARE
CONTROL SIGNALS
BANK SELECTS FDR
641( SYSTEM,
lK X lK X 4 HOOf
LATCHED DATA.TO
DISPLAY RAM
VM-8851 Graphics Processor Manual
Each look-up table (LUT) receives four bits of information. One
byte contains data for the red and green LUTs, and a nibble
transfers blue LUT data. In the color system all three LUTs send
four bits of color information as output. The DACs convert this
information into RGB signals. In the monochrome system, the red
and green LUTs supply the first eight bits as input data for the
DAC. The blue LUT contributes two bits which the DAC interprets
as reference white and 10% overbright. These non-linear values
directly affect the information detined by the other eight bits.
When the CPU asserts the reference white line, the output
increases to full intensity. The 10% overbright line high causes
the data input to increase its intensity by 10%. The monochrome
video output appears on the green output signal used for color.
This method capitalizes on the sync-on-green capability.
Processor Architecture
2-11
VM-8851 Graphics Processor Manual
3 - Hardware Operation
3.0 - Overview
This section describes the theory of operation of the VM-8851
g rap h i c s pro c e s s 0 r E a c h sub sec t ion coni t a ins d eta i 1 e d
descriptions 01 each of the major blocks in the VM-8851
architecture. Please refer to the schematics in appendix B while
these as the text often refers to a
schematic sheet. Signal names are printed in upper case, t.g.
XACKL. are indicated by a single letter followed by a
n u m be r, e. g. U 6 2 A" U" ref e r s to ani n t e g rat e d c i r cui t, "R" to
a resistor, "C" to a capacitor, "D" to a diode, "p" to a J,llale
connector, "J" to a female connector, and "W" to a jumper.
3.1 - Processor Section
With a 24 kHz crystal, Y13, the clock generator, U2 1 outputs an 8
MHz CLK. U2 also controls the generation of CPU wait states
through the RDY output pin. The AENI input to U2 is high
when the CPU has to wait to access display RAM, the scanner. the
start address table, or the SBX board. For SEX connector
transfers requiring wait states, a low signal on MWAITL will
prevent an AEN1 signal.
When display RAM is accessed, pin 13 of U9 goes low until the
display RAM controller returns DISPRDYH. AEN1 is forced high
causing the processor to wait. Likewise, when either the scanner
or the start-address table (SAT) is accessed, pin 19 of U10 goes
high. This change causes AENI to go high until the scanner/SAT
arbiter, U38, activates SCNRDYL. U9-13 also goes low when an off
board access occurs, producing a wait state until the bus
arbiter, U26, activates the signal AEN2L. AEN2L acts like the
select input of a multiplexor. When high, its normal state, the
AEN1 input of U2 is active. When AEN2L is low. the AENI input is
i g nor e Q, but the RD Y 2 in put d r i v en by the bus X. A C K Lsi g n ai, i s
used to prod uce RDY.
The resistor kl, capacitor Cl19, inverter UI07, and open
collector driver UI09 combine to provide the power-on reset
function. A MULTIBUS reset signal INITL will also reset the
clock generator, thereby resetting the CPU, U1.' The CPU, U1,
operates in maximum mode (MIN/MXL=GND.) The bus controller, U3,
decodes CPU status signals SO, 51, and S2 to create MRDCL, MWTCL,
and AMwCL control signals. U3 also generates the address latch
enable signal (ALE) and the data buffer control signals DEN and
DT/RL. During an interrupt acknowledge cycle, U3 generates
INTAL. The input CEN disables U3 during an off-board access
cycle.
the interrupt acknowledge cycle, the interrupt controller,
U4, accepts up to seven level-sensitive interrupt inputs on IRO
through IRS P 1 u s SIN T and P BIN T 1 and is sue s a v e c tor with
Hardware Operation 3-1
VM-8851 Graphics Processor Manual
appropriate priorities to each of data bus lines DO through D7.
Jumper matrix WI routes the incoming interrupts to the
appropriate interrupt line.
Jumper matrix W2 determines the selection of one of eight
MULTIBUS interrupts for input and two of eight interrupts for
output. The interrupt PBINT2 or the CPU-generated interrupt
MBINT route through open collector driver UI09 to any of the
eight MULTIBUS interrupt lines. An floating
point processor supplies interrupt line MINT. (Refer to iSBC 377
module for details in Intel manual 142887-001.)
3.2 - Host Interface
Pages five and six of the scematics show the MULTIBUS host
interface section which consists of both programmed I/O and DMA
interfaces. Both of these interfaces are fully compatible with
the separate interface boards used on the VM-8850A and may be
used simultaneously.
The board typically uses eight bytes of MULTIBUS address space
and may be con fig u r e C1 for 8 - , 16 - , 2 0- , or 24 - bit add res s
decoding, either memory or I/O mapped. Pins 2 and 3 of W22
select the type of address while pins 4 through 9 provide six
bits of address comparative data. W5 and W6 select between
memory mapped and I/O mapped operation. Refer to section X.X for
address select ion.
FPLAs U25 and U13 act almost like multiplexors in that Ahl and
AMO select which set of six address lines to compare against the
six inputs from jumper W22. The six most significant bits in
each address type are thus compared with the jumpers, while the
less significant bits are usually required to be zero. Any
arbitrary address may be selected, however, by reprogramming U25
and U13. When the address matches, U13 produces HSTSEL. Another
PLA, U24, receives HSTSEL along with ADRLO-ADRL2 and the read and
write control signals. U24 decodes these to produce the
following chip select signals.
Use address 0 for programmed I/O data transfer. A read at this
address produces DTAENL, enabling U22 to drive the data bus,
while a write produces SI, which shifts data in from U14 to the
FIFO, UI5-UI8. TAGI and TAG2 will equal 0 for programmed I/O
transfers.
A read at address 1 produces STSENL.This signal, used in both
programmed I/O and DMA operations, enables U21 onto the data bus.
A w r i t e t 0 add res sIp rod u c esC D L C HL w h i c h c I 0 c k s U 3 3 t 0 I a t c h
data bits 0 and 2 to form the host's interrupt enable masks.
U23 also uses CMDLCHL to latch data bit 6, which constitutes the
reset command.
Addresses 2 and 3 are not used. Addresses 4 through 7 are used
for DMA operation and are write only. A" write to any of these
Hardware Operation 3-2
VM-885l Graphics Processor Manual
addresses produces SI, to shift the data into the FIFO; DMACMDL,
which signals U23 that DMA 1s being written; and the tag bits
TAGI and TAG2. The tag bits identify the type of data in the
FIFO. This information is deduced from the address to which the
data was written. As noted above, a tag value of 0 denotes
programmed 1/0 data. Value 1 (address 4) is the low byte of a
DMA control block adoress. Value 2 (address 5 or 6) indicates
the high byte(s), and value 3 (address 7) marks the DMA reset
command. An access to any of the valid addresses described above
also produces XACKL immediately to signal the bus master that the
can proceed. U24 produces TXRDY as long as 1) the FIFO
is not full, or half-full, depending on the setting of w20, and
2) a reset (warm start) is not in Depending on the
state of the host's interrupt enables (from U33) plus the states
or TXRDY and RXRDY, U24 produces PBINT2, which may be connected
through W2 to one of the eight MULTIBUS interrupt vectors.
U23 proouces a variety of status information. When the host
sends a reset command (CMDLCHL active and D6 equal tol). it
activates CGBRST. The CPU clears this signal by producing PBCSI
with AO equal to O. Similarly, RXRDY is set when the local CPU
pro d u c e s P B C S 2 (1 a t chi ng d a t a in to, U 2 2) and c 1 ear e d w hen the ho s t
pro d u c e s D T A EN L (r e a din g d a t a fro m U 2 2) Ac t i vat in g the bus
reset signal INITL also clears both RXRDY anO CGBRST. DRDY is
high whenever OR from U18 is high unless CGBRST is high. HFULL
is active low when IRhF from U18 is low, unless CGBRST is low.
HFULL low indicates a half-full condition in the FIFO.
The signals DMAChDP and DMAPRSNT are similar. They indicate the
presence of DMA data in the FIFO and are set when DMACMDL is
activated. DMAPRSNT serves as a status bit to the local cpu. It
is cleared automatically when the DMA reset command is read out
of the FIFO. DMACMDP, a status bit to the host, is cleared when
the CPU produces PBCSI with AO equal to 1.
The two most significant bits (MSBs) latcheo by U28 (refer to
sheet 6 of the schematics) are MASKl and MASK2. In U23, these
bits condition the generation of PBINTI as follows. CGBRST
active produces the interrupt regardless of mask bits. If MASK2
is high, RXRDY low produces the interrupt. (RXRDY low indicates
that the host has read the last data sent.) If MASKI is high,
the interrupt is produced by either HFULL active or by tagged
data (DMA data) available at FIFO output. The local CPU
these status bits through U2U and FIFO data through U19.
Once DMA data is retrieved from the FIFO, the local CPU performs
the DMA operation using the MULTIBUS master mode hardware on
sheet 6 of the schematics. U26 arbitrates bus control, producing
AEN2L when bus mastership is aquired. U27 decodes the CPU status
lines SO-52 to generate MRDCL, MWTCL, IORCL, IOWCL, the data bus
enable, and the data direction control signals. U28 is a latch
which is written before an off-board (master mode) access is
made. This latch holos the upper six bits of the MULTIBUS
address since the 8088 CPU has only 20 address lines, two of
which are used to decode the off-board request. U29-U31 drive
Hardware Operation 3-3
VM-88S1 Graphics Processor Manual
the MULIBUS address bus with 18 bits from the CPU and six bits
from U28. U32 buffers the data bus. The board supports only 8-
bit data transfers. Reier to section X.X to determine the
appropriate configuration of W7-W9 for a specific application.
US2 divides FCLK, the SO hHz master clock, by five to produce a
10 Mhz signal. This signal is buffered through U41 to drive
ThNMhZ to the SBX connector and BCLKL if W21 is closed. The
other half of U41 buffers various status bits to be read by the
local CPU. Bit 3 indicates the presence of an SBX module. Bit 2
connects to W23 but has no defined meaning at this time. Bit 1
reflects the state of LPENSW. Bit 0 is reserved for future
expansion.
3.3 - Scanner and Start Address Table (SAT)
The CRT9007, U36, produces sync, blanking, and other control
signals in addition to the addresses applied to the display RAM.
This programmable LSI device is oriented to alphanumeric displays
but is readily adapted to the high performance graphics in the
VM-88S1. The signal SCCLK, buffered by UII0, clocks U36 at a 640
nsec period. Each such period produces a new address which
ultimately provides 16 pixels to the display, that is, 40 nsec
per pixel. The low six bits of the video address bus, VAO-5,
address up to 64 such "characters", or 1024 pixels. The 8LO-2
lines form the next three bits of address, the three leqst
significant bits of the y-coordinate. These bits simply
1ncrement during each horizontal retrace. The first line at the
top of the screen, however, may load SL from an internal register
toe f f e c t sin g 1 eli n esc roll V A 6 - 1 2 for m the roe m a i n d e r 0 f the
y-coordinate for a total address range of 1024 x 1024.
At the beginning of each 8-line "window", U36 produces DRB and a
s p e cia 1 add res s fro man in t ern aIr e g i s t e r Th i s pro c e s s c au s e s
data from the start address table (SAT) to drive the VD bus. In
this way, U36 reads the SAT to determine the address in display
RAM of the next window. This address is a "character" address,
that is, it has a resolution of 16 x 8 pixels. The CPU writes to
the SAT to control which portion of RAH is displayed. Thus one
level of panning can be effected by simply modifying entries in
the SAT. As noted earlier, finer vertical pan (scroll) is done
by preloading the 8L address at the beginning of each frame.
Finer horizontal pan is acomplished by skewing tha sync and
blanking signals slightly. Refer to section X.X.
A two-power zoom operation is likewise performed at the character
level. UU36 increments the memory address on every other clock,
and 8L increments every other line. Further manipulation of
individual pixels for zoom operation is described in section X.X.
The signal LPENIN connects tfr U36 in order to latch the character
address at the time of light pen strobe. The CPU then reads the
address from U36. The sync outputs are "open drain" and may be
driven with external sync signals by wiring W11 and W12 pin 2 to
Hardware Operation 3-4
VM-88S1 Graphics Processor Manual
4.
sync
sync
This configuration causes U36 to synchronize to the external
source. CRD2 and CRD3 prevent conflicts between the two
drivers.
U38 is a programmable logic sequencer (FPLS) which arbitrates
access between the CPU, the scanner (U36), and the SAT (U39 &
U40). When the CPU asserts SCNRSL, U38, by leaving SCNRDYL high,
causes the CPU to wait until the scanner is not using the VA and
VD buses. U38 then enables the CPU to drive these buses through
U34 and U3S, producing SCNRDYL and the chip select for U36.
Likewise, when the CPU asserts STBLSL, U38 times the transaction
and produces the chip select and write enable to U39 and U40.
The scanner also reads the SAT. U38 determines this information
from DRB and VLT and produces the SAT chip select. Since the
scanner operates in real-time mode, it generally has higher
priority than the CPU.
U37 latches the sync and. blanking signals in two stages. The
first stage simply synchronizes them with the high-speed
operation of display kAM. The second stage is clocked by a phase
variable signal to effect horizontal pan. Before either stage
occurs, composite blanking, CBH, is combined with CPUBLANK in
UII3 and then fed through UII8. Refer to sheet 8 of the
schematics. By setting pin 9 of U68, UII8 shortens the unblanked
period to either 512 or 640 pixels as selected by the CPU. This
step is necessary because in panning, part of the last character
scanned is not displayed. The scanner, though, cannot assert CBH
before it has completed scanning a line.
UII7 combines horizontal and vertical sync to produce composite
sync. UIOS then buffers those signals and provides both
polarities to WII and WI2 for user selection.
3.4 - Display RAM Timing and Control
This section covers primarily the circuits shown on sheets 8 and
9 of the schematics.
U42 latches the CPU-generated signals AMWCL, RDL, and DISPL to
synchronize them with the display RAM arbitration circuit. This
synchronization avoids dynamic hazards. DISACCH also loops
through U42 again, producing DDISACCH which clocks U72. This
delay is neeaed because CAD6, which is latched by U72, is
produced from LAI, which in turn is latched by DISACCH. U42 also
synchronizes MADA8 and MADB8. These multiplexed address lines
are used with the optional 256K x 4 RAM chips. U48 produces
these lines as a function of CPU bank select, display bank
select, DISP/CPU, and ROW.
U 4 3 i sad u a I 4 - bit I a t c tl, e a c h h a I f h a v i n gAO - 3 a sin put s The
first latch is clocked on DISACCH to latch the four LSBs of
address on display RAl"1 access. These bit'S are called LAO-3 and
determine which pixel(s) in a group (character) of 16 is desired.
The same clock signal latches the other address lines in U71 and
Hardware Operation 3-5
VM-8851 Graphics Processor Manual
U72 (sheet II) and data in U44. These buses are latched so that,
on write operations, the CPU need not wait for the arbiter to
complete the operation.
U45 is a latch by chip select PZBSML. It is used to
control panning via PANO-3, display blanking via CPUBLANK, and
zoom via ZOOMB. The CPU must set these signals at the
appropriate time to avoid display glitches, generally during
vertical retrace.
NHBSLL clocks U46 to latch four different sets of control
Signals, each two bits wide. NO-I are nibble masks
applied to display RAE write operations. CPUBSO-l select which
of four possible 5I2K byte display RAN banks is used when the CPU
accesses display RAM. With 64K x 4 RAt--. chips, these bits should
always equal 00. DISPBSO-I likewise select which bank is
displayed and should equal 00 with 64K x 4 RAN chips. SYSMODEO-l
selects the display RAM configuration. Essentially, SYSMODEI
selects 64K x 4 or 256K x 4 (0 or I respectively), while SYSMODEO
selects lK x 512 organization or lK x lK organization (0 or 1
respectively). These signals are decoded along with other
address and timing signals by U48 to produce the multiplexed
address lines mentioned above, LS8, WRITEN, and CAD6. LS8 and
LS9 (from U47) select which set of look-up tables are used in the
output section. In lK x lK x 4 organization, denoted by SYSMODE
01 or 11 and PIXDEPTH=I), LS8 is driven by VA12SYNC so that when
the scanner addresses the second bank, it really receives data
from the first bank. The look-up table is conditioned to use the
upper nibble of data instead of the lower. In other modes, LS8
is simply a copy of LUT8. The exception occurs during blanking.
In that case, LS8 copies A9 so that the CPU can directly access
two sets of look-up tables. LS9 is always available to the CPU
to select different sets of look-up tables.
CAD6 is column address 6 of the display RAN. With 256K x 4
chips, CAD6 is driven by CPUBSlj with 64K x 4 chips, it is driven
by LAI for read operations. It is driven low for write
operations to provides multi-pixel writes with nibble mode write
cycles. Column address 6 is the least significant nibble address
wi th 64K x 4 nibble mode RAMs.
U47 and half of U68 are clocked by chip select signal ZHSRHSL.
DO maps to RSTSCNL which resets the scanner when low for greater
than '2.5 usec. Dl is latched to produce PIXDPTH which controls
the selection of LS8. D2 and D3 determine the LUT select signals
LUT8 and LS9. LUT8 is conditioned in U48 as described above to
generate L88. D4 is latched to drive MBINT, the general purpose
MULTIBUS interrupt. D5 produces signal ZZ which feeds back to
address decoder U9. The hardware reset signal, INIT2L, clears
U47, so ZZ is interrupted in U9 to enable selection of ROM at
address }'FFF:O, the 8088 reset vector. When ZZ is set high, this
address is treated as an off-board access. D6 is not used with
ZBSRHSL. D7 is latched by U68 to control horizontal blanking
width. A value of 0 selects 512 pixel width and 1 selects 640
pixels.
Hardware Operation
3-6
Graphics Processor Manual
U49 is an integrated 50 Mhz oscillator which produces the master
clock FCLK. U50, U51, U116, and UI20 produce various
divisions and phases of the master clock. These include QA-D
which represent the 16-pixel periods in each scanner clock cycle.
U58 is a programmable logic sequencer (FPLS) which functions as
the primary display RAM controller. Signals GRASO-3 connect to
the RA Sin put s 0 f f 0 u r ban k s 0 f dis pIa y 1<. A h The s e s i g n a 1 s are
generated by gating four signals from U5.8 and two from U54 in
U59. As with all the display RAM inputs, these are damped with
22 Ohm series resistors to minimize reflections. U54 then
produces CAb signals CAb02 and CASI3 in a regular pattern while
U58 varies the generation of RAS signals to achieve different
types of cycles: scanner read, CPU reaci, CPU write, and refresh.
Refresh is performed with CAS-before-RAS cycles during horizontal
sync. U58 also produces a function enable signal, which drives
U54 and U56 during CPU accesses, and DISPRDYH, which signals the
CPU when a display access operation can proceed. U54 also
produce&, SLATCH, which loads the display output; DAVL, which
lOads the CPU read. buffers U89-U92; ADLATCH, which synchronizes
input signals in U42 and final display RAM addresses in U73-76;
and SLANLATLh, which synchronizes the address coming from the
scanner in U68-70.
U 5 6 per for m s t h r e e fun c t ion s Fir s t, the s c ann e r c I 0 c k s i g n a 1 s
SCCLK, SBCLK( and SACLK generated by U56 are used in U38 to time
various scanner operations. These clocks must be altered in zoom
mode. Second, U56 synchronizes the transition of zoom mode, as
signalled by ZOOMH, to the scanner clock. Pin 19 is the
synchronized zoom output. Finally, U56 produces WCLK and WENL,
which are used in U57 to control the timing of write operations.
Horizontal pan at the pixel level originates in U55. SYNCLATCH
is generated at a fixed point in each character cycle to latch
valid sync and blanking signals from the scanner. DELYLATCH then
latches these signals again at a time determined by the PANO-3
inputs. The outputs on pins 20 and 19 are decoded by UI06 to
produce SEO-3 which enable the display output registers U77-80
onto the pixel data bus one at a time. U55 also produces the
signal DISP/CPU, to identify when CPU accesses may be performed,
and ROW, which selects between row and column addresses to the
.display RAN by enabling U69, U70, U71, or U72.
The second half of UI06 selects which data buffer of U89-92
enabled onto the CPU data bus during a display RAN read. This
operation is a function of LAO plus the XOR of LA2 and LA3, which
come from U119. The select outputs are enabled. by DAVL, which
also "freezes" the transparent latch data buffers.
U57 produces eight write enable signals to the display RAN, one
for each nibble of each of four banks. The outputs activated for
a given sri t e operation are a function of the mode bits M 0 - I , the
nibble mask bits NO-I, latched address bits LAO-I, and counter
bits QA and QB. The outputs are also conditioned by WENL and
Hardware Operation
3-7
VM-8851 Graphics Processor Manual
WelKe The various write modes are accomplished by a combination
of RAS generation, timing, and write enables.
3.5 - Display RAM
Most of the circuitry on sheets 11-13 has been described in the
previous subsection as the object of various control functions.
This subsection may, therefore, appear somewhat redundant but
provides some greater degree of detail.
The scanner adaress bus, VAO-12, is latched in U68, 69, and 70.
VA12 is applied to the display RAh only when 256K x 4 chips are
used. It is also applied to the output look-up table when pixel
depth equals four to select which nibble is mapped to the output.
SkC6 is latched from DISPBSI which must be 0 with 64K x 4 DRAM
chips, as this signal becomes the address bit incremented by
nibble moae operation. With 256K x 4 chips, DISPB&l may be
varied to display different portions of the display RAM. U71 and
U72 similarly latch ~ h CPU address. A18 is inverted so that the
CPU sees display RAM from 40000H to BFFFFH. The four LSBs of CPU
address drive control functions to selct pixels from a group of
16. U72 latches slighty later than U7l because CAD6 is produced
from signals latched at the same time as U7 L CAD6 is a special
address bit in the same way as DISPBSI in U70.
The signal ROW enables the low order address bits (U69 and U71)
when it is low. U113 inverts ROW to enable the high bits when
ROW is high. This transformation forms the row/column
multiplexor common in dynamic RAM controllers. U73-76 then
select between scanner address and CPU address based on DISP/CPU.
The same ICs also contain high-speed latches to minimize
variations in propagation delays relative to display RAM control
signals. Two memory address buses, MADAO-8 and MADBO-8, are used
to allow CPU access to two banks while the scanner accesses the
other. Each address bus has 22 Ohm series resistors to dampen
reflections.
U77-80 latch data read out from the RAM for display. that is,
data addressed by the scanner. SLATCH has a period of 80 nsec
ana latches 32 bits of data. Half of the data comes from the
memory being addresses by the CPU. In this way, only two of the
SEO-3 signals are used in one SLATCH period. The next SLATCH
latches data from the same memory banks. These banks have been
"CASed" to perform nibble mode access, so the same pair of SE
signals are activated again. As DISP/CPU changes, the bank usage
changes, and the opposite pair of SE signals are used.
In a similar manner U89-92 latch data being read by the CPU. In
this case only one of the signals SCO-3 is activated for a given
cycle. DISP?CPU and the accessed address determine which signal
is activated.
The display RAh itself consists of UBl-8S and U93-l00, 16 22-pin
SIPs containing 512 Kbytes of information using 64K x 4 devices
hardware Operation
3-8
V ~ 1 8 8 5 1 Graphics Processor Manual
or two hbytes using 256K x 4 devices. The chips are divided into
several different groupings for various functions. U81-88 are
addressed separately from U93-100 and drive a common 32-bit
output bus. RAS signals further divide these groups in half so
that, while on drives the lower 16 bits of the MD data bus, the
other drives the upper. All the odd numbered members are low-
nibble while evens are high-nibble. These members are
d iff ere n t i ate don 1 y by the w r i tee nab 1 e s Th e 8 - bit d a t a i n put
bus is common to all devices.
Both types of RAM devices are high-speed, supporting both nibble
mode access and CAS-before-RAS refresh. In nibble mode
operation, the CAS input is pulsed during the cycle to increment
the address, allowing high-speed access of up to four locations.
Unfortunately, the address bit.s which are effectively incremented
are not the least significant bits, nor are they the same bits
for the different types of devices. To minimize the impact of
this difference, the VM-8851 uses only one extra CAS pulse,
accessing two locations. With CAS-before-RAS refresh, an
internal counter is incremented and used as the row address
whenever RAS is activeated and CAS is already low.
3.6 - Output Section
Video output oata appears on SRO-7 and is latched in U61 (sheet
10) on each PDOT (40 nsec) cycle. During display time BLANKH is
low thus enabling U61 to drive the address inputs of the look-up
table (LUT), U63-65. The upper two bits of LUT address
select which of four possible mappings is used. LS9 is the
output of a latch which is set by the CPU. LS8 may also come
from the latch, but in some modes of operation it is derived from
the scan address. This alternative allows real-time selection of
a mapping which ignores part of the address data.
When BLANKL is low U61 is disabled and U62 is enabled.
This
configuration connects the CPU address bus to the LUT address
lines. The CPU data bu is also applied to the LUT data inputs.
U63 and U64 have a common write enable which is activated for
even addressed writes to the LUT. The write enable from U65 is
activated on odd addresses, using only four of the eight data
lines. This scheme allows fast loading of LUTs by a string move
command. LUTLLRL sets all LUT entries to zero so that non-
programmed value will always produce black. The CPU may force
activation of BLANK to ensure that long LUT programming sequences
are completed before blanking ends.
BLANK is delayed by the first half of U60 to synchronize it with
the dot clock (PDOTL). The second half of D60 stretches the
unblankeo period by one pixel. This transformation is necessary
because the blanking inputs of the video DACs are asynchronous at
the beginning of blanking.
Two video output options are available on the VM-8851, although
both may not be used simultaneously. U67 is a video DAC hybrid
Hardware Operation 3:- 9
VM-8851 Graphics Processor Manual
containing three 4-bit digital-to-analog converters ana three
buffers. Four bits from each LUT chip drive each DAC channel,
thus providing 4096 possible colors. U66 is an 8-bit monochrome
DAC which drives the green output, J8. The device employed here
is based on ELL technology and is powered "upside-down" with the
+5 Volt supply. That is, its -5 Volt supply pins are connected
to ground, while the ground pins are connected to +5 Volts. RP2
pulls up the TTL level signals to ensure that they exceed the
effective EeL levels. Both DACs are powered through an RC
network consisting of R3, C921, and C922 to eliminate digital
SWitching noise in the output. The data inputs of the monochrome
DACs are driven by the "red" and "green" LUT outputs. The two
bits which come from the "blue" LUT also drive reference white
ana 10% overbright inputs.
W13 is used to select sync options. The color outputs may have
sync on green or not, and likewise for the monochrome output.
Refer to the coniiguration section for configuration details.
Hardware Operation 3-10
VM-8851 Processor Manual
5 - Firmware Design Considerations
5.1 - Firmware Initialization
A hardware or "power up" reset cau'sies the CPU on the VM-8851 to
perform an instruction fetch from location FFFF:O. This location
in EPROM/PROM must contain a direct intersegment jump instruction
to the firmware initialization routine. This routine normally
includes initialization of the I/O ports. and CRT scanner chip,
clearing of display RAM, setting up of internal variables, and
initialization of the look-up tables (LUTs).
5.2 - Address Assignment
The following memory map defines the hardware locations of the

ROM 1 ( LMSOL)
0 0 0 0 0 x x x x x x x x x x x x x x x 0 - 7FFFR
ROM 2 (LMSIL)
0 0 0 0 1 x x x x x x x x x x x x x x x 8000H - FFFFli
or
1 1 1 1 1 1 1 1 x x x x x x x x x x x x FFFFO - FFF'FF
(641<. INTERACT only)
RAM 1 -
8K (LMS2 L)
0 0 1 1 0 0 o x x x x x x x x x x x x x 30000 - 31 FFF'
RAM 2 - 8K ( LMS3L)
0 0 1 1 0 0 1 x x x x x x x x x x x x x 32000 - 33FFF
Display RAM (low half)
o 1 x x x x x X X X X X X X X X X X X X 40000 - 7FFFF
(high half)
lOx x X x X x x x x X X X xx x x x x 80000 - BFFFF
Firmaware Design Considerations 5-1
VM-8851 Graphics Processor Manual
LUTs
(wr i te only)
0 0 1 1 1 0 0 0 0 0 x I---value coqe--I 0/11 38000
...
0
=
GGGG RRRR
-------
1
= 0000 BBBB
Scanner Chip
address to
0 0 1 1 1 0 0 0 0 1 x x I---scanner----I
38400
Start Aduress Table
0 0 1 1 1 0 0 0 1 o x x x x x x x x x x 38800
MSCO
0 0 1 1 1 0 0 1 0 0 x x x x x x x x x x 39000
MSCI
0 0 1 1 1 0 0 1 0 1 x x x x x x x x x x 39400
MODEL - write only
o 0 1 1 1 0 0 1 1 1 x x 1 0 0 0 I-mode-I 39C8x
mode is address activated - write any value
Modes : Si ng Ie pixel 0 0
Four pixel 0 0
From beginning of four 0 0
From end of four 0 0
Sixteen pixel 1 0
From beginning of 16 0 1
From end of 16 1 1
NMBSLL - write only
00111001 llxx 1001 xxxx

I s y sid i s pi CP U I I
ImodelbanklbauklNl NOI
0 0
0 1
1 0
1 1
0 1
0 1
0 1
39C90.
----- nibble mask
-
381 FF
- 384FF
- 388FF
-
-
system mode ------
display bank ----------- ----------- CPU access bank select
Firmaware Design Considerations 5-2
VM-8851 Graphics Processor ~ a n u a l
HREGI - write only
001 1 100 1 1 1 x x 1 010 x x x x
o = 512 x 512
1 = 640 x 480
Hard INIT - set
to 1 at power-up
MB INT
L89
LUT8
o = 4 bits per pixel
1 = 8 bits per pixel
Reset Scanner L
HR1G2 - write only
00111001 l1xx 1111 xxxx
39CAO
39CFO
~
I x I x I PAN
ZOOMH -----------
CPU BLANKL ---------------
PAN Value ------------------------
Set l;PU BLANKL low to access the LUTs. The PAN value gives the
number of pixels from the very first group of 16 to be displayed
at the beginning of each line.
ADRLCHL - wri te only
0 0 1 1 1 0 0 1 1 1 x x 1 0 1 1 x x x x 39CBO
PBCSO - read only
0 0 1 1 1 0 0 1 1 1 x x 1 0 1 0 x x x x 39CAO
PBCSI - write only
0 0 1 1 1 0 0 1 1 1 x x 1 1 0 1 x x x x 39CDO
Firmaware Design Considerations
5-3
VM-8851 Graphics Processor Manual
PBCS2 - write only
o 0 1 1 1001 l1xx 1100 xxxx 39CCO
PECS3 - read only
o 0 1 1 100 1 11xx 1001 xxxx 39C90
5.3 - Memory Organization
!" i e I d pro g ram m a b 1 e log i car ray (}' P LA) de vic e s dec 0 d e the a r ray
space for the VH-8851. Local memory is available on four JEDEC
sockets with the restriction of the first socket dedicated to
PROM/EPROM only and the fourth socket dedicated to RAM. RAMs are
limited to 8K x 8 static. Different configurations of local
memory are jumper selectable.
The tirst five bytes of PROM/EPROM in the first JEDEC socket must
appear at address FFFFOh to FFFF4H. Also the interrupt table
requires 1024 bytes reserved at addresses OOOOH to 003FFH.
5.4 - Display RAM Organization
The VM-8851 display RAM contains either 512 Kbytes or two Mbytes
depending on the size of the RAM chips used. The display RAM is
organized into one of four possible modes:
1 - A configuration of 1K x 512 pixels by eight bit-planes
results in a single buffer with 512 Kbytes and four buffers
with two Mbytes.
2 - Same hardware configuration as 1 allows two side-by-
side 512 x 512 x 8 buffers with 512 Kbytes or eight buffers
with two Mbytes.
3 - A configuration of 1K x lK pixels by four bit-planes
provides a single buffer with 512 Kbytes and four buffers
with two Mbytes.
Note: this mode uses
Refer to section 5.6
pr og rammi ng
a different LUT accessing scheme.
for more information about LUT
4 - A conliguration of 1K x lK pixels by eight bit-planes,
while illegal in the 512 Kbyte mode, provides two buffers
with two Mbytes.
Firmaware Design Considerations 5-4
VM-8851 Graphics Processor Manual
The SYSMODE bits in the NMbSLL hardware register enable switching
between these modes of organization. The following table
illustrates this method:
SYSMODE Display RAl'i Organization Number of LUTs
0 0 11<. x 512 x 8, 1 buffer 4
0 1 lK x 1 K x 4 , 1 buffer 2
1 0 11<. x 512 x 8, 4 buffers 4
1 1 lK x 1 K x 8, 2 buffers 4
or II<. x lK x 4, 4 buffers 2
Note that the high bit of SYSMODE is only valid with two Mbytes
of display RAM.
5.5 - Display RAM Access
Access to display RAM depends on display RAM organization,
referenced by a SYSMODE number. Section 5.4 correlates a SYSMODE
number to its appropriate display'RAM organization.
In S Y S MO DE 00, all bank bit s m us t e qua I 0 inN M B S L L. Ac c e sst 0
all addresses require no further hardware changes.
In SYSMODE 01, all bank bits must equal zero. To both scanner
and CPU, display RAM appears in aiK x 512 x 8 organization. The
low nibble of each byte is displayed in the top 512 lines of the
11<. x 11<. x 4 space, and the high nibble is displayed in the bottom
512 linei. Because the scanner reads a full byte of pixel data,
the look-up tables must be configured to ignore either the low 4
bits (bottom half) or the high four bits (top half). Top/Bottom
display information is supplied on LUT bank select O. Refer to
figure 5.1 for an illustration of this configuration.
SYSMOlJl:. 10 occurs only with two Mbytes. In this mode the CPU and
display banks occupy the same locations, with up to four banks
allowed. Re1,er to figure 5.2 for more information. Access all
addresses in any bank in this mode with no further hardware
modifications.
SYSMODE 11 allows either a 4-bit or 8-bit pixel. Bit 1 of
hardware register HREGI specifies the pixel depth. Bit 1 equal
to 0 flags the mode as IK x IK x 4. Bit 1 equal to 1 specifies
the mode as lK x lK x 8. The IK x lK x 4 mode mimics the
organization of SYSMODE 01 but with potentially four CPU and
display banks. In this configuration, the CPU and display banks
are identical. Refer to figure 5.3 for this configuration.
In the IK x lK x 8 mode, the references for the CPU and display
banks differ. Display bank 0 comprises CPU banks 0 and 1, while
display bank 1 holds CPU banks 2 and 3. Refer to figure 5.4.
Firmaware Design Considerations 5-5
VM-8851 Graphics Processor M.anual
LUTa = 0
1024 1---------1
LUTa = 1
1024
Figure 5.1
Display RAM Map for SYSMODE 01
5-:6
VM-8851 Graphics Processor Manual
Figure 5.2
2048
CPU or Display
Bank 0
Bank 1
Bank 2
Bank 3
1024
Display RAM Map for SYSMODE 10 5-7
VM-8851 Graphics Processor Manual
LUTS = 0
LUTS = 1
LUTS = 0
- - -,_ ... --
LUTS = 1
4096
LUTS = 0
--------
LUTS = 1
LUTS = 0

LUTS = 1
1024
Display/CPU Bank 0
Display/CPU Bank 1
Display/CPU Bank 2
o;J,aY/CPU Bank 3
Figure 5.3
Display RAM Mapfor,SYSMODE 11 (lKxlKx4) 5-8
VM-8851 Graphics Processor Manual
CPU Bank 0
i s p l ~ y Bank 0
CPU Bank 1
4096
CPU Bank 2
Display Bank 1
CPU Bank 3
1024
Figure 5.4
Display RAM Map for SYSMODE 11 (lKxlKx8) 5-9
VM-88S1 Graphics Processor Manual
The VM-b851 also supports several different mUltiple write modes
when writing to display RAM. Writing to a specific address
activates the hardware registers. The following table correlates
each address to its corresponding action:
Action
Single pixel write.
Four pixel write.
Address
39C80
39C81
39C82
39C83
39C85
Write from beginning of four-pixel boundary.
Write to end of four-pixel boundary.
Write to beginning of 16-pixel boundary
39C89
39C8D
in four-pixel groups.
16 pixel write.
Write to end of 16-pixel boundary
in four-pixel groups.
Note that multiple pixel writes do not acknowledge the four bit-
plane mode. Therefore, to write in these modes, set the nibble
mask appropriately as described below.
The VM-b851 has the ability to mask out
nibbles of the byte written to display RAM.
bits in the hardware location NMBSLL.
5.6 - LUT Programming
one or both of the
Set the nibble mask
The VM-8851 contains four banks of 256 word LUTs.
LUT bank appears as
An entry in a
I x I BIG I R I
where red (R) and green (G) reside in the bit whose least address
bit equals zero. To access the LUTs, assert CPU BLANK by
clearing the CPU BLANKL bit in HREG2. The LUT8 and L89 bits in
HREG1 control the bank selects of the LUTs. For all SYSMODEs.
except those with four pixel planes, LS9 is the high order bit
and LUT8 is the low order bit for the LUT bank select.
5.7 - Interrupt Structure
The 8088 CPU has lines available as signal interrupts (INTR and
NMI). On the VM-8851, the interrupt controller, U4, filters up
to seven level-sensitive interrupts on IRO to IR4, SINT, and
PBINT1, placing the appropriate vector on the bus. All seven
interrupts can be enabled or disabled by setting or clearing the
CPUIF flag. The interrupt controller drives lines DO to D7. The
interrupt table is located between OOOOH and 03FFH in the CPU
local memory. Line D7 always remains high, while lines DO-D6
Firmware Design Considerations 5-10
VM-8851 Processor Manual
represent the seven interrupts. The CPU will fetch the interrupt
vector from the 512-byte contiguous block in the upper half of
the interrupt table. The 5 1 2 - b y t e b I 0 c k m u s t contain the seven
4-byte interrupt vectors for IRO to IR4, SINT, and PBINT1. Place
the 4-byte pointer to the NMI service routine at location 0008R.
Refer to the Intel 8088 user's manual for more 8088 interrupt
handling details.
Firmware Design Considerations 5-11
VM-88SI Graphics Processor Manual
Document Number
VM2001-0UOI-06
VMIOI8-1101-00
A lo'N - 0 I 20 U t,;- I
Appendix A
Appendix A
Related Documents
.!2escription
INTERACT Graphics Language Manual
VH-88SI Specification Sheet
Intel iAPx-8088 User's Manual
A-I
VM-8851 Graphics Processor Manual
Appendix B1
Appendix B1
Schematics
B-1
D
[
B
R
B
48
II
21
21
16
NOTE:
CI
UI
L311
_ .2
JIffEL
CII
UII
L23
7ASl31
T.I.
U21
L2S
74AS248
T.!.
1131
L2S
7011S'"
T.!.
41
041
L2S
74A52"
T.r.
[51
USI
l23 .
74F183
f'AIROiILD
II
16
21
II
21
I'
16
II
16
C
U2
L2.
.,..
IIffEL
C12
7
UJ2
L23
7oV1.5138
T.I.
C2
U22
lOS
74A557S
T.I.
U32
L2S
7MISIU'
T.I.
042
lO3
7(f174
FAIRCHILD
US2
U3
7*16)
FAIRCHILD
1. A..L CRPACIT(Jt5 ARE .22uf 2SV CERAMIC DEtotrLlNi lYPE,
IN.SS onRVISE SPEClFrEO.
8
I.
I'
21
[3
U3
L2S 82 _
IIffEL


_ UI3
L25
825153
516

L27
I'
PAL28LI
Ittl
[33
U33
L22
7 ..... SU
FAI"OtlLD
043
L27
,. .... 587.
T.r.
12
6
6
21 04
US
825153
5JG

'-I..... -2l
_ Ul"
US
7oA..52".
T. I.

L27
PAL2B..11
Ittl

l2S
21
7.u1.52U
T. I.
c..
04'
lOS
7 ..... SS7.
T.I.

I'

L25
PALl6R8A
Ittl
[92'
L62
22<1
IllY
PAN
5
21
18
21
[5
us
L2S
7""-557'
T.I.
CIS
UIS
U4
67..,
Ittl
C25
U2S
L25
B2S1S3
SIS
I'
II

I.l!! l25
16
2'
5
nfl.S2.tS
1.1.
(45
045
L23
7 ..... 517.
T.!.
[55
US5
L27
PAL28ft8A
Itt I
<925
L62
22uf
IIY
PIIN

12
21
18
21
21
21
cs
us
l2S
7.ut.SS73
T. I.
CI6
UI6
L24
67482
,"n
C26
U26
L2S
828.
INTEL
U36
L31
CRT1lI87
SIt[
(016
C58
US6
L25
f"RL16R8A
Itt I
(926
LS2
22uf
IllY
PIIN
4
II
II
II
Ie
18
2.
16
U7
L29
74LS75
T.l.
(17
UI7
L24
67..,
Ittl
U27
L2S
8288
INTEL
C37
U37
L27
'.R.5IU
T. I.
(47
U47
L23
"""517'
Tor.
12
II
12

L27
f'IIL28I!8A
Ittl
[927
L62
22uf
IllY
p,..
3
18
2'
24
3
U8
L2S
74flLS2oI6
1.1.
CI8
UI8
L24
67..,
""I
[28
U28
L25
74ALSsn
T.I.
U38
L2S
825159
51G
(48
U48
L27
PIIL2IL1IA
""I
(58
U58
L27
825167
5IG
II
18
I'
12
12
....... -
2
28 U9
L25
f'IILIIL8
,.41
-C ...
Ul.
L25
PA.11L8
21
28
16
I.
..
UI9
L25
74flLS244
T.I.
[29
U29
L2S
,.cASSU
T.I.
U39
L23
A'19112C
RIll
U4'
LllS
KIII4fl
5IlItHZ OS[
ItOTIIIDLR
CSt
US9
L22
74f_
F'FHRCHllD
II
21
I.
21
18
16
21
14
1"41
C3I
U21
L25
701AL52"
T. t.
5
U3I
L2S
74flSS88
T.I.
C4I
U48
L23
1149112C
RIll
(58
USB
L25
74flS574
T. t.
UBI
L22
7W1.
FAIRCHILD
I'
,.......,.ItIICDrINtIIII ...... IllfKHfJM.....wn ........
..-r 1E1lUM'''b8l I. tllll"aBIL l1li111 PIItT_ ... 1M11 _TIIO: .. .
......::m lilt UIBIVI1MIII' n.1II'I!aI ..... r.ICIf ... M tIIrMI'.
VermonT Mlc,..osysTerns Inc
111111"".1'.1. __ V'I ......
II
II
II
I-="""=:: ... =-:: .. TITLE
-
..,.. '-:IP.tI!II
H.JIJES
2
VMI018 - 0101 - BB
.... .. I'
D
c
B
A
D
(
B
A
8
21
21
21
an
UBI
l2S
70A5570
T.t.
II
1171
l25
7MLS570
T.I.
II
UBI
132
13
til
1111
1.25
7WS73
T.t.
12
UIII
L2I
271211
27256
INTEL
Rfl
LOS
2.2K_
II
22
II
L:>. -DENOTESEJlH.t.<&4II640:X4_
8
2
21
I.
7
7M1.5574
T.t.
II
un
l32
13
12
UIII2
12I
271281
27256/
82S4
INTfl.lHlT
14
Rf2
L4B
751_
7
..
16
22
II
21
IllS
127
""'158
RIll
II
1173
1.23
76399
fAtllOtlLD
II
UI3
132
13
U93
132
13
UIIl
12I
271211
27256/
82S4
INTEI.IItIT
CII3
U1I3
L22
"FIJII
FAIRCHIUI
0.B.9.1I
J6
LV
COAX
ItALCD
12
22
6
16
21
6
lIU
127
MI58
IIID
II
1170
1.23
76399
fAIJIOtILD
II
UU
132
13
U94
132
13
UI..
L21
6264
HIT
J6
L91
COAX
JR.CD
5
16
22
5
1115
127
l1li158
RIll
II
J:7S
U7S
1.23
70F399
FAlftCttILD
II
U85
132
U9S
l32
13
tllS
UIIS
l21
75158
T.I.
J7
LV
COAX
RCO
18
12
16
22
16
II
U7II
1.23
70F399
FAlftOtlLD
II
UIl6
132
13
U96
132
13
tll&
UI88
1.23
7"FI39
FAIROfIl.Q
tll6
U116
l22
7"1.
FAIRCH)LD
3
4.9
JB
LV
COAX
RCO
II
4
4
2
..
22
22
21
u
II
12
U87
l32
U97
l32
13
tll7
UII7
l22
701LSI''
T.I.
4.5.6.8.11
tll7
U117
L22
7<FII
FAIRCHILD
3.4
7.B
J9
LV
COAX
JR.CO
II
3
12
21
II
22
22
u
28
3
U68
l22
7onO
FAIR:HIUI
.11
U7II
l25
7AASS7'
T.I.
12
UII
l32
U!l8
l32
UI88
l22
7"lSI"
T.I.
U118
L2S
PALt6RS
""I
22
22
II
2'
2.
2
UII9
l25
7_0
T.I.
II
1179
l25
7.aASS74
T.I.
12
tl9
UII9
l25
74AS573
T.I.
12
U99
l32
13
tll9
UII9
l22
7 ...
4.6
0.5
U119
L22
7"'86
FAIRCHILD
2
5.8.9
II
II
22
21
21
2.
u
t78
U78
l2S
7.aALS57'
T.I.
II
12
...
l2S
74AS513
T. I.
12
UIII
l32
13
UII.
l22
7<F"
FAIRCHILD
6
5.6.7.8
U121
L22
7<FfIII
T.I.
.... hl"'llMltl .. CDmU'" '11"01 IS lIe..-an,. "IIIMIft'
..,. ..... JffJlD8t ...... rlIEII:I.lICI .. rarrRllrl 1IUS"'II5. _
____ .LllmIl11lGll ....... I'IIIII.lCII .. MaIIMft'.
Vermont Mlcro5ystams Inc.
_IIIDI SIa!# r.o. __ II_I. VT........
II
I.
I'
22
i--==,==.:lI:::.":=__ TITLE __ ____ _
..--
..... SPltD'1111
Il0l+1-....
.111 +1-
............
WI
VHI018 - 0101 - 00
H.JONES
2
D
(
B
A
8
I
7
I
6
I
5
I
"
I
3
I
2
I
I
0 0
CONNECTOR PI CONNECTOR P2 CONNECTOR J3
[me. ISIX OJrlU__ 291- )
r-- ..---
r--;;2
.--- .---
PI PI

J3
-!- f- J f- L .12'1
I -12'1
2
- 3 .sv
4
.sv L L
_sv 4 I--

5 6
L L [Hlntl"
5 TEPltiZIBI
6
7 _12'1 8 .12'1 L L A2141
7 HPSTl61 8
L .!!... L .!!... AII41
9
.!!...
.l! f- ...! !!.. .!L All') II 12 MINTRJ I ...
13 IIIlJQ.III 14 INITLI<I ll. ll. IQIIRTLI I 13 14
HIIORI'"
15
-.111 RIL161
16 .!. l.. IOIUIL I I 15 16 MllftITLI41
1II51L111
17 1llElL161
18
.!L. .!!.
- fl1. r--
I88ICL151 III
19
""TCl151111
28 01141
19 tt:5tL1141 2111
11IIOCI.151111 21 IDllCLI51161
22
1L 06141
21 tlSOJU4J 22
lIIIIXLI41151
23
a ll. a OSl41
23 a
C

25
C
0.141
l... I11III.1.181
28
l... 03101
27

1B8.111 29 1IIIIL1I161
38
E!.. D2101
29
E!..
CCUCLII
31 1IIIIL12111
32
!!... B... DIIOI
31
B...
ADRll3161
34
DBIOI 33
35
JHlt.ar'l
36 IHTL7(.n
-


37 IIOUI41 38
INTUiIOI !L,
L--- '-----
39
JNTL.21'1
48

,
IHlUIOI
41
INTUIOI
42
INlLIlOI &. B.
__ EIII 43
AlIU.FI61
44 Q,
-.elll
4S
AII\IJIIII
46

- AlIUIIII
47
AllUI61
48
.
I----
IIlILIIII
49 __ 9111 58
!.
1ItIL8111
51
1IIIIL7161
52
ll. .& CONNECTOR J4
1IlIL4111
53
-..sIll
54
& a
1IlIL2161
55 fQOL3161 56 1OIL16I61
55 ADRl.17I61
56
-
57 58 57 58
..... 1.1
__ 1181
lOlL 1181 ADRL151_1 J4
LPENINm
59
I LPENSWI 1
& '--- - L
!i.
3
Ll'I!NINI7I
.. ..
4
1IITL6111
67
1lATl.71i11
68 .& .sv 5
B 69 78
6 B
1IITL41i11 DATLSI61
.12'1
1lATl.2111
71
IlATL3I1I
72 L-.-
111TL1161
73
DATLIl61
74
.2l!I-
.2
f-
?L 1!.
79
-12'1
88
-12'1
81 82
83 84

'-----
,
'-- ,.,.
-
I--
A A
.. ...-, ... ramu_ .........101 I. ,.......-n ,. .....,., .......,.....
..... _IIdMflDe 'NCIII"IlIDCL __ ,..,1 .. " OOS ..... hlilllt.
--.aD. waI WlMIIt H .... .-..,11. If' tHE mNIfI'.
Vgrmont HI Inc.
.... .,.... '.111. __ V_I. Yr, .......
B851 GRAPHI CS PROCESSOR
'0t::JD
........... TITLE
,- CONNECT/ ONS
..,...et1P11D
.XIIII 1 SCILE 1--
H. .JlHS b"",...
I VHlil18 - 1I1i11 - iIiI
. D ".lNr
--.,., I-
I I
-
! . I'
8
I
7
I
6
I
5
I
4
I
3
I
2
I
I
D
C
8
A
B
ZZIII
01_191
P1l91
1lElGL111
IIDLI3I
IHITLIII
_ITL"I
SlllllllYLI71
ttSlIIt:I71
INTLIII
INTILIII
1NT2L131
1IITlL131
INTG.III
INTSLI3I
IIIHLI3I
umLI31
1'I1NT2ISI
"INTII)
"1Nl1II131
"INTlIIIII
SINTI7I
I'IINTIISI
B
7 6
I" _ 7.

Iipi'
5 4 3 2
r-----------------------------------------------------------------------------------------------------------__________________________ au1CII'

S2LIII
SILlII
511.111
r - II _,II
Ifu.

!IRIIr It-----------------------------------------------""T------------------Hf_-------- RDLI7I 'I' 1141


SI lIRIIt ",.a.17111'
RZ
I ." CU ) UtS:LrUI
t--l-=U3 i ..

A IS as UlS!LII"
::' I:;
17 I 11284 11K
,.g. '--ur
r-- 33 _I _ I :1
I'
REAllY 5 :: I
II RESET Ill" I T ADS I
_I
ADI
1111

A<19'lih (3J (5J (7J (BI (JIIIJ


I PlLIILI (llJU4J

ItIL 12 112 UITIOGVLllIl
13 D3 I 5TIL5L(71
14 1M IS 5OIItSLI7I

I
LGiI7
____ ________ 4
::7 I
A9
All
All
AI2 '
I'AII
1'A12
7 573
2 11 LUTIVLU.I
I IS lIB I
H
Ail B IS as 14
AS 17 IfI f1L
UIIli
All 2
::: J9
All
Al7

!'AIS
I ::
.-i-r-i

ID
4
.. 7 ... 1.

..1 J
::; 3S
RZ2S
111
19
lall
9
A8 I.
= II . ItODEL'I'
4Q I 'IT 14 .... SLLIII
sa IS AI2 l! II ZIISIOtSLI8I
sa 14 II t--ii-+"" AIIRLOtLIBI
PAil
PAI2 B
PAIl
12 7 ....
13 III
112
:
U'.
: .
I:
:i!
UI ..
7

Ulft
4
:J:
II
3 I.
I
-.L.
I 1R2
2 1M
.lr.
U' ....!...-
7
I II INTR S2I. I
*'- :t
w
!LoUl
.... 2
7Q I I B 'Vi I'IWISI
sa I AIS A '\'! l'IesliSI
At. 8
PAIS
,.EillL
l
__________
PAl 2Q I
AI 6 3Q I 18
I 4Q
I
L-J,!.Fm
'----ur

UII T7 PZB5ItL 'II
'-=-

.4- 1:'211 1I STROLISI lUlL S =r 'IT U 1'11:53151
nt-t- GI ,,\ I'IICS1ISI
EEE: iw.:
'VI ____ una.Rlllll

PA<19: 8, (6J
!'!-S14
IHlmllllOI
1
S
7'!oSI. 8
L-.l!'-11i D.)_,.!!...------+----------------------------+-l+-----------I--------------------------------------------------_+---------- IHIT2LISI II' 171 18' '91

...
ADI
RII3
'--
3 A2 B2 I 01
4 AI 81
5 A4 .. IS OJ
6. AS as 14 D
AI III I
, : D
I
3
7
rmm-
II 'III II INTR
8 II III
12 II II 01
'G;".I 13 B2
I. lIS I 0
.. 14 D4
as I
II lIB II
IS 87
2



0<7 :Il> (3J [51 (5J [7] (81
[11111 1121 (14J
INTAL r- 17 4
6 5 4 3
M IJIIIIIIM_CDmtI ... _MUOIIIM..am .. _
II5f INtmII_ .. ClllFIIEICI ..... I'CIt'I'hII .. 'nilS 111111....
....... .-vlnan 'hC .... NIfIM",1If'
VQrmont HI crosystems Inc:.
_ .DlIIMEI . 0. __ II_I. n .........
f-===,.:::::::...::-= .. ;.----l TITLE ____ ________ __
1In"-:'"
VH101B - 0101 - 00

D
c
B
R
o
(
B
A
8
1II'lL7101
loo::Lr.t1
IIIO..JJ..IJI
1If'II/I.131
UUT2LIA.1
sal ..,
SIU",
sa..1"
CPUO.KIOI
(FBtItH'4'
0<7:Il'141
1OI.DL141
PA<19:B.141
B
L.PEM5w131
tW'S1 [3'
RPlL4'<l1
RPI..UUI
5lRlLW
FQ.K191
RPUL8"1
AO<7:Il,141
7
ll- V72
.
.!.
l .. J 2

... 2
jV23
I
74f161

1lBi#
-+PI Dlr#--
:=t: P2 02 i#--
P3 Q3 rll-
PO

PE

7
6
qJ
0 W'RI!
BY
0 RQST =1
18 r-...v
5
I
19
I
1<
! YSB/IIESB

1126
l
7
lM
2
llIVr
l.K ALE
S EN IIEH
DT/IL -
1127
U28
r 2 10 IQ 12
I
;::1'
D3 5 .a IS
DO 6 ." so 16
D7 9
II
on
so
70 1
IICI I.
rL-
74AS2-4B

OJ
2112 m D2
IS 2fI! m s DI
17 2110 m 3 r
"Z
-+
IRI m 4}
IA2 m ----r- 103 m B lAO m 12
..........L. 11:
'--u.;-
I.

6
5 4
PAl6 2

II
PRI [l 001 18
S
[2 D02
II
[3 DD3 16
6 r4 00" 15
II
[5 oos 1"
9
[6 006 13
n 001 12 7
I
II

PRI 2 RlRlI
PR It DOl 18 FIlIL
PRut 0 12 002 1 AlILA
1 [3 DD3 I AlRlB
PAt} 6 14 004 15 RlRlC
PRI 15 oos 14 AlRlD
RI [6 D06 I RlRlE
PAHi
17 D01 12 RlRlF
I
I


AD. 2
ADI 3
AD. S
12 002 1
r3 003 16
ADO e It 004 15
A IS 005 14
AD6 B [6 (1)6 13
A rJ.7 007 I
I
II
'-----u3r-
[filLS
AOI 2 1 82 17 [filL I
ADI 2 83 16 [fITL2
RD 3 eo lL
AD. 0 as .. [filL'
AD. 6 S BS 13 [filLS
AD B7
[fI
ADS B 7 B8 II [fIlL7
AD7 9 a
[R

U32
5 4
3
... V8
I .
2
..
I . 2
1
V9
3
--.-
SPROL 131
BUSYLI3)
8/lEQLl31
(BRa!.. I])
"ROCl131 151
",nn131 (51
IOReL (31151
loml311S1
ADRL<l7:Il, 131 lSI
HR5I<21S1
HRSI(1151

DATL<7:Il' 131 lSI
M camllfe HEJIIEIII .. lUI IS THt: fWI'OTf II' VEJIIllIf1' IItlJIOSl'ITDL
ItJST .: IIIlImUNID 'M Qllf'hENCI. l1li lID I'IIItTrlll II' lMIS IlllllIIii ...
--..aD III .. unan M 'DIU"' TIt[ taftIIft'.
Varmont M I cros:ys+liitms Inc.

I-=.... =,,;...-":.a= ... =---! TITLE
B851 GRAPHICS PROCESSOR
_":D'1111
SCILE
VMIIlIB - Illlli - Illl
.XI ,,-.ar
2
o
B
R
o
(
B
R
8
5CCUC111
,...,CLI4'
AlLI .. ,
0151'1.141
fIllAlCH '"
RPUl614'
INIT21..1,U
MOOEL14'
R<l9:1iI> [41
De7:1iI> '41
PZ851<L141
(ltil71
NMIISLLI4I
DISP/CPUI9t
RQV'91
YAI2SYNCIlII
ZHSAtiSL '4'
B
7
II a I.
oHfjr
o 1 0
II 8
U68
6
3 10 lD :2
4 20 2Q
6303Q 7
11 4D 4Q
13 51) 50
IS 14 BD 8Q
1 1lJI


II
Jl:t
2 101 22 ...
102 I HI
23 le 103 2' M2
l 101 104 1 ..
1 102 201 UlI
R2 103 202 I I
A3 6 lD" 2Q3 16 lA2
AI 8
I 211'
A2


I i:lD4
13 m..
1 "",.

'----uA3"-
rx
DO 3 2 10 lQ
Dl
2D 211 5
D3 11
311 311 ,.
40 4Q
D4 13 51) 50 12 CPlJIL_
[I; 14 60 so 15
I t:IJ!

6
LG;'.,

011 2 ID 19 He 10
1

4
""
311 ,.
D3 S ..,
SIl
4Q 1
m :u
B 0 7Q 13
D7

EIQ 12 I
r=lr e:LK

'u.r-
011 3

10 10 2
1
20 20
8 !!D lQ
D3 1 40 '0
,.
o. J3 SIl SO 12
[I; 14 SO sa 15
1 1lJI

L..-!!.!L
6
5 4 3 2
o
RP7

2oh. II "'OAS MAOA(B,0>[131
RPS
9 2oh. I. "'OBS
MADB(8:0> [131
II AOVWSYNC: 191
CI"LltOSYN:191
I
?<IF...
OISA(QH911111 3

UII.
DDISR:(HU II
74F86 7"118
U119 8
M<3:0> 191
LA<3:0> 191
(
PRLJ6RBR
I
2 II
3 [2
II lr 01 19 BLFfRSYN:l17)
UII8
f-.P- U"
DI 2 10 10
"
ODA'
00<7:." 1131 01 0 20
[B 001
02
30 17 002
D3 5 0 .0 1 0D3
O. S 0 SO IS DO.
DS 7 0 .0 14 DDS
O. B 0 70 13 o.
07
0 80 12 007
1
II CLK

-
PAN(3 :0> 191
ZOrf4H191
4 ,.-
5 113 6 B
OISPBSI [111
Heigl
Nll91
I Il 01 22 CRD61 11 I
2 I2 02 21 LS81181
13 03 21

U a4 1
IS
WRITENI91
SYSHOOEI 6
,. DS 17
17
IB
SYSMOOEI

,.
LUTe II III
AI II 111
A.
Il2
14 Il3
IS 114 RSTSCN..(7] I. I1S
I liS lS911Bl LAI IB 117 "SINTl.)
ZZ'41
A
M!' M'OMIfUIl atmIllaI IIItIfOl IS M I'WI'fJITT 1If'''''''' 1I10IIIITsme.
IlIS1 1III111T_CW:O III Dll'IDEllCl. IIMI NIl I'IIITI .. " TMI5 IIIWtMli .....
--.aD III illlllllllMIlr M b'IESi ,D.UIIIIII Of M CDI'MY.
VermonT M I cro5ys:1'alYls Inc.
_ .,U.IiI'MlT. P.o. _ _ IIDIIII8:I. 'IT, ..........
"""'.-
BSS 1 GRAPH' CS PROCESSOR lDIZII.ll"_ TITLE
VMI018 - 0101 - illil

5 4 3
o
c
8
R
8
'ImNIII
ZlDt<111
Jill ...
-
7
_____
6 5 4

PRN,]:II> (81
INlT2I.141
3
16It1lR
I
--==-rust- II 02 1
4
12 03 J
13 04 16
7
:: :: 4t-
II D7 3
8 17 DB 12
11
18
.b
I
,....l!!!!L
01 22
IIA 11 02 1
Il8
12 03 21
"'I
13
g: 18 14
."'2 B 15 118 17
P"', 7 16
D7f1t ac 17 DBI
18
I. 19
11 11.

'---uss--
2
fCUCIBI
ftDOTLll11
r"D01(lll
P1141171
5Co...l((71 1111
580..1(11)
SAO..KI71
Dl YLA1CH 171
I J
SYNCLRTCH 171

I 1; 'VI' SEI1l21
2 IA YT 5 SElI121
18 '\'2 5E21121
UI16 n
SE31l2J
II
o ISP/CPUUII1111
RDWIBIIlIl
,-------------------t--+-1I-------------+-+-------------------- 0I5I"RDYHI<I'
8
H57NC,71
NI'I'
Hl181
7 6 5
1LA3
LA2
LAI
NO
H'
IIA
DB
l/ENL
3
12 7..re6
13 U1I9 11
I 22 01
21 [I Q2
3 12 Q3 2"
4 13 Q4 19
[4 OS I
8 [5 118 I
7 16 07 16
17 DB I
[8
[ [9
11 lI.
14 III
13 11!'
'---us;-
UO"
I
_.-
I
3
5
7
15
14
13
I
3
,
S
1-'-________ GRAS3I131
4 \-'-________ r;RRS21131
B 1-"-________ GRA5I1I31
8
IrL--
f-"---------- GRASa"
74F139
X 'VI
2A YT
2. '\'2
UI86 YJ
RPla
22_
RP7
2201>.
12
11
II
9
5[1111121
SClll21
5(21121
5(31121
2
4
2
4

B
'1:======== WHlFHJ31 l-i WHaul3l
tt======== WLlIHI31 r IILIAI)3)

1 ch.. 2
3 ,
6
7
'oIHIBI131
WHOOl131
WllBI131
WLlilHI31
M bl'llllnltlM awraJ.., ....... litHO! II 1M[ I'III'nTl' lIP .... IM IUCJIIIY5TfJL
11m .: MI,MTllnaI 'II c:DFUIOU ... lID 1'CIn'.1II .. THIIi -"'15 "'"
.......::Ell III LIIID 1IITIIIlI' ltC 0Pe.s I'DIfI&lCII OF Tt CDNII'I.
Varmon't Mlcrosys't",ms Inc .
.. IIUII nBT. ',0 ... _ 1IQIIII8C1. n.
t-="""=::",'::'::U=-:---I TITLE
8851 GRRPHI CS PROCESSOR
TIMING RNO CONTROL
","-;IP1!D
.m.
VM101B - 0101 - 00
.XI tI.JDHES
"
2
0
C
B
R
o
-
c
-
B
-
A
8
raon.lIl
SR<7,11I> 1121
PIIITIiI
LUTCLJIL 141
L.UTIIG1I.I4I
1IPIL7141
A<19,1i/> [41
1Ulll<171
UIIII
LSI III
0<7,1> [41
WTIIILI4I
CIIII'5\'NCH171
CCII'SYNCLI7I
8
I
BlIIICL
AI
AI
4
AI
7
-
!!!illL
I ...
.74f74
I
L-___ D I
__________

&
I
7
I
6
I
6
I
I
OS
114
115
D7
I
D2
5
5
I
4

21 bo
23
I
9
II
12
13
3
I
AH83IIIE
19 NC
18 N
RI
ral R2

.... 18 1M '
L--- .1
I
29 F.VH.
1 11l0.B.
k
VID.OUT
L----,,\J66..---....I
21
r-"iiii4"
91S1
23 I
16
21
17
21
QlItl
D211
11919
1M 14
-

91S1
22 I
I
IS
I
17
8
Q1 1.
D21
11913
1M 14
L---
SI
&1
'"
BI
81
82
B3
II
I
I
IS
4
II<
YNC
I
.sv
,
R3
.-II
Vermont HI crosystems Inc .
DlITIII'I' ...... __ II_I.n .......

VIDEO
WT
R
VIDEO
OUT
1--=-=: ... =:....==:-;: .. =--1 TITLE __ -=B"'8"'5.:.I-'b::.R"'A::..P"'H"'!C:S'-'-P"'RO::;C:E=S"'S"'OR"-____ _
... _..... LUTS a. OACS
.ID ftIo..... saLE 1--
I-"' . b,n:r--R&-.-+-I-""'- .. ---.--- .........-ll VHI018 - 0101 - 01i1
....... , II

I
4
I
3
I
2
o
C
I---
B
I---
A
o
c
B
A
8
RP\I.6141
5OU.AT01111
VA,12:8,171
SLlI7J
51..117)
lI0II111
51.2171
DlftSII.1
A,19:Il,141
0ISAC01181
CIIl6111
OOISACCHI81
IIllRTOtl91
DJSP/CPUI9)
7
7"""
::h:EY-
AlB
6
4 J 0 S
I J:
VAl D
11 B
U68
U69
-
2 10 10
VAl
20
VA2 4 1Il
VAl ..
I .... sa
6Q
8 70
IIs(tL

10 l'
VAS 20
VA? 4 3Q 17
V .. 1
sa
V II 6Q 4
I 0 70 I.
VAll IlQ
I
I<
'---
U71
A4 2 10
".
20 1
3Q
.. I
AI 8 Ion sa IS
1 8
60
0 70 I
11 9 IlQ
I
11
-
U72
AI2 2 10 10 I.
I 20 I
AI' 4 1Il 17
Al 5 .. I.
A 6 sa )5
AI7 7 6Q 14
8 70 I

IlQI
11 1 <
I
'---
,
!G,v
6
5
19 SRC8

1
I lin
QB 7 17 SRC2 3
16 SIIC' 14 lID
IS SRU .. UA ac II 5
I' SllCS III
l' SllC6 12 tlC go IS 7
I
9 IlD

Ir.-.,
I
6 lIS
11 tIC os 1 3
4 lID
.. .. lUI QC.I 5
III
12 IlC QD IS 7
13 110
I


I
I QB 7
14 1111
.. rtA QC 11' 5
12 go 15 7
[to
I


I
S I ..
II IIiI: Q8 1

14 II!Il
5 4 IlA QC IB
SRCS 5 ItO
SR(6 12 I It 00 15 7
SRC7 110
I
'----o1ir-
5 4
3
"ADAI
4 MADIll
6 14AllA2

......
IlL-
MAD114
,
-

MADII.
8 "AlII7
"'--
"AD81
,
"AD81
8 ""082
8 MAD8.
"'--
HAlE"
4 HAceS
6 HAree

HR[IH
"'--
3
....... -
2
VAl2.SYNC 181
MAOA,S:Il. 11211131
MADB,S:Il. 1121 1131
""' ....... l1li CDlTall_ .... WilDt IS TIC flfllaGfl' NWIIII1'STDII.
tl8'I III'KlAIIGI 'N OWIDDU:. IIG .. I"/II1'llII "'U, tllNllli lin'
.......:.m III laD ftC DPIEIJ HJlQIII .. fIT 1lC CIII'AIfI'.
VGtrmont M I cros;yst&;"ms; Inc.
1M IIIIN nMZl. II1II Dllloa::s:l. n ........a.
8851 GRAPHI CS PROCESSOR
1- ..... _""""""=.-:: .... = ... :---1 f ITlE
RAM ADD GENERATOR
lCf.rctl"lm
VMIIl18 - 1l11l1 - Illl
H.J()4ES
11 14
2
o
c
B
A
8
I
7
I
6
I
5
I
4
I
3
I
2
I
I
,
0 0
SR<7:0> 1101
l1li 19 SM l1li SM 1101& 2 19 SR8 1012' 2 19 SRI
HD<31 > 1131 10 10 ID UI
1101 31 I I 1109
:1
SRI 1101
2D 18 SRI 10125 3 2D 18
1102 A 3D SR2 11011 A SR2 11011 A 3D 17 SR2 10121
0 30 17 SR2
4Q 4Q 11019 4Q 1 5
'0
I
-
511 1 511 SR4 sa IS SRA 10121 & 0 5D I
ID ID I ID 14 10129 6D 14
IIl&
7D I SRI 11014
0 7D 13
0 70 13 SR& 101. I 0 70 13 SRI
- - 9 ID 1101 ID 1m3 ID I 1 9 80 12
Bill I I
SJlTOIIII
I
11 11 11 I<

'---;u--
SEI III

sa III
( C
0<7:11> 141
-

1--
MOl 2 DI "DB 2 10 lQ 19 01 1011& 2 JD 11:1 19 011 .02. 2 D 11:1 19 DB
I4DI 20 1 I 20 0 1101 3 20 18 01 10125 3 2D 18
MD2 A 3D I D2 1tD18 A 3D 17 D2 10018
3D 17 D2 11021

3D 17 D2
4Q 1 4Q 19 40 1& 03 lOll 40 1
-
511 OA 10112 511 OA

sa 15 ru 11021 8 0 5D 1
MD5 7 ID 14 05 10113 7 0 ID 14 05 .021 SD 14 05 11029 7 D SCI 14 D5
7D 14 0
:1
0& MD22 8 0 70 13 IlO3l 0 70 1
ID lOll 07 1m3 9 8D 12 1 9 ag 12
SClIIi I
DIM. III 11
I
11 I

'---w- '----u9i- '---u9r- --ugr-
5C1I1l
5C2111
B scal91 B
)
- --
A A
M .-rDltDftlllle ..... 1QI Ui'hll'I'IIIrIIIfY"......,...-. .....
...... IIIIImIDID IIIID1'1111!1U. _lIIl'IIn'lIIIr'ba5I11111lM1_
...... "moll,.. __ ..... hIt Of' H QIIIMf.
VQrmont HI crosystems: Inc.
MOl S'fMEI. r.l ... 211 Y'I. ____
...... - B851 GRAPHI C5 PROCESSOR
'C1:JD
lIlIES .Ilr_ TITLE
....-... DRTR. SELECT ION
............
1=r:-lIr I SCIL I'
VMIIilIB .. eHlI - 00
.JIII .J- r H."'.DIES _b.".'AA'8. r-

I
.... "" -
I -,.
1-'' .'.
I
-
12 .. 14
B
I
7
I
6
I
5
I
4
I
3
I
2 I
D
c
8
A
8
MRDA<a,lblaIIIlJ
DD<7.111> laJ
_1'1
arsal91
IILIAltl
IUIIlti
IM5IISI
CASl3l91
WIIIAIII
_It I
HAD8,8 > IBJ [J 11
B
_III
11..181'1

WIII8191
7
I
I
I
I
I
I
-
Fa
"
I
7
6 5
..... ..
al ... al ttll
a2

113
-

-

all. ttl. I al'
:
I


.-
all, NIl"
:
-

I

all. 110' 4!1D12
D2
113
I'iR I'iR
I
6 5
4 3
I

b.
al MDI6
::
.!..
I


DO

=-

MDI8
l.,
I

14 I
DO
-
4 3
2
I

al ttl ..
,-

al
:
MD<31 :Il, 1l2J
-

I
;.
NII2,
t:i:= D4
r-
I


Yarmon't M I crosys'tams Inc.
" __
/-=-=..::::=-=----I TITLE ___ _
._ DISPLAY MEMORY
_..:arl.
VMHIIB - Ill/ill - /ilil
". JCIES
2
o
c
B
R
8
I
7
I
6
I
5
I
4
I
3
I
2
I
I
0 0
-
-
0.7:111>[41
R. 19: II> 14J
UlSS. .. 1
LHS:l.I.1
LH51L1.1
C
LHSILIOI
_,,1 C
1. b ~ . b I b i2a b
t:r III t:r IE' t:r 11' t:r II"
AI bo
Ulll
II 01 AI II
UI82
II DO AI II ...
u.13
II DO AI II
Ul ..
II DO 27128 DO I 27'28 DO &260 DO I 0260 DO
I /2125S DI I t:l72S8 Dl I A I 121128 01 I R. 9

01
A D2 2 16_ D2 D R2
.. /27258 D2 13 D2 A2 2
:1
D2
R3 D3 15 D3 R3 7 D3 15 D3 A3 7 OS 15 OS AS 7 D3
'"
DO
'"
0 DO
AO
DO 16 0 DO
OS I DS AS 5 OS I OS A lIS 17 5 OS
AI

18 D8 AI 0 18 AS 0 .8 OS AI

18 D8
OS OS DO DO
A7 3 D7 19 D7 ro 3 7 D7 I D7 R7 3 07 19 D7 ro 3 7 D7 19 D7
a a 25
'Iir 27 9 'Or 27 0
'Iir 27
'Iir
27
All 21 II V..
r!-
AIl 21 II Vpp ..L- Rl1 21 II V..
rL-
All 21 II V .. r!-
12 J .2 A12 2 12 12 .2
- AU All/WE 13/CS2 All/WE J3/(S2 AIIIIIE J ~ / W E -
A13 r"
r
f26
r
po
r
s
~
All All
~
All

I AI' I
VII. 101
VI6., r V17., r VI"., r
A13 I All

~
l1l'\I.71.1
Wlj ... ~ VI5.J ~
'1'
B B
~
. "
UI19
7_ 701S
~
~ U.19
-
~
r--
I" 116
.7".
.. ,
~
o I'CIIEI
3 7 ...
-
7_
::::JtE>-u-
o I'CIIE2
~
,
7OfIO
R ~ A
~ UIII
M ...... UlIIDIUJ_ ..... 1IM10I15 1M[ I'III'UTT .. .....-r IlIOIII7S1IIL
U'I ... duma III CJlFI..:I ..... I'I1I'I'1... tHll IIIIIllIIi lIlY
....... I8IlIlltIM 1M! a.ss HMlUlCII OF 1M[ CDI'Mt'.
Varmont M I crosystalfts Inc.
.IIUIISfMI1'. '.0. _.V_I. n .......
---
BB51 GRAPH[C5 PROCESSOR
\Y.r:JO
!IRJ ....... TITLE
-
CPU LOCAL MEMORY
.. -
.lII0I +lR."
I==-==-
1 SCFLE I'
VMIIIIB - IIlill - 1111
.)11 "'-.llIr H. JIINES b7HAR80 , ...
-
,
IIA8 "'_I I
I . ~
-
,
-. 10 . to
B
I
7
I
6
I
5
I
4
I
3
I
2 1
VM-8851 Graphics Processor Manual
Appendix C
VM-032-00 Color Graphics PCB Parts List
QTY
3
1
1
1
2
1
1
1
1
4
1
2
2
2
1
3
REFERENCE
DESIGNATION
U59,U113,U120
Ull0
Ul17
Ul16
U60,U68
U106
U51
U42
U52
U73,U74,
U75,U76
U33
U11,U12
U45,U47
U21,U41
U14
U19,U20,U34
Appendix C
VMI
PAkT NO.
20500043
20600009
20500053
20500069
20700022
20000013
20500041
20700011
20900023
20500042
20700023
20900025
20700024
20200026
20200024
20200025
MFGR
FAIR
FAIR
FAIR
FAIR
FAIR
FAIR
FAIR
FAIR
FAIR
FAIR
T.1.
T.I.
T.1.
T.1.
T I.
T.1.
MFGR
PART NO.
74FOO
74F04
74F08
7 4F1 0
7 4F7 4
74F139
74F163
74F174
74F190
74 F3 9 9
7 4AL S7 4N
74ALS138
74ALS174
74AS240
74ALS240
74ALS244AN
DESCRIPTION
QUAD 2-INP
NAND
HEX INV.
QUAD2-INP
AND
TRI 3-INP
NAND
DUAL D F/ F
DUAL 1 OF 4
DCDR
SYNC PRE
BIN eTR
6-BIT D F/ F
UP/ DOWN
DEC. CTR
QUAD 2 PROT
REG.
DUAL D-TYPE
F/ F
3 TO 8 MXR
HEX D F/ F
OCT BFFR
3-STATE
OCT BFFR
3-STATE
OCT BFFR
3- STATE
C-1
Graphics Processor Manual
2 U8,U35 20900065 T.I. 74ALS245AN OCT XCVR
3-STATE
4 U89,U90 20400022 T I. 74AS573
OCT XPRT
U91,U92 D-LATCH
3 U5,U6,U62 20400017 T.1. 7 4AL S5 73 OCT XPRT
D- LATCH
6 U50 , U61 , U7 7 , 20700009 T I. 74AS574 OCT D F/ F
U78,U79,U80 3-STATE
7 U28,U44,U46, 20700008 T I. 74ALS574 OCT D F/ F
U69,U70,U71, 3-STATE
U72
3 20400023 T.I. 7 4AS5 80 OCT XPRT
LATCH
1 U22 20400016 T.1. 7 4AL S580N
OCT XPRT
LATCH
1 U32 20900071 T I. 74AS640 OCT BUX XCVR
2 U37,U43 20700029 T.1. 74ALS874 DUAL 4-BIT
D F/ F
2 U107,U108 20200026 T I. 7 4L S14 HEX SCHMITT
INV
1 U7 20400015 T I. 74LS75 QUAD LATCH
1 U109 20200001 T.1. 7406N HEX BUFFR
D.C.
16 U81-U88, 23300018 EDI EDH4464N- 64K X 4 RAM
U93-U100 12- CC4
2 U101,U102 23100033 INTEL 27128/27256 128K EPROM/
256K
2 U103,U104 23300024 HIT 6264-12 8K X 8 RAM
2 U39,U4(J 23300023 AMD AM9112C 256 X 4 RAM
2 U9,U10 23200002 MNI PAL10L8CN PROG GATE
ARRAY
1 U23 23200025 MMI PAL20L8CN PROG GATE
ARRAY
1 U24 23200019 MMI PAL20L10 PROG GATE
ARRAY
Appendix C C-2
Vh-8851 Graphics Processor Manual
2 U54,U56
23200009 MMI PAL16R8ACN PROG GATE
ARRAY
2 U55,U57 23200020 MHI PAL20R8ACNS PROG GATE
ARRAY
1 U48 23200021 MMI PAL20L8ACN-2 PROG GATE
ARRAY
3 U63-U65 23300025 AMD AM9150 1 K X 4
STATIC RAM
1 U67 27300006 INTEC VDAC444TD VIDEO DAC
1 Ul 23000007 IhTEL 8088-2 8 NHZ UP
1 U2 20900008 INTEL 8284A CLK
GENERATOR
1 U3 20900069 INTEL 8288 BUS
CONTROLLER
3 U4,UI3,U25 23200008 SIG 82S153 FPLA
1 U26
20900021 INTEL 8289 BUS ARBITER
1 U27 20900069 INTEL 8288 BU S
CONTROLLER
1 U36 20900067 SMC CRT9007 VIDEO
CONTROLLER
1 U38 23200023 SIG 82S159 FPLS
1 U58 23200024 SIG 82S167 FPLS
4 UI5-LJ!8 20900068 Ntvll 67402J 64 X 5 FIFO
1 UI05 21200006 T.1. 75158 DUAL LINE
DRIVER
1 U49 35000020 MTRLA Kl114A 50 MHZ
OSCILLATOR
1 Y13 35000010 CTS CY24A/MP240 24 MHZ
CRYSTAL
67 CI-C8,CI0-CI8, 32100078 AUX MD64K .22uF 25V
C20 , C2 2- 2 6 , C2 8 ,
CER CP
C29,C33-35,C37-
C42,C44-C48,C50,
C51 ,C54-C59,C61,
C62,C64,C65,C68-
C72, C7 4- C7 6 , C8 9-
C92,CI05-CI07,
CI09,CI13,CI16-
C118,C120
Appendix C
C-3
VM-8851 Graphics Processor Manual
2 U12U,U121 K ~ l T T330A156MOI0AS 15uFTANT
1 U123 32100066 PAN EC C-D2 HI OOKE 10PF 10V
DISC
4 U124-U127 32100076 PAN ECSFICE226K 22uF 10V
1 CRDI 24000003 MTRLA IN4001 RECTIFIER
2 C RD2 , CRD3 HP HP5082-2811 DIODE 1 X 6
1 Rl DALE CCF07103G 10 KOHN 1/ 42
RESISTOR
1 R2 MEPCO CR25-3900HM5% 390 OHM 1/4W
RESISTOR
1 R3 34100008 DALE EGS-I-80 .20 KOHM lW
RESISTOR
1 RPI CTS 750 -101- R2 .2 K 2.2 KOHM
(10 PIN SIP)
1 RP2 AB 316A751 750 OHM RPAC}
(16 PIN DIP)
6 RP3-RP6, AB 108B220 22 OHM
RP9,RPI0
(8 PIN SIP)
2 RP7,RP8 BOURNS 4310R-I02-220 22 OHM
(10 PIN SIP)
1 J3 31210110 VIKING 000291001 SBX CONN.
FEMALE 18/36
PIN
1 J4 31200122 AMP 641122-3 LIGHT PEN
CONNECTOR
5 J5-J9 31300003 MALCO 031-0061-0001 COAX
RECEPTACLE
2 Wl,W22 39000002 BERG 76151002 JUMP 10
PIN SPCL
1 W2 39000002 BERG 76151002 JUMP 11
PIN SPCL
1 W13 39000002 BERG 76151002 JUMP 7
PIN SIP
Appendix C
C-4
VM-8851 Graphics Processor Manual
11 W5-W7,W9,Wl.o,
39000002 BERG 76151002 JUMP 3 PIN
WI4-WI8,W20
2 Wl1,W12
39000002 BERG 76151002 JUMP 4 PIN
87 W8,WI9, 39000002 BERG 76151002 JUMP 2 PIN
W21,W23
2 PCBE1,PCBE2 391()OOOI SCANB S-203 PCB EARS
1
CABLE SET
(A SSEMBLY)
2 31500005 AUG 540AG37D 40 PIN
DIP SKT
4 31500018 AUG 528AG37D 28 PIN
DIP SKT
11 31500028 AUG 824AG30D 24 PIN
DIP SKT
4 AUG 510-AG90DO-12 12 PIN
SIP SKT
44 31500009 AUG 520AG37D 20 PIN
DIP SKT
5 31500022 AUG 518AG37D 18 PIN
DIP SKT
15 31500003 AUG 516AG37D 16 PIN
DIP SKT
12 31500002 AUG 514AG37D 14 PIN
DIP SKT
1 31500010 AUG 508AG37D 8 PIN
DIP SKT
Appendix C
C-5
WM
One Main Street
Box 236
Winooski, VT 05404
Tel. (802) 6553800

You might also like