Download as pdf or txt
Download as pdf or txt
You are on page 1of 9

96

IEEE SENSORS JOURNAL, VOL. 7, NO. 1, JANUARY 2007

Piezoresistive Cantilever Beam for Force Sensing


in Two Dimensions
T. Chu Duc, J. F. Creemer, Member, IEEE, and Pasqualina M. Sarro, Fellow, IEEE

AbstractA novel two-dimensional piezoresistive nano-Newton


resolution force sensing cantilever is presented. The silicon cantilever is fabricated using bulk micromachining. Two 500-nm-thick
p-doped epitaxial silicon piezoresistive sensors are located on both
sides of the cantilever. This structure detects both the lateral and
vertical applied forces by electronic switching between two configurations of a Wheatstone bridge. A force sensitivity is measured up
to 100 and 540 V/N for lateral and vertical configurations, respectively. The corresponding force resolution is estimated at 21 and 4
nN, respectively. This force-sensing cantilever can be used for measuring the contact force between manipulating tools and small objects in, e.g., living cell handling, minimally invasive surgery, and
microassembly.
Index TermsCantilever beam, force sensor, piezoresistive
sensor, two-dimensional force sensor.

I. INTRODUCTION

HEN manipulating microobjects, operating on living


cells, or in minimally invasive surgery, accuracy and
speed are greatly improved by force feedback [1]. Both the
amplitude and direction of the contact force can be monitored
by using multidimensional force sensor.
Cantilever force sensors are most often used in the nanoNewton force range because contact forces between living cells
in laboratory [2][4] or between micro particles and a manipulator [5] are generally in this range. The bending of the cantilever is related to the applied force. By monitoring the deflection of the beam, the amplitude of the applied force can be
detected. There are several force-sensing methods: capacitive,
piezoelectric, optical laser detection, and piezoresistive.
The capacitive method is based on the capacitance change occurring when the structure is deformed and is the most widely
used in microaccelerometers and sensors for harsh environment.
An example of a capacitive force sensor is reported in [6]. It has
two degrees of freedom (2-DOF) and is based on silicon on insulator (SOI). This sensor is capable of measuring forces up to
490 N with a resolution of 0.01 N along the -axis and up to
900 N with resolution of 0.24 N along the -axis. The main

Manuscript received June 6, 2006; revised September 7, 2006. This work was
supported in part by the Vietnamese Ministry of Education and Training. The
associate editor coordinating the review of this paper and approving it for publication was Prof. Paul Regtien.
The authors are with the Electronic Components, Technology and Materials
Laboratory, Delft Institute for Micro-Electronics and Submicrontechnology,
Delft University of Technology, 2628 CT Delft, The Netherlands (e-mail:
t.chuduc@tudelft.nl; j.f.creemer@tudelft.nl; sarro@dimes.tudelft.nl).
Color versions of one or more of the figures in this paper are available online
at http://ieeexplore.ieee.org.
Digital Object Identifier 10.1109/JSEN.2006.886992

limitation of capacitive force sensors is the low resolution. Furthermore, the capacitive structures require complete isolation
between two electrodes, so normally SOI wafers are employed.
Alternatively, trench isolation can be used [7]. However, it is difficult to control the etching area to obtain a completely isolated
structure. Moreover, the capacitive method requires a complicated fabrication processes and electronic circuits.
A two-dimensional piezoelectric force sensor is presented in
[8]. It consists of two perpendicular pieces of polyvinylidene
fluoride (PVDF) material. This structure is symmetric in the vertical and lateral dimensions with a resolution and sensitivity in
the N range. However, the PVDF cannot be patterned optically. The two pieces have to be glued perpendicularly to each
other, resulting in a rather large structure of the sensor. With
this approach, the sensor cannot be miniaturized and it is not
compatible with the IC technology. The piezoelectric method
also requires complicated electronic circuits for processing the
signal.
Cantilevers based on the optical force measurement are often
used in atomic force microscopy (AFM) and high-resolution
measurement. This method is very powerful for measuring
small displacements but needs high accuracy in the optical
alignment and adjustment. The cantilever surface must be
reflective and larger than the laser beam spot. It is not simple
to create a lateral and multidimensional force sensor with an
optical method. Moreover, the required lasers are relatively
large, so it is impossible to miniaturize the entire force-sensing
system to the micrometer size range.
Piezoresistive transducers translate a force into a change in
the value of a resistor. They are widely employed as sensing
elements in pressure sensors, accelerometers, and AFM cantilevers [9]. Recently, developments in piezoresistive cantilever
fabrication lead to submicrometer cantilevers with a resolution
of pN [10] and even fN [11]. Most of the previously developed
high-sensitivity force sensors employ SOI wafers [10][12] and
vertical structures.
Sidewall-doping technique is normally employed in the lateral force-sensing [13][15]. An independent detection of vertical and lateral forces sensor is reported in [15]. Oblique implantation, a rather special technique, is needed in order to produce resistors on the vertical sidewalls of the cantilever.
This paper presents a novel two-dimensional nano-Newton
force piezoresistive cantilever providing nano-Newton sensitivity, a wide range measurement force, and the possibility to
be combined with handling tools. Instead of using sidewall
implantation, two separately sensing piezoresistors are located
on both sides of the cantilever. By using two switches to
change the Wheatstone bridge configuration, the piezoresistive
cantilever is capable of detecting both the lateral and vertical

1530-437X/$20.00 2006 IEEE

DUC et al.: PIEZORESISTIVE CANTILEVER BEAM FOR FORCE SENSING IN TWO DIMENSIONS

97

where and are the coordinates as defined in Fig. 1 and is


the momentum of inertia of the cantilever along the -axis.
The deflection of the tip of the cantilever when a force is
applied to the tip of the cantilever is given by [16], [17]

(3)
where
and
are the stiffness of the cantilever in the lateral
and vertical direction, respectively.
Theoretically, the stiffness of the cantilever at its end is given
by [16], [17]
Fig. 1. Schematic drawing of the two-dimensional piezoresistive force-sensing
cantilever with geometric symbols and orientations used.

(4)
bending. So this force-sensing cantilever can detect the applied
forces in both parallel and perpendicular direction to the wafer
surface. The cantilever is made on regular silicon wafers with a
fabrication process compatible with CMOS technology.
II. TWO-DIMENSIONAL FORCE SENSING CANTILEVER DESIGN
In Fig. 1, a schematic drawing of the two-dimensional
piezoresistive force sensing cantilever is shown. The four
piezoresistors are located on the surface of the structure. The
piezoresistors are aligned along the [110] direction in the (001)
crystal plane of a silicon wafer. The resistor pair located on the
cantilever are stress sensing resistors (the resistance changes
when the cantilever is deflected). Two other resistors are outside
the cantilever. They are not subjected to stress and therefore
they are used for common-mode signal compensation in a
Wheatstone bridge arrangement.
When a force is applied to the tip of the cantilever, the cantilever bends. On the -plane, perpendicular to the longitudinal
-axis of the cantilever, the applied force can be resolved into
is the lateral and
is the vertical compotwo components:
and , we can evaluate
nent (see Fig. 1). By monitoring
both amplitude and direction of the applied force.
is applied to the tip of the cantilever,
When a lateral force
develops in the resistors. This stress can
a longitudinal stress
be calculated from classical beam theory [16], [17]. At one point
in the resistor, the stress is given by

(1)
where and are the coordinates as defined in Fig. 1, is the
momentum of inertia of the cantilever along the -axis, and
is the length of the cantilever.
is applied to the tip of the cantilever,
When a vertical force
develops in the resistors, given
a different longitudinal stress
by

(2)

are the width and thickness of the cantilever and


where ,
is Youngs modulus of the silicon crystal.
can
In addition, a more accurate value of the stiffness
be calculated from the measurement of resonant frequency, the
quality factor, and the cantilever dimensions [18]. The relation
is given by

(5)
,
are the
where is the density of the surrounding fluid,
,
are the corresponding
radial resonant frequencies, and
quality factors of the fundamental modes of the cantilever in the
is the imaginary
fluid in the lateral and vertical direction.
.
only
component of the hydrodynamic function
, where
depends on the Reynolds number
is the viscosity of the surrounding fluid.
is complicated,
so the reader is referred to [19] for an analytical expression.
In [20], still another method is presented for determining the
stiffness of the cantilever. It is based on measuring the change
of resonant frequency caused by the addition of known masses
to the tip of the cantilever.
The stress is detected by measuring the change in resistance
value of the piezoresistors. The resistors in the sensor are at the
surface of the beam. As expressed in (1) and (2), the stress in the
piezoresistors is not uniform but varies linearly along the longitudinal direction of the resistors. The electrical resistivity within
a point is changed by the local stress due to the piezoresistive
effect. This can be described as [17]

(6)
is the zero-stress resistivity and resistivity
where and
change of the piezoresistor, respectively,
is the distance
from the fixed-end of cantilever to the investigated point,
and
are the longitudinal and the transverse piezoresistive
and
are the longitudinal and the transcoefficients, and
verse stresses, respectively. In the case of a piezoresistive
force-sensing cantilever, the deflection of the cantilever is much

98

IEEE SENSORS JOURNAL, VOL. 7, NO. 1, JANUARY 2007

TABLE I
ROOM-TEMPERATURE FIRST-ORDER PIEZORESISTIVE COEFFICIENTS FOR
p-TYPE SILICON

less than the length of the cantilever [16]; hence the


can be
neglected [17].
The resistance of the piezoresistors can be calculated as

(7)
where
and
are the electrical resistivity of the inner
and outer half of the sensing piezoresistors (see Fig. 3), is the
is the distance from
cross-sectional area of the resistors, and
the fixed end of the cantilever to the tip of the piezoresistors (see
Fig. 1).
The longitudinal piezoresistive coefficient of the resistor that
is aligned along the [110] direction in the (001) plane is given
by [17]

Fig. 2. Schematic configuration. (a) Lateral force sensing mode. (b) Vertical
force sensing mode. (c) Layout of the Wheatstone bridge with the switches.

(8)
where
,
, and
are three independent coefficients of
the first-order piezoresistive tensor. For the piezoresistive coefficients of -type silicon, a range of values can be found in
the literature; see Table I. In this paper, we assume the values
of room-temperature first-order piezoresistive coefficients reported in [21].
Fig. 2 shows the configuration used for the lateral and vertical
force sensing. The change from lateral to vertical sensitivity and
vice versa is performed by using two electronic switches as depicted in Fig. 2(c).
Fig. 3. Scanning electron microscope images of the two-dimensional piezoresistive force sensing cantilever.

A. Lateral Configuration
When a lateral force, parallel to the wafer surface, is applied
to the tip of the cantilever, the differential change of resistance
and
. The resistance change
occurs on the two resistors
of the piezoresistor depends on the applied force and is obtained
by combining (1), (6), and (7)

lateral momentum of inertia of the cantilever with respect to


the -axis.
Assume the resistance value of sensing piezoresistors
and
is
and
, respectively. Hence, the
output voltage of the lateral configuration Wheatstone bridge
[see Fig. 2(a)] is given by

(10)
(9)
are the distance from the lateral neutral plane
where ,
( -plane) to the inner half and outer half of the sensing
piezoresistor as indicated in Fig. 3,
is the lateral applied
force to the tip of the cantilever, and
is the

where
is the bias voltage and
is the resistance of the
zero-stressed piezoresistor. The output is expected to change
with 0.08 V when the applied force changes 1 nN, which yields
a sensitivity of 84 V/N, as reported in Table II. For large signal

DUC et al.: PIEZORESISTIVE CANTILEVER BEAM FOR FORCE SENSING IN TWO DIMENSIONS

99

is the vertical momentum of inertia


where
is the vertical
of the cantilever with respect to the -axis,
applied force.
For the vertical applied force, the resistance values of both
or decreased
sensing piezoresistors are increased to
. Fig. 2(b) shows the vertical configuration of the
to
Wheatstone bridge, which can be created from the lateral one by
using two electronic switches [see Fig. 2(c)]. The output voltage
of the vertical configuration Wheatstone bridge is given by

TABLE II
PARAMETERS OF 2-D PIEZORESISTIVE FORCE-SENSING CANTILEVER

(12)
The output is expected to change with 0.39 V when the applied force changes 1 nN, which yields a sensitivity of 395 V/N
, the approxi(see Table II). For a large signal
mation of the (12) is valid within about 7%.
This configuration is not symmetrical because the position
and
is changed from the symmetrical
of resistances
lateral configuration [see Fig. 2(b)]. This results in some crosssensitivity. Assuming that when a lateral force is applied to the
and
cantilever, the resistance value of the sensing resistor
is
and
, the output voltage of the
vertical configuration is given by

(13)

, the approximation of the (10) is valid to within


0.6%.
The bridge configuration of the resistors compensates for the
signals caused by a vertical deflection, as indicated in Fig. 2(a).
It reduces the cross-sensitivity and the offset. When a vertical
force is applied to the tip of the cantilever, the applied stress
in both sensing resistors is the same, yielding the same resistance change. So the output of the lateral Wheatstone bridge
[Fig. 2(a)] is not changed because of the symmetry of the bridge.
Therefore, the output of this configuration reflects only the value
of the lateral component of the applied force.
B. Vertical Configuration
The two sensing resistors have the same response if a vertical
force is applied. The distance from the vertical neutral plane
( -plane; see Fig. 1) to both the inner and outer halves of the
, half of the cantilever thickness. Compiezoresistor is
bining (2), (6), and (7), the resistance change of the sensing
piezoresistors due to the vertical applied force is given by

Comparing the (12) and (13), the expected cross-sensitivity


.
of the bridge alone is (1/2)
C. Sensitivity
The applied force can be calculated by substituting the measured deflection and stiffness of the cantilever into the (3). The
sensitivity of the piezoresistive force-sensing cantilever (units
[V/N]) can be calculated by

(14)
and
are the measured output voltage of the
where
Wheatstone bridge when the deflections
and
are applied
to the tip of the cantilever.
D. Electrical Noise in Piezoresistive Cantilever
The thermal and 1 noises are two dominant noise sources
of the piezoresistive cantilever [25], [26]. The thermal noise
arises from the random motion of mobile charge carriers in resistive electrical materials. The thermal noise is dependent only on
the resistance and the temperature . It is white noise with
the spectral density independent of the frequency. The voltage
power noise density for the resistance (units [V Hz]) is given
by
(15)

(11)

where

is the Boltzmanns constant.

100

IEEE SENSORS JOURNAL, VOL. 7, NO. 1, JANUARY 2007

At low frequencies, all resistors suffer from conductance fluctuations, usually called 1 noise because the noise power density (units [V Hz]) decreases as one over the frequency. The
physical cause of 1 noise is usually not fully understood and
still an active area of research. However, the empirical model of
1 noise, described in [27], is applicable to the piezoresistors.
The 1 voltage noise power spectral density (units [V Hz])
of a homogeneous resistor is dependent on the total number of
charge carriers in the resistor according to

(16)
where
is the voltage across a resistor with a total number of
carriers , is the frequency, and is a dimensionless parameter that is between 3.2 10 and 5.7 10 in single crystal
silicon [26], is the charge carrier concentration, and , , are
the resistor length, width, and thickness, respectively.
The total noise of a Wheatstone bridge is a sum of the noise of
four piezoresistors. Considering there is no correlation between
the piezoresistors, the total voltage noise power spectral density is the sum of the voltage noise power spectral density of the
piezoresistors. For the total noise voltage, the spectrum needs to
. Combe integrated over the bandwidth of interest
bining (15) and (16) yields

Fig. 4. Schematic view of the fabrication process. (a) Epitaxial growth. (b)
Piezoresistors definition. (c) Insulation and metallization. (d) Cantilever release.

(17)
The electrical minimum detectable force (MDF) of the cantilever depends on the minimum detectable signal which is determined by the noise of the cantilever. The MDF corresponds
to the calculated noise of the piezoresistors, expressed in (17),
can be estimated by

MDF

MDF

(18)
Fig. 5. Schematic drawing of AFM setup used for the resonant frequency measurement.

III. FABRICATION
The force sensor consists of a p-type silicon cantilever on
which p-type silicon piezoresistors are integrated. Fig. 3 shows
the realized sensing cantilever that is 10 m thick, 500 m
long, and 26 m wide. Other parameters related to the geometry of fabricated sensor are shown in Table II. The fabrication process is based on the DIMES-03 bipolar process [28],
[29]. An additional 500-nm-thick p-type epitaxial layer with a
cm is grown to form the piezoresisresistivity of 3.75 10
tors. By using epitaxial growth, a uniformly doped layer with
an accurate thickness within 23% of the nominal value can
be obtained, resulting in resistors of a well-defined size. The
1- m-wide 80- m-long piezoresistors are defined using reactive ion etching (RIE). A wet anisotropic etching step from the
backside is combined with a front-side RIE etching to release
the cantilevers. Fig. 4 shows the schematic view of the main
steps of the fabrication process.

IV. MEASUREMENT SETUPS


To detect the resonant frequency and quality factor of the cantilever, a commercial AFM (NTEGRA1 model) is employed.
The built-in section of the AFM that resonates and senses the
cantilever frequency is schematically shown in Fig. 5. In this
setup, the proposed force-sensing cantilever is clamped on the
built-in stage where a piezoelectric oscillator, which is driven by
frequencies from 0 up to 400 kHz, is situated. Using the semicontact mode, the resonant frequency and quality factor can be
detected by monitoring the differential photocurrent of the position-sensitive device of the AFM.
In order to characterize the force cantilever, the cantilever is
-mamounted on a stable table. A microneedle fastened on a
nipulator is used to bend the tip of the cantilever, as shown in
Fig. 6. The deflection of the cantilever is monitored through the
1Available

from NT-MDT Co., Moscow, Russia.

DUC et al.: PIEZORESISTIVE CANTILEVER BEAM FOR FORCE SENSING IN TWO DIMENSIONS

101

Fig. 7. Currentvoltage characteristic of the piezoresistors. The characteristics


are linear within 4% and 16% over the voltage range of 10 and 20 V, respectively.

Fig. 6. Needle used to bend the cantilever is fasten to an xyz -manipulator. (a)
Picture of the measurement setup and (b) schematic drawing with an image of
the bent cantilever.

displacement of the micrometer screw of the


-manipulator.
The cantilever is bent over a small angle. The maximum deflection of the tip of cantilever is about 40 m for a cantilever length
of 500 m.
V. RESULTS AND DISCUSSIONS

Fig. 8. Offset characteristic of the Wheatstone bridge.

A. Electronic Characteristics
The currentvoltage characteristic of the fabricated piezoresistors at room temperature is shown in Fig. 7. The characteristic
is linear within 16% over the voltage range of 20 V, meaning that
the contacts and resistors behave as expected. The zero-stress resistance value of the resistors at room temperature is reported in
Table II.
Fig. 8 shows the offset voltage of one Wheatstone bridge
when the cantilever is free of applied force, indicating an offset
voltage of about 1 mV when the bias is 1 V. The offset is mostly
due to some variations in the fabrication process. This voltage
determines the detection limit and the resolution of the sensor in
this setup. However, the offset voltage can be reduced by using
an electronic autozero amplifier.
B. Resonant Frequencies and Stiffness of the Cantilever
In Figs. 9 and 10, the resonant frequencies of the cantilever in
lateral and vertical mode, respectively, are shown. The response

over the entire frequency range is depicted in the insets. The


measured resonant frequencies in air are 158 and 38 kHz for lateral and vertical mode, respectively; the corresponding quality
factors are 780 and 350. The density and viscosity of air are reported in Table II. Introducing these values in (5), the vertical
and lateral stiffness of the cantilever, 3.3 and 26.5 N/m, respectively, are derived.
C. Force Sensitivity of the Piezoresistive Cantilever
Figs. 11 and 12 show the output signals versus the deflections
at the tip of the cantilever for the lateral and vertical configuration, respectively. The bias voltage is 1 V dc. The maximum
deflection of 41 m is much smaller than the 500 m length of
the cantilever. Therefore, (1) and (2) are applicable as confirmed
by the linear characteristic of the measured curves.
Fig. 13 shows the experimental resistance change of the
piezoresistors due to the applied stress for either lateral or

102

Fig. 9. Lateral deflection of the cantilever beam as a function of AFM vibration


frequency. The deflection is expressed as a function of the differential photocurrent of the position-sensitive device of the AFM. The insets show the response
over the entire frequency range.

IEEE SENSORS JOURNAL, VOL. 7, NO. 1, JANUARY 2007

Fig. 11. Output voltage due to the deflection of the tip of cantilever for the
lateral configuration.

Fig. 12. Output voltage due to the deflection of the tip of the cantilever for the
vertical configuration.
Fig. 10. Vertical deflection of the cantilever beam as a function of AFM vibration frequency. The deflection is expressed as a function of the differential
photocurrent of the position-sensitive device of the AFM. The insets shows the
response over the entire frequency range.

vertical configuration. The change in piezoresistance value is


up to 16% when the applied stress is about 150 MPa.
The applied forces can be calculated by substituting the deflection and stiffness values of the cantilever in (3). The lateral
stiffness of the cantilever is larger than the vertical one (see
Table II). Consequently, for the same deflection, the lateral applied force is larger than the vertical force (see Figs. 14 and 15).
Fig. 14 shows the output signal for the lateral configuration.
The voltage-applied force curve shows a linear relation. The
sensitivity derived from these curves is 100 V/N, as reported
in Table II. The curve is linear within 2% over the applied range
of 1100 N. The bridge configuration of resistors compensates

not only for the common-mode signals but also for the signal
caused by the vertical applied forces. In fact, when operating in
the lateral configuration, the cross-sensitivity is 0.8%.
Fig. 15 shows the output signal for the case of the vertical
configuration. The sensitivity derived from these curves is 540
V/N (see Table II). The curve is linear within 4% over the applied force range of 134 N. The cross-sensitivity of the vertical
configuration is 27%.
These experimental results meet the above mentioned theoretical value (see Table II) within 20%, indicating that the epitaxial growth and the etching processes behave as expected. The
vertical sensitivity is higher than the lateral signal due to the difference between the width and the thickness of the cantilever,
and also due to the distance from the corresponding neutral
plane to the piezoresistors. The optimal structure geometry for
two-dimensional piezoresistive force-sensing cantilever is the
one with a thickness equal to the width.

DUC et al.: PIEZORESISTIVE CANTILEVER BEAM FOR FORCE SENSING IN TWO DIMENSIONS

Fig. 13. Resistance change of the piezoresistors as a function of the applied


stress for either lateral or vertical deflection.

103

Fig. 15. Output voltage due to the applied force for the vertical configuration.
The force range in the lateral direction is larger than that in vertical direction
because the beam width is larger than its thickness, and the deflections are equal.

VI. CONCLUSION
A high-sensitivity multidimensional force sensor is the
missing part of many micromanipulation and microsurgery
systems. The presented sensing cantilever can fill this gap.
The piezoresistive cantilever is based on single crystal silicon
instead of SOI wafers. This structure allows monitoring of
both vertical and lateral applied forces by using two electronic
switches. A sensitivity and minimum detectable force up to
100 V/N and 21 nN for the lateral and up to 540 V/N and 4
nN for the vertical configuration are calculated and measured.
Our force sensor can potentially be used in microrobotics,
microassembly, minimally invasive surgery, and biological
assays where force feedback is needed.
ACKNOWLEDGMENT
Fig. 14. Output voltage due to the applied force for the lateral configuration.
The force range in the lateral direction is larger than that in vertical direction
because the beam width is larger than its thickness, and the deflections are equal.

The signal bandwidth, selected depending on the specific


application, determines the calculated noise bandwidth. If we
choose a band between 1 Hz and 1 kHz (for low-frequency force
detection), by using (18) and the output signal from Figs. 14
and 15, the minimum detectable force of the piezoresistive
force cantilevers can be estimated as 21 and 4 nN (see Table II)
for the lateral and vertical configuration, respectively.
The offset voltage of the Wheatstone bridge currently determines the detection limit of the sensor. Its influence could be
reduced by adding signal processing circuitry. The equivalent
forces of the 1 mV offset voltage (see Fig. 8) are 10 and 2 N for
the lateral and vertical configuration, respectively. Depending
on the typical application, a preamplifier electronic circuit may
be needed for decreasing the effect of the offset voltage to the
measurement systems.

The authors would like to acknowledge the DIMES-IC Processing group for technical support. They would like to thank
P. J. F. Swart of the Electronic Components, Technology and
Materials group for his assistance with the electronic measurements. They would like to thank W. J. Venstra of the Advanced
Mechatronics Group and P. J. Trimp and G. de Graaf of the
Electronic Instrumentation Laboratory for their help with the
mechanical measurements. Thanks are due to C. C. G. Visser of
the Electronic Components, Technology and Materials Group
and Dr. M. Tian of NTI Europe B.V. for the many discussions
and advice on use of the AFM.
REFERENCES
[1] J. Dankelman, Sensing in surgery, in Proc. DISens Symp. Biomed.
Sens., Delft, The Netherlands, May 19, 2005, pp. 1115.
[2] M. E. Fauver, D. L. Dunaway, D. H. Lilienfeld, H. G. Craighead, and
G. H. Pollack, Microfabricated cantilevers for measurement of subcellular and molecular forces, IEEE Trans. Biomed. Eng., vol. 45, no.
7, pp. 891898, 1998.
[3] M. T. A. Saif, C. R. Sager, and S. Coyer, Functionalized biomicroelectromechanical systems sensors for force response study at local adhesion sites living cells an substrates, Ann. Biomed. Eng., vol. 31, pp.
950961, 2003.

104

IEEE SENSORS JOURNAL, VOL. 7, NO. 1, JANUARY 2007

[4] S. Yang and T. Saif, Mechanical response of single living cells by bioMEMS sensors, in Proc. 17th IEEE Conf. MEMS, 2004, pp. 265267.
[5] H. Onoe, M. Gel, K. Hoshino, K. Matsumoto, and I. Shimoyama,
Binding force measurement between micro-scale flat surfaces in
aqueouus environment by force-sensing piezoresistive micro-cantilevers, in Proc. 18th IEEE Conf. MEMS, 2005, pp. 1619.
[6] Y. Sun, B. J. Nelson, D. P. Potasek, and E. Enikov, A bulk microfabricated multi-axis capacitive cellular force sensor using transverse comb
drives, J. Micromech. Microeng., vol. 12, no. 6, pp. 832840, 2002.
[7] E. Sarajlic, M. J. de Boer, H. V. Jansen, N. Arnal, M. Puech, G. Krijnen, and M. Elwenspoek, Advanced plasma processing combined
with trench isolation technology for fabrication and fast prototyping
of high aspect ratio MEMS in standard silicon wafers, J. Micromech.
Microeng., vol. 14, pp. 7075, 2004.
[8] Y. Sheng, N. Xi, U. C. Wejinya, and W. J. Li, High sensitivity
2-D force sensor for assembly of surface MEMS devices, in
Proc. IEEE/RSJ Conf. Intell. Robot. Syst., Sedai, Japan, 2004, pp.
33633368.
[9] M. Tortonese, R. C. Barrett, and C. F. Quate, Atomic resolution with
an atomic force microscope using piezoresistive detection, Appl. Phys.
Lett., vol. 62, no. 8, pp. 834836, 1993.
[10] M. Gel and I. Shimoyama, Force sensing submicrometer thick cantilevers with ultra-thin piezoresistors by rapid thermal diffusion, J. Micromech. Microeng., vol. 14, no. 3, pp. 423428, 2004.
[11] J. A. Harley, T. D. Stowe, and T. W. Kenny, Piezoresistive cantilevers
with femtonewton force resolution, in Proc. 10th Conf. Solid-State
Sens. Actuators (Transducers), 1999, pp. 16281631.
[12] T. Toriyama, Y. Tanimoto, and S. Sugiyama, Single crystal silicon
nano-wire piezoresistors for mechanical sensors, J. Microelectromech. Syst., vol. 11, no. 5, pp. 605611, 2002.
[13] A. A. Barlian, R. Narain, J. T. Quance, A. C. Ho, V. Mukundan, and
B. L. Pruitt, Piezoresistive MEMS underwater shear stress sensors,
in Proc. 19th IEEE Conf. MEMS, 2006, pp. 626629.
[14] B. K. Nguyen, K. Hoshino, K. Matsumoto, and I. Shimoyama, Insertion force sensor by sidewall-doping with rapid thermal diffusion, in
Proc. 19th IEEE Conf. MEMS, 2006, pp. 662665.
[15] B. W. Chui, T. W. Kenny, H. J. Mamin, B. D. Terris, and D. Rugar,
Independent detection of vertical and lateral forces with a sidewallimplanted dual-axis piezoresistive cantilever, Appl. Phys. Lett., vol.
72, no. 11, pp. 13881390, 1998.
[16] J. M. Gere, Mechanics of Materials, 6th ed. Belmont, CA: BrooksCole Thomson, 2004.
[17] S. D. Senturia, Microsystem Design. Norwell, MA: Kluwer
Acedamic , 2001.
[18] J. E. Sader, J. W. M. Chon, and P. Mulvaney, Calibration of rectangular atomic force microscope cantilevers, Rev. Sci. Instrum., vol. 70,
no. 10, pp. 39673969, 1999.
[19] J. E. Sader, Frequency response of cantilever beams immersed in viscous fluids with applications to the atomic force microscope, J. Appl.
Phys., vol. 84, no. 1, pp. 6476, 1998.
[20] J. P. Cleveland, S. Manne, D. Bocek, and P. K. Hansma, A nondestructive method for determining the spring constant of cantilevers for scanning force microscope, Rev. Sci. Instrum., vol. 64, no. 2, pp. 403405,
1993.
[21] J. F. Creemer and P. J. French, The saturation current of silicon bipolar
transistors at moderate stress levels and its relation to the energy-band
structure, J. Appl. Phys., vol. 96, pp. 45304538, 2004.
[22] R. C. Jaeger, J. C. Suhling, M. T. Carey, and R. Wayne Johnson, Offaxis sensor rosettes for measurement of the piezoresistive coefficient
of silicon, IEEE Trans. Comp., Hybrids, Manufact. Technol., vol. 16,
no. 8, pp. 925931, 1993.
[23] C. S. Smith, Piezoresistance effect in germanium and silicon, Phys.
Rev., vol. 94, pp. 4249, 1954.
[24] J. J. Wortman and R. A. Evans, Youngs modulus, shear modulus, and
Poisson ratio in silicon and germanium, J. Appl. Phys., vol. 36, no. 1,
pp. 153156, 1965.
[25] J. A. Harley and T. W. Kenny, 1/F noise considerations for the design
and process optimization of piezoresistive cantilevers, J. Microelectromech. Syst., vol. 9, no. 2, pp. 226235, 2002.
[26] X. Yu, J. Thaysen, O. Hansen, and A. Boisen, Optimization of sensitivity and noise in piezoresistive cantilever, J. Appl. Phys., vol. 92, no.
10, pp. 62966301, Nov 2002.

[27] F. N. Hooge, 1/f noise is no surface effect, Phys. Lett., vol. 29A, no.
3, pp. 139140, 1969.
[28] L. K. Nanver, E. J. G. Goudena, and H. W. van Zeijl, Optimization
of fully implanted NPNs for high frequency operation, IEEE Trans.
Electron Devices, vol. 43, pp. 10381040, 1996.
[29] T. C. Duc, J. F. Creemer, and P. M. Sarro, Lateral nano-Newton force
sensing piezoresistive cantilever for micro-particle handling, J. Micromech. Microeng., vol. 16, no. 6, pp. 102106, 2006.

T. Chu Duc received the B.S. degree in physics from


Hanoi University of Science, Hanoi, Vietnam, in
1998 and the M.Sc. degree in electrical engineering
from Vietnam National University, Hanoi, in 2002.
He is currently pursuing the Ph.D. degree at Delft
Institute for Micro-Electronics and Submicrontechnology, Delft University of Technology, Delft, The
Netherlands.
His doctoral work focuses on MEMS, focusing on
piezoresistive sensors, polymeric actuators, sensing
actuators, and microsystems technology.

J. F. Creemer (M96) received the M.Sc. degree in


electrical engineering from Delft University of Technology, Delft, The Netherlands, in 1995, the DEA degree in electronics from Universit Paris-Sud, Paris,
France, in 1996, and the Ph.D. degree (cum laude)
from Delft University of Technology in 2002 on the
effect of mechanical stress on bipolar transistor characteristics.
As an Analog Chip Designer, he was with SystematIC Design from 2002 to 2003. In 2003, he joined
the Kavli Institute of Nanoscience as a Postdoctoral
Researcher. In 2006, he became an Assistant Professor with the Laboratory for
Electronic Components, Technology, and Materials, Delft University of Technology. His research interests are MEMS microreactors, transmission electron
microscopy, and microsystems technology.
Dr. Creemer received the Else Kooi Award 2002 for the research described
in his dissertation. In 2006, he received a Veni grant.

Pasqualina M. Sarro (M84SM97F07) received


the laurea degree (cum laude) in solid-states physics
from the University of Naples, Naples, Italy, in
1980 and the Ph.D. degree in electrical engineering
from Delft University of Technology, Delft, the
Netherlands, in 1987.
Her doctoral work dealt with infrared sensors
based on integrated silicon thermopiles. From 1981
to 1983, she was a Postdoctoral Fellow with the Photovoltaic Research Group, Division of Engineering,
Brown University, Providence, RI, where she worked
on thin-film photovoltaic cell fabrication by chemical spray pyrolysis. Since
then, she has been with the Delft Institute of Microelectronics and Submicron
Technology (DIMES), Delft University, where she is responsible for research
on integrated silicon sensors and MEMS technology. In April 1996, she
became an Associate Professor with the Electronic Components, Materials, and
Technology Laboratory, Delft University, and in December 2001, she became a
a full Professor in the same department. She has authored and coauthored more
than 300 journal and conference papers.
Prof. Sarro received the EUROSENSORS Fellow Award for her contribution
to the field of sensor technology in 2004. She has been a Technical Program
Committee member of the ESSDERC Conferences (since 1995), EUROSENSORS Conferences (since 1999), and IEEE MEMS (2006 and 2007). She was
Technical Program Cochair for the First IEEE Sensors 2002 Conference and
Technical Program Chair for the Second and Third IEEE Sensors Conferences
(2003 and 2004). She is a member of the Ad Com of the IEEE Sensor Council.

You might also like