Download as pdf or txt
Download as pdf or txt
You are on page 1of 1

business news technology focus

Lithography roadmap on track


Ebyxtreme UV lithography (EUVL) and
193 nm immersion lithography enhanced
double-patterning techniques will be
enable successful adoption of EUVL for
commercial manufacturing. These include
the availability of defect-free masks, long-
sufficient to maintain the lithography term source operation with 100 W at the
roadmap for several technology nodes. That intermediate focus, and achievement of
was the message given loud and clear at the resist with sufficient resolution, sensitivity
EUVL and Immersion Extensions Symposia, and line-edge roughness.
which took place at the end of 2009. “Good progress has been made
The collective event, organized by © istockphoto.com / penfold towards achieving resist resolution and
the semiconductor industry consortium sensitivity targets, with some improvement
SEMATECH, covered technology, in line-edge roughness, and now chip
infrastructure and the business challenges manufacturers are demonstrating post-
that the industry must address for exposure resist processes that lead to
commercial manufacturing at the 22 nm significantly reduced line-edge roughness,”
half-pitch node. said Stefan Wurm, SEMATECH’s associate
Highlights from the symposia included director of lithography.
a report from the laser developer Cymer Despite the economic climate, attendance
that its laser-generated plasma sources node using a variety of double-patterning levels surpassed those of previous years.
can now generate 50 W of 13 nm EUV approaches, including spacer, double etch Bryan Rice, director of lithography at
light at intermediate focus. High-volume and resist-freezing processes, as well as SEMATECH said: “I attribute this to a
commercial lithography will ultimately litho-etch–litho-etch and source–mask combination of the industry’s critical need to
require around 180 W of power to expose 100 optimization schemes. address the cost and risk of developing EUV
wafers per hour. Researchers also reported The EUVL Symposium Steering technologies, and to the recognition that
that 193 nm immersion lithography has Committee has identified three remaining 22 nm solutions must be ready for insertion
successfully been extended to the 22 nm areas that the industry must focus on to very soon, by 2013.”

Mapper receives cash boost of computational lithography products. Stacked-System Technology and Application
Chartered will use Brion’s Tachyon range of Consortium (Ad-STAC), a multinational
Mapper Lithography, based in Delft, the products — in particular the Tachyon OPC+ research association led by ITRI, will
Netherlands, has been awarded a subsidy of (optical proximity correction), the Tachyon implement a variety of SUSS’s equipment for
around 10 million Euros by SenterNovem, LMC (lithography manufacturability check), processing 300-mm-diameter wafers in a
an agency of the Dutch Ministry of and Tachyon resolution enhancement demo production line at ITRI in Hsin-Chu,
Economic Affairs. products — to design and manufacture Taiwan. The equipment includes SUSS’s
Mapper will use these funds to develop devices at the 45 nm node and below. 300 mm lithography cluster LithoPack300
a beta version of its maskless lithography Chartered expects to achieve higher yields and its 300 mm bond cluster CBC300.
tool. The tool is planned to use over 10,000 by improving the linewidth control using The Ad-STAC consortium is comprised
electron-beams in parallel to write patterns Brion computational technology. Meeting of 12 multinational companies involved
of electrical circuits directly onto wafers, the imaging requirements of advanced in 3D research and development. The
eliminating the expensive photomask process technology nodes will require the effective LithoPack300 integrates two latest-
used in current lithography machines. use of increasingly complex resolution generation 300 mm photolithography
The company recently shipped an enhancement techniques. Chartered will modules — the ACS300 Gen3 spray coater
electron-beam lithography platform to have access to Brion’s double-patterning and the MA300 Gen2 mask aligner — in
CEA-Leti in Grenoble, France, for use with solutions, resolution enhancement techniques one system. The CBC300 is a modular
300-mm-diameter wafers. The machine and computational lithography solutions. wafer bonding platform configured to
will be used for Imagine, a three-year Brion says that the flexibility of its double- use the latest fusion bonding techniques
program that focuses on electron-beam patterning schemes allows Chartered to select such as plasma activation and thermo-
direct-write lithography for integrated the optimum combination of techniques for compression for 3D integration. It offers
circuit manufacturing at the 22 nm node every layer within each design, thus helping to temporary bonding capability using latest-
and beyond. This effort will cover a range of minimize lithography costs. generation adhesives specifically designed
topics, including tool assessment, patterning for 3D applications.
and process integration, as well as data collaboration tackles “We are proud to have become part of
handling and cost of ownership studies. this important alliance in ITRI’s Ad-STAC
3D integration program,” stated Frank Averdung, CEO and
Brion wins multiyear contract German company SUSS MicroTec and the president of SUSS MicroTec. “We will be
Taiwanese Industrial Technology Research working with worldwide leading research
Brion Technologies, a division of ASML, has Institute (ITRI) are to collaborate on the and industry partners on viable production
reached a multiyear agreement to supply development of 3D integration technologies platforms to enable cost-efficient and high-
Chartered Semiconductor with a suite for semiconductor circuitry. The Advanced yield manufacturing processes.”

20 nature photonics | VOL 4 | JANUARY 2010 | www.nature.com/naturephotonics

© 2010 Macmillan Publishers Limited. All rights reserved

You might also like