Professional Documents
Culture Documents
Q Ref
Q Ref
Quick Reference
Guide
Table of Contents
5.
6.
7.
3.
4.
Function Debugging
1.
2.
3.
4.
Macro Debugging
1.
2.
3.
2.
Compiling:
If all design files are SystemVerilog compliant, specify the -sv or
-sverilog option in Verdi.
% vericom -f run_verilog_files.f
NOTE: With above +ext+ examples, files with extension name (.sv
or .SV) will automatically be recognized as SystemVerilog.
Files with extension name (.v2k) will automatically be
recognized as Verilog-2001. Files with extension name (.v)
will automatically be recognized as Verilog-95.
2.
Dumping:
$fsdbDumpSVA; command is required to dump SVA. Only
asserts will be dumped, Verdi will calculate properties automatically when analyzing.
For VCS, set LD_LIBRARY_PATH to the Novas dumper, the
VCS version will be selected automatically. For example:
% setenv LD_LIBRARY_PATH ${NOVAS_INST_DIR}/
share/PLI/VCS/${PLATFORM}
Use the -P option to specify the Novas PLI table file. For
example:
% vcs -line -debug_pp \
-P ${NOVAS_INST_DIR}/share/PLI/VCS/
${PLATFORM}/novas.tab \
${NOVAS_INST_DIR}/share/PLI/VCS/
${PLATFORM}/pli.a \
-f run.f -sverilog
For IUS, set LD_LIBRARY_PATH to the Novas dumper, the IUS
version will be selected automatically. For example:
% setenv LD_LIBRARY_PATH ${NOVAS_INST_DIR}/
share/PLI/IUS/${PLATFORM}
2.
3.
2.
3.
3.
4.
5.
6.
7.
8.
In the Grid Options form, enable the Grid on, Rising Edge,
and Grid Count with Start Number options and click the
Apply button at the bottom.
3.
4.
2.
2.
3.
4.
2.
3.
In the Virtual File Editor form, enter a name for your virtual
file in the Virtual File Name section, similar as
"total_virtual_file.vf".
Select an FSDB file and click the Add button. Add more
files in the same way, and then click the OK button.
If you already have many other FSDB files opened in the current
nWave window, you have to set the new virtual file as active:
In the Active File form, select the virtual file that you just
created and click the OK button.
3.
4.
2.
3.
4.
2.
3.
4.
5.
2.
Select an FSDB file and click the Add button (select more if
needed). Then click OK button.
In nWave, invoke Tools -> Toggle Coverage Report.
Select the first group name from the first Group Name
selection field. And select the second group name from the
second Group Name selection field.
2.
2.
3.
4.
9.
3.
4.
5.
Transaction Evaluator
1.
2.
3.
4.
5.
6.
Transaction IP such as SpiraTech can dump transactionlevel data using APIs since they are transaction-aware.
2.
3.
Click OK.
In the Switching Analysis Report window,
Click OK.
3.
4.
5.
6.
7.
8.
In nTrace, invoke File -> SDF -> Load SDF Files ->
<your_sdf_file>.sdf
In nTrace, invoke Source -> Find String; enter the name of a
register where you want to start. Select Match Case and In All
Files options; double-click on desired result in the message pane
at the bottom of the nTrace window.
In nTrace, click nSchema icon.
In nSchema, invoke Trace -> Two Points.
In nTrace, drag and drop starting point output pin into From
field of Trace Two Points form.
In nTrace, invoke Source -> Find String; enter some name of a
register where you want to end; Select Match Case and In All
Files options; Double-click on desired result in the message pane
at the bottom of the nTrace window.
In nTrace, drag and drop ending point output pin into To field of
Trace Two Points form.
In Trace Two Points form, click the Trace button.
3.
4.
5.
6.
7.
8.
9.
nECO
- Graphical Engineering Change Order
Enhancement Tool
- Modify Gate Level Netlist
- Non-Freeze Silicon ECO
NOTE: nECO is an optional Verdi module.
2.
Find the cell that has too much load on it, right-click on cell
to invoke Copy Instance, and then right-click to invoke
Paste Instance.
3.
$fsdbDumpfile("esd.fsdb");
$fsdbDumpvarsES("es.list");
4.
5.
6.
7.
8.
9.
ba_mode WSBA = Run Behavior Analysis in nonincremental mode. Specify WSBA to do scope base
Behavior Analysis for all top scopes. There are two modes
for this argument:
WSBA: Perform non-incremental Behavior Analysis in
working scope mode.
MBBA: Perform incremental Behavior Analysis in modulebased mode.
Enable Active Annotation by invoking Source -> Active
Annotation in nTrace to view data expansion results. Note that
the value calculated by the Data Expansion engine will be
marked as purple.
Drag local signals to nWave. Drag an instance in the Verdi
session.
Create a Temporal Flow View from this transition to view full
capabilities of Verdi debug.
Resources