Intelligent Sun Tracking System Using FLC Implemented On FPGA

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 9

International Journal of Advance Foundation and Research in Computer (IJAFRC)

Volume 2, Issue 10, October - 2015. ISSN 2348 4853, Impact Factor 1.317

Intelligent Sun Tracking System Using FLC Implemented


On FPGA
Mr.Rahulkumar R Patel,Dr. A.J PATIL,Mrs.A.N.Shewale.
SGDCOE Jalgaon North Maharashtra University Jalgaon
ABSTRACT
Solar energy is becoming increasingly attractive as we faced with global climate changes & less
arability of fossil fuel in India. Solar energy is free, non-polluting, and inexhaustible & We can
generate at load site so transmission cost & loss is nil. A solar panel receives the most sunlight
when it is perpendicular to the suns rays, but the sunlight direction changes regularly with
changing seasons and weather. Currently, most solar panels are fixed, i.e., the solar array has a
fixed orientation to the sky and does not turn to follow the sun. To increase the unit area
illumination of sunlight on solar panels we can track the panel such that it can receive maximum
sun rays. The design apparatus holds the solar panel and allows the panel to perform an
approximate 3-dimensional (3-D) hemispheroidal rotation to track the suns movement during
the day and improve the overall electricity generation.
Index Terms : FPGA, Tracking System, PV panel.

I.

INTRODUCTION

Day by day increasing demand of energy renewable energy play vital role to fulfill demand of energy. the
sources of renewable energy are Solar energy ,wind energy, biomass, Tidal etc[3] Out of these sun is
prime source of energy. we can get electricity by using photo voltaic , photo-thermal process.
Photovoltaic is the process to convert light into electricity at the atomic level. Some materials exhibit a
property known as the photoelectric effect that causes them to absorb photons of light and release
electrons. When these free electrons are captured, an electric current results that can be used as
electricity. Photo-Thermal process intensity of solar radiation varies with time and the temperature of
water raises depended on the amount of solar radiation & high pressurize steam drives turbine produces
electricity. The amount of energy obtain from PV panel is directly proportional to the amount of sun
light received by that solar panel. As domestic and industrial application of solar energy is increased,
that needs to extract maximum power from solar panel. Three factors that affect the efficiency of
collection process are solar cell efficiency, intensity of sun radiation and storage technique. But as
because of material used for the manufacturing of solar cell, it is difficult to improve the efficiency of
the solar cell, hence it is necessary to improve efficiency of collection process. There are three
methods by which efficiency of collection process can be improved and these are: sun tracking,
maximum power point tracking method, and both in MPPT technique we know maximum power is
transfer from source to load when source resistance is complex conjugate to load resistance but complex
nature of I-V characteristics of solar panel we required to add such complex resistance at the load side to
get maximum power & these is achieved in MPPT technique .In solar tracking system we used movable
panel such that they can track the sun position by open loop or closed loop system. In open loop system
we can move the panel by motor at fixed time interval & In closed loop system some feedback mechanism
is used to take sun position & panel always faces sun. These method needs some intelligent controller to
track the sun such as conventional PID controller & fuzzy Controller. There is significant change in the
performance of controllers with the introduction of Fuzzy systems. Fuzzy controller tuned for third order
33 | 2015, IJAFRC All Rights Reserved

www.ijafrc.org

International Journal of Advance Foundation and Research in Computer (IJAFRC)


Volume 2, Issue 10, October - 2015. ISSN 2348 4853, Impact Factor 1.317

system with a high dead time which is difficult to control by the use of conventional controller. FLC has
been widely used for nonlinear, high order & high dead time plants[4].we can implement FLC by using
microprocessor or microcontroller. but disadvantages like it is always sequential i.e. for real time
application & flexibility concerned FPGA is better suited. it is used for implementing the hardware circuit.
Advantage of VHDL as C can only handle sequential instructions. VHDL allows both sequential and
simultaneous executions [5]. Now FLC implemented on FPGA drives dc motor attached with solar panel &
keep it in front of towards the sun. I used stepper motor because it high degree of accuracy The stepper
motor is known by its important property to convert a train of input pulses (typically square wave
pulses) into a precisely defined increment in the shaft position. Each pulse moves the shaft through a
fixed angle. Stepper motors effectively have multiple "toothed" electromagnets arranged around a central
gear-shaped piece of iron. The electromagnets are energized by using FLC.
II. SUN TRACKING SYSTEM

Figure 1 A Block Diagram Of Sun Tracking System


Two Light Dependant Resistor sensors are mounted on the solar panel used to coverts light energy into
proportional analog voltage and placed in an enclosure as shown in Figure (1). It has a response which is
similar to the human eye. The east and west LDR sensors compare the intensity of received light in the
east and west. The light source intensity received by the sensors are different, the system obtains signals
from the sensor output voltage in the four orientations. The sensor output voltage value inferred by
voltage type A/D converter, in this work is used ADC0804 & given to FPGA kit according to fuzzy logic
processing FPGA Provides signal dc motor via motor driver for current driving purpose. the motor rotate
& it fixed the position of panel towards the sun.
III. FLC SYSTEM
FLC has three basic parts that are fuzzification, rule base, Difuzzification. Error and change in the
error are the inputs to the fuzzy logic controller. Output of the fuzzy logic controller is provided to
current driver for boosting of current for stepper motor winding. FLC for sun tracking system is shown
in figure 2, it consist of three basic part fuzzyfication, interfarece(rule base) & defuzzfication. LDR
available in different sizes (as shown in figure 1) but mostly bigger size LDRs are used because bigger
size LDR having more compassion and required less time to change output when input change. In sun
tracking system, to rotate the PV panel according to the sensors output intelligent controller is needed.
Hence in sun tracking intelligent controller like PID controller or fuzzy logic controller can be used.
Fuzzy logic controller is having advantages over PID controller like Mathematical model of the
control system is not required. Totally depend upon operators experience. It deals with non linearitys
34 | 2015, IJAFRC All Rights Reserved

www.ijafrc.org

International Journal of Advance Foundation and Research in Computer (IJAFRC)


Volume 2, Issue 10, October - 2015. ISSN 2348 4853, Impact Factor 1.317

of the system. Linguistic system definitions can be converted into control rule base or control
algorithm. Fuzzy logic controller can be implemented on the microcontroller, microprocessor PLD,
FPGA. Microcontroller is having some disadvantages that is microcontroller is more economical and
having problem while dealing with control system because it required high processing speed. FPGA is
faster than microcontroller It is suitable for fast implementation of controller and can be
programmed to do any type of digital function. FPGA is more flexible and because of it FPGA have
additional function and user interface control and it reduce the requirement of additional external
component. Every FLC has three basic parts that are fuzzification, rule base, Difuzzification. Error
and change in the error are the inputs to the fuzzy logic controller. Output of the fuzzy logic
controller is fed to the stepper motor driver. FLC for sun tracking system is shown in figure 2, it mainly
consist of three basic part and these are discussed as follow Here the inputs Error(Err) and change in
the error(CE) getting from the sensor. This inputs converted into the fuzzy input and output will get
after fuzzification. This output is then fed to the motor to control it. As shown in bellow figure 2

Figure 2. Block diagram of fuzzy logic controller .


IV. FUZZYFICATION
Fuzzification is the process that converts numerical values into grades of membership of fuzzy set
members For each input and output variable selected, we define two or more membership functions
(MF). We have to define a qualitative category for each one of them, for example: low, normal or high. The
shape of these functions can be diverse but we will usually work with triangles and trapezoids For
example triangular membership function for error, change in the error and output is shown in the
figure 3, 4 and 5 respectively. Fuzzy membership rules are used to set the input and output range in
several levelsuch as low, medium and high. The fuzzy rules are used to relate and combine the input and
output of FLC. Commonly, the relation of input and output are using OR and AND logic. Fuzzification is
the first step in the fuzzy differencing process. This involves a domain transformation where crisp inputs
are transformed into fuzzy inputs. Crisp inputs are exact inputs measured by sensors and passed into the
control system for processing data. For each input and output variable selected, it should define two or
more membership functions (MF). It needs to define a qualitative category for each one of them, for
example: low, normal or high. The shape of these functions can be diverse but we will usually work with
triangles and trapezoids. The fuzzy control can overcome some shortcomings of traditional PID. The
fuzzy controller is a language controller. The algorithm of fuzzy control can be obtained from experience
and optimized from the operation, which has advantages such as powerful anti-interference, faster
response and strong robust. Here the input obtained from the two LDR is converted into crisp value &
into grades of membership for linguistic terms of fuzzy sets. The membership function is used to
associate a grade to each linguistic term. The simplest membership functions are formed using straight
lines. The simplest is the triangular membership function, and it has the function name trimf. Fuzzy
Linguistic Variables are used to represent qualities spanning a particular spectrum. The trapezoidal
membership function, trapmf, has a flat top and really is just a truncated triangle curve. These straight
line membership functions have the advantage of simplicity. Two membership functions are built on
35 | 2015, IJAFRC All Rights Reserved

www.ijafrc.org

International Journal of Advance Foundation and Research in Computer (IJAFRC)


Volume 2, Issue 10, October - 2015. ISSN 2348 4853, Impact Factor 1.317

the Gaussian distribution curve by a simple Gaussian curve and a two-sidedcomposite of two different
Gaussian curves.

Figure3 error fuzzy set

Figure 4 change in error fuzzy set

Figure5: FLC output entering to stepper motor


V. RULE BASE (DECISION MATRIX)
Once the input and output variables and MF are defined, we have to design the rule-base (or decision
matrix of the fuzzy knowledge-base) composed of expert IF previous circumstances THEN conclusions
rules. These rules transform the input variables to an output that will tell us the risk of operational
problems (this output variable, risk of a problem, also have to be defined with MF, usually low, normal
and high risk). Depending on the number of MF for the input and output variables, we will be able to
define more or less potential rules. The easier case is a rule base concerning only one input and one
36 | 2015, IJAFRC All Rights Reserved

www.ijafrc.org

International Journal of Advance Foundation and Research in Computer (IJAFRC)


Volume 2, Issue 10, October - 2015. ISSN 2348 4853, Impact Factor 1.317

output variable ex. If ERROR is PS and CHANGE IN ERROR is NS then OUTPUT will be ZE If ERROR is PB
and CHANGE IN ERROR is NM then OUTPUT will be PS.
Table 1: Control rule-base for fuzzy logic controller
Er/CE
NB
NM
NS
ZE
PS
PM
PB

NB
NB
NB
NB
NB
NM
NS
ZE

NM
NB
NB
NM
NM
NNS
ZE
PS

NS
NB
NM
NS
NS
ZE
PM
PB

ZE
NB
NM
NS
ZE
PS
PM
PB

PS
NM
NS
ZE
PS
PS
PM
PB

PM
NS
ZE
PS
PB
PB
PB
PB

PB
ZE
PS
PM
PB
PB
PB
PB

Figure 6 Rule viewer of output variable speed of motor

37 | 2015, IJAFRC All Rights Reserved

www.ijafrc.org

International Journal of Advance Foundation and Research in Computer (IJAFRC)


Volume 2, Issue 10, October - 2015. ISSN 2348 4853, Impact Factor 1.317

Figure 7 3-D view of speed ,change in error , error

VI.DIFUZZIFICATION
Reverse of fuzzification is Difuzzification it converts fuzzified output into the normal crisp output.
Different methods for Difuzzification are available like maximum defuzzyfication technique in these
method the output with the highest membership function these technique is very fast but is only
accurate for peaked output second method is weighed defuzzyfication technique in this method the
output is obtained by the weighted average of the each output of the set of rules stored in the
knowledge base of the system & third method is centroid defuzzyfication technique This method is
also known as center of gravity or center of area method. This technique was developed by Sugeno in
1985. The centroid defuzzyfication technique can be expressed as

Where, x* is the defuzzified output, mi is the membership of the output of each rule, and wi is the
weight associate with each rule. In these method computationally faster and easier and gives fairly
accurate result for these we used center of gravity method for FPGA implementation.
VII. RTL SCHEMATIC

38 | 2015, IJAFRC All Rights Reserved

www.ijafrc.org

International Journal of Advance Foundation and Research in Computer (IJAFRC)


Volume 2, Issue 10, October - 2015. ISSN 2348 4853, Impact Factor 1.317

Figure 8 Inside the RTL simulation.

Figure 9 Inside the RTL simulation for LDR addressing

Figure 10 Inside the RTL simulation stepper drive

VIII. RESULTS
39 | 2015, IJAFRC All Rights Reserved

www.ijafrc.org

International Journal of Advance Foundation and Research in Computer (IJAFRC)


Volume 2, Issue 10, October - 2015. ISSN 2348 4853, Impact Factor 1.317

Figure 11 graph of tracking system


Above graph is plotted with the output voltage and position of photovoltaic panel from 8am to 6 pm
every hour with & without tracking. It is observed that at morning the voltage without tracking is 1.1V at
the same time tracking system output voltage is 1.85V.The Maximum Voltage is getting from system is
6.21V compared without tracking is 6.00V because at that time the sun rays are perpendicular to the PV
panel .Thus the efficiency of system is increased due to tacking of sun rays.
IX. CONCLUSIONS
In these paper fuzzy logic belonging to a wide class of fuzzy logics and for each fixed type of membership
function belonging to a wide class of membership functions, the fuzzy logic control systems based on
them are capable of approximating any real continuous function on a compact set to arbitrary accuracy.
The tracking controller based on the fuzzy algorithm is designed and implemented on FPGA. The
hardware implementation of a simple state machine for data flow control. It reduces the time response
the observed synthesis hardware requirements on a Xilinx Spartan-3 FPGA and executions times
achieved, errors due to finite control word length is reported .Cadmium sulphide light dependant non
linear resistor is used to detect the light intensity .The sun tracking generating power system is designed
&implemented in real time. The use of stepper motor enables accurate tracking of the sun. The FLC is
analysed using the MATLAB & complete fuzzy logic algorithm is assembled in VHDL algorithm, It is
synthesised and explored to FPGA technology. The sun controller is able to track the sun light
automatically. The stepper motor move the panel according to sun light in accurate step & produces
maximum sun energy. It is shown that by comparing result of with & without tracking the efficiency of
with sun tracking is greater than without tracking .
X. REFERENCES

40 | 2015, IJAFRC All Rights Reserved

www.ijafrc.org

International Journal of Advance Foundation and Research in Computer (IJAFRC)


Volume 2, Issue 10, October - 2015. ISSN 2348 4853, Impact Factor 1.317

[1]

Basil M. Hamed , Mohammad S. EI- Moghany Fuzzy Controller Design Using FPGA for Sun
tracking in solar array system , I. J Intelligent System and Applicationtechnique.2012, 1, 46-52

[2]

Y. J. Huang, Member, IAENG, B. C. Wu, C. Y. Chen, C. H. Chang, and T. C. Kuo,Member, IAENGSolar


Tracking Fuzzy Control System Design using FPGAProceedings of the World Congress on
Engineering 2009 Vol I. WCE 2009, July 1 - 3,2009, London, U.K.

[3]

Subiyanto, Z. A. Ghani, A Mohamed, M A Hannan Prototype Development of AnIntelligent Power


Conditioning Unit For PV Generation System 978-1- 4673-4569-9/132013 IEEE.

[4]

Ms. Khireddine M.T. Makhloufi Y. Abdessemed A. Boutarfa, Tracking PowerPhotovoltaic System


with a Fuzzy Logic Control Stratergy 978-1- 4799-3999-2/14 2014IEEE Published by the IEEE
Computer Society.

[5]

Nasri Sulaiman, Zeyad Assi Obaid, Member, IACSIT, M. H. Marhaban and M. N.Hamidon FPGABased Fuzzy Logic: Design and Applications a ReviewIACSITInternational Journal of
Engineering and TechnologyVol.1,No.5,December,2009 .

[6]

Nian Zhang, Roland Kamdem, Esther Ososanya, Wagdy Mahmoud, and Wenxin LiuVhdl
Implementation Of The Hybrid Fuzzy Logic Controller With FPGA InternationalConference on
Intelligent Control and Information.Processing August 13-15, 2010 -Dalian, China.

[7]

Stanely R bull Renewable Energy Today & Tommorow Procedding Of IEEE volume 89 No.8
2001.

[8]

Elham Ataei, Rouhollah Afshari, Mohammad Ali Pourmina, Mohammad Reza KarimianDesign
and Construction of a Fuzzy Logic Dual Axis Solar Tracker Based on DSP 20112nd International
Conference on Control, Instrumentation and Automation 978-1-4673-1690-3/122011 IEEE.

[9]

Romeo U. Parrazales Miguel A. P. Tapia Adriano De Luca John Goddarda fuzzy logic controller
applied to a d.c. motor 0-7803-2972-4/@1996 IEEE.

[10]

Preeti, Narendra Singh Beniwa, Comparison of Conventional and Fuzzy P/PI/PD/PID Controller
for Higher Order Non Linear Plant with denoising High Dead TimeInternational Journal of
Scientific and Research Publications, Volume 2, Issue 8, August2012.

[11]

Rajesh Nema, Rajeev Thakur, Ruchi Gupta Design & Implementation of FPGA BasedOn PID
Controller IJIES ISSN: 23199598, Volume-1, Issue-January 2013.

[12]

Jeevan Doss C., Kumaravel M. and Jagadeesh Kumar V A Novel Measurement Technique for
Performance Comparison of Sun Tracker Systems IEEE 2013.

[13]

Elnosh, A. ,Khadkikar, V. , Zeineldin, H. Overview of maximum power point tracking technologies


for photovoltaic power systems IECON 2011 - 37th Annual Conf.

[14]

Al-Rousan, M. Shareiah, A. Al-Najjar, H. Choosing the efficient tracking method for real time
tracking system in Jordan and it's neighbours to get maximum gained power based on
experimental data ICRERA, 978-1-4673-2329-1//@2012IEEE.

41 | 2015, IJAFRC All Rights Reserved

www.ijafrc.org

You might also like