Final Project QoS in LTE

You might also like

Download as docx, pdf, or txt
Download as docx, pdf, or txt
You are on page 1of 164

( )

( )



____________________________________.001.____________________________

( )
i ' LTE OFDM
(Increasing Communications Quality of LTE System using OFDM Technique)
( )
. 11-1
( )

()


( )


(, )

. .
(, , )

. ..
()

(, )
2013 .

_8.05090302 _______
(, )
:
. _______________
()

2013 .


____
(, `, )
1. i ' LTE
OFDM (Increasing Communications Quality of LTE System using OFDM
Technique)
30 ___2013. ____________
2. () ________
3. () _ _____________
___ 3GPPLTE-Advanced IEEE 802.16mWiMAX.
4. ( , )
LTE. '.
. OFDM
LTE. .
5. ( ' ,
) _ Communications.Structure LTE.
LTE. OFDM-modulation.Down Uplink LTE.
OFDM LTE.
6. () ,

(, ,
, )
. ..
. ..



()
()

7. 02.02.2013
() _________ _..., . .._
()

(, , `, )

___________ _ _________
( - )

1
2
3
4
5
6
7

()

02.02.13
'
05.03.13
19.03.13


03.04.13
OFDM
LTE

16.04.13
OFDM 3GPP LTE

30.04.13
A,B,C,D,E,F and G
14.05.12


()

() ________________
()

ABSTRACT

Master's degree work: 159 pages, 58 figures, 8 tables, 20 references, 7


appendixes.
In this thesis the 3GPP LTE (Long Term Evolution) was investigated. The
LTE is the evolution of the UMTS system, which will make possible to deliver next
generation high quality multimedia services according to the telecommunication
system. This work contains the OFDM techniques of LTE, down link, up link and
modulation of LTE. The present work also contains Equalization compensation of
Inter symbol Interference (ISI) created by multipath signal prorogation within time
dispersive channels.
The results of all calculations showed that the investigation of the
performance characteristics to OFDM techniques will increase the Communications
quality that will be used as an input for a future LTE system level simulator.

LTE, 3GPP, IEEE 802.11, WiMAX, GSM,WCDMA,HSDP, OFDM, OFDMA,


SC-FDMA, MIMO, CP, ISI, ICI, DL-LTE, UP-LTE, SU-SISO, SU-MIMO, MUMIMO, Bit Error Rate, SNR, SIR, SINR and PAPR.

: 159 , 58 , 8 , 20
, 7 .
3GPP LTE (Long Term Evolution) . LTE
UMTS,
.
OFDM LTE, , LTE.

(ISI)
.
,
,

OFDM

',


LTE.

LTE, 3GPP, IEEE 802.11, WiMAX, GSM,WCDMA,HSDP, OFDM, OFDMA,


SC-FDMA, MIMO, CP, ISI, ICI, DL-LTE, UP-LTE, SU-SISO, SU-MIMO, MUMIMO, Bit Error Rate, SNR, SIR, SINR and PAPR.

: 159 , 58 , 8 , 20 ,
7 .
3GPP LTE (Long Term Evolution) .LTE
UMTS,

. OFDM
LTE, , LTE.
(ISI)
.
,
, OFDM ,

LTE.

LTE, 3GPP, IEEE 802.11, WiMAX, GSM,WCDMA,HSDP, OFDM, OFDMA,


SC-FDMA, MIMO, CP, ISI, ICI, DL-LTE, UP-LTE, SU-SISO, SU-MIMO, MUMIMO, Bit Error Rate, SNR, SIR, SINR and PAPR.

ACKNOWLEDGMENTS
The work in this thesis (Master Degree) was the result of research carried out
at the Department of Telecommunication Systems and Networks Engineering at Of
Kharkov National University of Radio Electronic, Ukraine.
In the first place, I am grateful to my supervisor Prof. Loshakov who
encouraged me and believed in my success and for his significant contribution in
making this thesis a reality.
I would like to thank the staff of my university and my department for all the
support that they gave me during my study until the end. Special thanks to my
colleagues and friends, especially Haider Khudhair Al Zayadi how were been an
amazing colleague that always supported me, and with whom I have learned a lot.
My friends in Iraq how were help me and pray for me Zaid Saadoon Hassoon ,
Haider Abbas Zuoaid , Ahmmed Ali Majeed , Mr. Hassan Ali Oklah and my Director
Mr. Talal Al Shara and his Aides Mr. Kamil Shunien and Mr. Salam Suaood.
I would like to thank my family for their full support, patience, entertaining
phone calls, and writing advice which made the completion of this thesis possible.
I am thankful to the friends I have made during my stay at Kharkov; they are
the support and kindness I needed for living far away from home. I will keep in my
heart the new family we have imagined in the early days at Kharkov Finally.
I would like to Thanksgiving and Praise God for all the successes in my life,
not letting me down at time of crises and showing me the silver lining in the dark
clouds.
At last Dedicate this humble work to the Prophet of Mercy Muhammad (Pray
God be upon him) the Muslim prophet.

CONTENT

ABSTRACT..
LIST OF ABBREVIATIONS ..
INTRODUCTION

1 Generation of Mobile Communications..


1.1 Mobile Generations
1.1.1 Zero generation of Mobile phones...
1.1.2 Advanced Mobile Phone System (AMPS)/ 1G.
1.1.3 Second Generation (1990)
1.1.4 Second Generation 2G+ / (2.5G & 2.75G)..
1.1.5 Third Generation (3G)..
1.2 3GPP Specifications.
1.2.1 LTE in Mobile Radio.
1.2.2 The Fourth Mobile Generation

Conclusion ...

2 Long Term Evolution principles and Effective Parameters


2.1 LTE History ..................
2.1.1 Background
2.1.2 Evolution from third generation cellular systems.
2.2 Evolved System Architecture overview ..

2.3 LTE Air interface concepts ...........


2.3.1 OFDMA as a downlink multiple access method
.....
2.3.2 SC-FDMA as an uplink multiple access method
.
2.3.3 Multiple Input Multiple Outputs MIMO in LTE
2.4 LTE Goals ..
2.4.1 LTE Features..
2.4.2 LTE Services..
2.4.3 Technologies Associated with LTE..
2.4.4 Interoperability..
2.5 LTE Technical, Multiple Access Techniques...............................
2.5.1 Downlink OFDMA.
2.5.2 Peak to Average Power Ratio (PAPR)
2.5.3 Frequency Offset
2.5.4 Uplink SC-FDMA..
2.5.5 SC-FDMA Transmitter.
2.5.6 SC-FDMA Receiver

2.5.7 Frequency Bands for FDD and TDD


2.6 WiMax
2.6.1 WiMax and Broadband Wireless Access.
2.6.2 WiMax Specifications
2.7 Compare between LTE & WiMax.
2.8 LTE as an Alternative Technology to WiMax..
CONCLUSION..

3 Orthogonal Frequency Division Multiplexing OFDM in LTE


Technology..
3.1 OFDM System Model ....
3.2 Orthogonal Frequency-Division Multiplexing System
3.2.1 Sub-channels Spacing and Frequency Orthogonal..
3.2.2 Modulation Scheme
3.2.3 Cyclic Prefix
3.3 OFDM Downlink LTE Setup ....
3.3.1 Parameters Specifications
3.3.2 Pilot Pattern
3.4 Multi-Cell Broadcast/Multicast Transmission and OFDM.........

3.5 Downlink and Uplink in LTE.


3.5.1 OFDMA (Downlink) of LTE.
3.5.2 SC-FDMA (Uplink) of LTE..
3.6 Ability of OFDMA and SC-FDMA systems to Increase the performance
of LTE
3.6.1 The use OFDMA in downlink
3.6.2 SC-FDMA..
3.6.3 Simulation Results.................
3.7 Advantages and disadvantages of OFDMA...
CONCLUSION..

4 Effective methods and parameters techniques for OFDM in 3GPP

LTE
4.1 OFDM Basics......................................
4.1.1 OFDM Parameters and Characteristics ...
4.1.2 Orthogonal...

4.2 DESIGN and IMPLEMENTATION of OFDM.....

4.2.1 System Configurations and Parameters.


4.2.2 OFDM Transmitter (Frame Guards)..
4.2.3 OFDM transmission
4.2.4 OFDM reception..
4.2.5 Frequency offset
4.2.6 Effect of frequency offset in OFDM receiver..
4.2.7 Cyclic prefix.
4.2.8 Use of cyclic prefix in multipath channel.
4.2.9 Choosing the cyclic prefix duration

4.3 3GPP LTE System Technical


4.3.1 Long Term Evolution..
4.3.2 Uplink Transmission....
4.3.3 Why OFDM is most favored for broadband systems
4.3.4 SC-FDMA Modulation.
4.3.5 OFDM..
4.3.6 OFDM to SC-FDMA
4.3.7 Frequency Spread OFDM
4.3.8 Subcarrier Mapping.

4.3.9 Single Carrier Modulation

4.4 Peak to Average Power Ratio (PAPR)........


4.4.1 What is PAPR
4.4.2 PAPR of a single sine tone
4.4.3 PAPR of a complex sinusoidal..
4.4.4 PAPR of a complex sinusoidal..
4.4.5 Maximum expected PAPR from an OFDM waveform.
4.4.6 PAPR analysis.
CONCLUSION......

5 LABOUR PROTECTION SAFETY IN EMERGENCY SITUATIONS..


5.1 Analysis conditions .......
5.2 Accident Prevention ......
5.3 Productions Sanitary ......
5.4 Fire Safety .........
5.5 Safety in Emergency .....

CONCLUSIONS..........

References ....
APPENDIX ......
Appendix A .....
Appendix B .....
Appendix C .....
Appendix D .....
Appendix E .....
Appendix F .....
Appendix G..

LIST OF ABBREVIATIONS
3GPP

3rd Generation Partnership Project

AS

Access stratum

ARQ

Automatic repeat request

CDD

Cyclic delay diversity

CDMA

Code division multiple access

Co-MIMO

Co-operative MIMO

CN

core network

CoMP

Co-operative Multi-point

CP

Cyclic prefix

CQI

Channel quality indicator

CRC

Cyclic redundancy check

CW

Codeword

DC-HSDPA

Dual-Carrier HSDPA

DFT

Discrete Fourier transform

DL

Downlink (base station to subscriber transmission)

E-DCH

Enhanced dedicated channel

E-UTRAN

Evolved UMTS terrestrial radio access network

EDGE

Enhanced Data rates for GSM Evolution

eMBMS

Evolved multimedia broadcast multicast service

eNb

Evolved Node B

EPC

Evolved packet core

E-UTRA

Evolved UTRA

E-UTRAN

Evolved UTRAN

FDD

Frequency division duplex

FFT

Fast Fourier transform

GSM

Global system for mobile communication

HARQ

Hybrid automatic repeat request

HSPA

High speed packet access

HSUPA

High speed uplink packet access

ICI

Inter-Carrier Interference

IEEE

Institute of Electrical and Electronics Engineers

IFFT

Inverse FFT

IOT

Interoperability test

IP

Internet protocol

LTE

Long term evolution

MAC

Medium access control

MBMS

Multimedia broadcast multicast service

MBSFN

Multicast/broadcast over single-frequency network

Mcps

Megachips per Second

MCS

Modulation and Coding Scheme

MIMO

Multiple input multiple output

MISO

Multiple input single output

MME

Mobility management entity

MMSE

Minimum Mean Square Error

MSE

Mean Square Error

MU-MIMO

Multiple user MIMO

NAS

Non-access stratum

OFDM

Orthogonal frequency division multiplexing

OFDMA

Orthogonal frequency division multiple access

PDN

packet data network

PHY

Physical layer

P-GW

packet gateway

PMI

Precoding matrix indicator

PRB

Physical Resource Blocks

QAM

Quadrature amplitude modulation

QPSK

Quadrature phase shift keying

RB

Resource block

RNC

Radio network controller

RS

Reference signal

SAE

System architecture evolution

SFBC

Space-frequency block coding

SFN

Single-Frequency Network

S-GW

Serving gateway

SIMO

Single input multiple output

SINR

Signal to Interference plus Noise Ratio

SIR

Signal to Interference Ratio

SISO

Single input single output

SNR

Signal-to-noise ratio

SU-MIMO

Single user MIMO

TBS

Transport Block Size

TD-SCDMA Time Domain Synchronous Code Division in Multiple Accesses


TDD

Time division duplex

TR

Technical report

TrCH

Transport channel

TTA

Telecommunications Technology Association

TTI

The Transmission Time Interval

UE

User equipment

UL

Uplink (subscriber to base station transmission)

UMB

Ultra-mobile broadband

UMTS

Universal mobile telecommunications system

UTRA

Universal terrestrial radio access

UTRAN

Universal terrestrial radio access network

W-CDMA

Wideband code division multiple access

WiBro

Wireless Broadband

INTRODUCTION
Mobile communication has become the most important requirements of global
societies. In the last century, technology has evolved from being expensive to a small
number of individuals available to and affordable for the vast majority of the
population of the world. Of the first experiments with radio communications
Guglielmo Marconi by the 1890s, where making to launch mobile wireless
communications. To understand the complex mobile communication systems through
the generations, it is important to understand where they came from and how it
evolved cellular systems. I have changed the task of developing mobile phone
technology as well, to become an increasingly complex task of interest to the
international standards development organizations, such as the Third Generation
Partnership Project (3GPP).
Techniques are often divided into generations in mobile communications, with
1G being analog mobile radio systems of the 1980s, 2G mobile systems, the first
digital, and 3G systems to deal with the first mobile broadband data. Is often called
the long-term evolution (LTE) "4G", but many also claims that the LTE release of 10,
also referred to as LTE, is the beginning of the evolution of 4G, with the first version
of LTE (Release 8) and then being labeled as "3.9 G ". The contest runs for the
preparation of new generations in the mobile system, which is really just a call, and
what is important is the actual capacity of the system and how to increase the
capacity of the channel carriers and purity.
3GPP LTE is the future 4G standard and globally recognized as the natural
evolution of for GSM/EDGE and UMTS/HSPA networks. Release 8 was frozen in
December 2008 and this has been the basis for the first wave of LTE equipment. LTE

specifications are very stable, with the added benefit of small enhancements having
been introduced in 3GPP Release 9.

Figure 1.1- in 3GPP Standard Generations

This thesis include four topics first topic describe the Mobile Generations
included 3GPP LTE telecommunication concepts is introduced, the history of
technology system and brief overview.
Second topic dealing with LTE system overview, system architecture, LTE
goals and Comparison LTE with WiMax.
Third topic , Using Orthogonal Frequency Division Multiplexing OFDM in
LTE Technology, This topic focuses on OFDM system, OFDM Downlink and Uplink
LTE Setup, and the LTE Transmitter and Receiver.
In the last topic four describe Mathematical models and MATLAB simulations
for increasing quality of (LTE) using (OFDM).

1 Generation of Mobile Communications


1.1 Mobile Generations
Public mobile telephone history begins in the 1940s after World War II.
Although primitive mobile telephones existed before the War, these were specially
converted two way radios used by government or industry, with calls patched
manually into the landline telephone network. Many New York City fireboats and
tugboats had such radiotelephones in the1930s.
Mobile generations started from 0G to 4G are discussed in this topic.

Figure 1.2- Mobile Generations

1.1.1 Zero generation of Mobile phones


In 1945, the zero generation (0G) of mobile telephones was introduced. 0G
mobile telephones, such as Mobile Telephone Service, were not officially categorized
as mobile phones, since they did not support the automatic change of channel

frequency during calls, which allows the user to move from one cell (the base station
coverage area) to another cell, a feature called "handover".
1.1.2 Advanced Mobile Phone System (AMPS)/ First Generation, (1972-1989)
The standard was developed by Bell labs and officially introduced in America
in 1983. It was the Analog mobile phone standard. Advanced Mobile Phone System
AMPS was the first generation cellular technology that uses separate frequencies.
AMPS pioneers fathered the term "cellular" because of its use of small hexagonal
"cells" within a system. AMPS cellular service operates in the 800 MHz Cellular FM
band. Since it is an analog standard, it is very susceptible to static and noise and has
no protection from eavesdropping using a scanner.
1.1.3 Second Generation (1990)
The second generation (2G) of the wireless mobile network was based on lowband digital data signaling. The most popular 2G wireless technology is known as
Global Systems for Mobile Communications (GSM). GSM systems, first
implemented in 1991, are now operating in about 140 countries and territories around
the world.
GSM technology is a combination of Frequency Division Multiple Access (FDMA)
and Time Division Multiple Access (TDMA). The first GSM systems used a 25MHz
frequency spectrum in the 900MHz band.
While GSM and other TDMA-based systems have become the dominant 2G
wireless technologies, CDMA technology is recognized as providing clearer voice
quality with less background noise, fewer dropped calls, enhanced security, greater
reliability and greater network capacity, 2G wireless technology can handle some
data capabilities such as fax and short message service at the data rate of up to 9.6
kbps, but it is not suitable for web browsing and multimedia applications.
1.1.4 Second Generation 2G+ / (2.5G & 2.75G) W.L. Networks (1990 - 2000)

The effective data rate of 2G circuit-switched wireless systems is relatively


slow. As a result, GSM, PDC and other TDMA-based mobile system providers and
carriers have developed 2G+ technology that is packet-based and increases the data
communication speeds to as high as 384kbps. The emerged technologies in 2.5G are:
- High Speed Circuit Switched Data (HSCSD) is one step towards 3G wideband
mobile data networks. This circuit-switched technology improves the data rates up to
57.6kbps by introducing 14.4 kbps data coding and by aggregating 4 radio channels
timeslots of 14.4 kbps.
- 2.5G: General Packet Radio Service (GPRS) is an intermediate step that is
designed to allow the GSM world to implement a full range of internet services
without waiting for the deployment of full-scale 3G wireless systems. The data is
packetized and transported over Public Land Mobile Networks (PLMN) using an IP
backbone so that mobile users can access services on the Internet, such as
SMTP/POP-based e-mail, ftp and HTTP-based Web services.
- 2.75G: Enhanced Data rate for Global Evolution (EDGE) technology is a
standard that has been specified to enhance the throughput per timeslot for both
HSCSD and GPRS.
1.1.5 Third Generation (3G) Wireless Networks (2000-2011 STILL)
3G wireless technology represents the convergence of various 2G wireless
telecommunications systems into a single global system that includes both terrestrial
and satellite components.
One of the most important aspects of 3G wireless technologies is its ability to
unify existing cellular standards, such as CDMA, GSM, and TDMA, less than one
umbrella. The following three air interface modes accomplish this result: wideband
CDMA, CDMA2000 and the Universal Wireless Communication (UWC-136)
interfaces.
3G wireless networks consist of a Radio Access Network (RAN) and a core
network. The core network consists of a packet-switched domain. The access network

provides a core network technology independent access for mobile terminals to


different types of core networks and network services.
Either core network domain can access any appropriate RAN service; e.g. it should
be possible to access a speech radio access bearer from the packet switched domain.
1.1.5.1 3G Networks
3G networks are intended to provide a global cellular communications with
wide range of services including telephony, paging, messaging, Internet and
broadband data.
The International Telecommunication Union (ITU) started the process of defining the
standard for third generation systems, referred to as International Mobile
Telecommunications 2000 (IMT-2000).
3G is the third-generation technology also known as UMTS (Universal Mobile
Telecommunications System) in the context of mobile phone standards. The third
generation, as its name suggests, follows the first generation (1G) and second
generation (2G) in wireless communications. The services associated with 3G
provide the ability to transfer simultaneously both voice data (a telephone call) and
non-voice data (such as downloading information, exchanging email, and instant
messaging). The first country that introduced 3G on a large commercial scale was
Japan. 3G networks are wide-area cellular networks that evolved to incorporate highspeed internet access and video telephony. Handsets could vary from hand-held
phones to wireless laptops used for high-speed Internet access [2].

1.1.5.2 Features of Mobile Generations


In this section a comparison between the 2G and 3G generations are discussed
to be able to know what the fourth generation will support, you should know what the
generations nowadays support. Table 1.1 shows the core network, data rates,

applications and availability of roaming in each generation. While Table 1-2 shows
the data rates in detail in each generation including the next generations, LTE and
Wimax. In next section and next topic, then discuss what the 4G and how differs from
3G in next topic this research discuss in detail about the LTE system and its
specifications.
Table 1.1- Mobile Generations

1.2 3GPP Specifications


The Third-Generation Partnership Project (3GPP) is the standards-developing
body that specifies the 3G UTRA and GSM systems. 3GPP is a partnership project
formed by the standards bodies ETSI, ARIB, TTC, TTA, CCSA and ATIS. 3GPP
consists of several Technical Specifications Groups (TSGs).
A parallel partnership project called 3GPP2 was formed in 1999. It also
develops 3G specifications, but for cdma2000, which is the 3G technology developed
from the 2G CDMA based standard IS-95. It is also a global project, and the
organizational partners are ARIB, CCSA, TIA, TTA and TTC. 3GPP TSG RAN is the
technical specification group that has developed WCDMA, its evolution HSPA, as
well as LTE, and is in the forefront of the technology. TSG RAN consists of five
working groups (WGs):

1. RAN WG1 dealing with the physical layer specifications.


2. RAN WG2 dealing with the layer 2 and layer 3 radio interface specifications.
3. RAN WG3 dealing with the fixed RAN interfaces, for example interfaces between
nodes in the RAN, but also the interface between the RAN and the core network.
4. RAN WG4 dealing with the radio frequency (RF) and radio resource management
(RRM) performance requirements.
5. RAN WG 5 dealing with the terminal conformance testing.

Figure 1.3- the Third-Generation Partnership Project (3GPP)

The scope of 3GPP when it was formed in 1998 was to produce global
specifications for a 3G mobile system based on an evolved GSM core network,
including the WCDMA-based radio access of the UTRA FDD and the TD-CDMAbased radio access of the UTRA TDD mode, The task to maintain and develop the
GSM/EDGE specifications was added to 3GPP at a later stage. The UTRA (and
GSM/EDGE) specifications are developed, maintained and approved in 3GPP.

Figure 1.4- 3GPP LTE Releases


The specifications of all releases 1.3 and figure 1.4 can be updated after each
set of TSG meetings, which occur 4 times a year. The 3GPP documents are divided
into releases, where each release has a set of features added compared to the previous
release. The features are defined in Work Items agreed and undertaken by the TSGs.
The releases up to Release 8 and some main features of those are shown in Figure.
The date shown for each release is the day the content of the release was frozen. For
historical reasons, the first release is numbered by the year it was frozen (1999),
while the following releases are numbered 4, 5, etc.
1.2.1 LTE in Mobile Radio
In contrast to transmission technologies using media such as copper lines and
optical fibers, the radio spectrum is a medium shared between different, and
potentially interfering, technologies. As a consequence, regulatory bodies in
particular, ITU-R (International Telecommunication Union Radio communication
Sector) [1], but also regional and national regulators
play a key role in the evolution of radio technologies since they decide which parts
of the spectrum and how much bandwidth may be used by particular types of service
and technology. This role is facilitated by the standardization of families of radio
technologies
a process which not only provides specified interfaces to ensure interoperability
between equipment from a multiplicity of vendors, but also aims to ensure that the
allocated spectrum is used as efficiently as possible, so as to provide an attractive
user experience and innovative services.
The complementary functions of the regulatory authorities and the standardization
organizations can be summarized broadly by the following relationship:

On a worldwide basis, ITU-R defines technology families and associates


specific parts of the spectrum with these families. Facilitated by ITU-R, spectrum for
mobile radio technologies is identified for the radio technologies which meet ITU-Rs
requirements to be designated as members of the International Mobile
Telecommunications (IMT) family.
Effectively, the IMT family comprises systems known as Third Generation
(for the first time providing data rates up to 2 Mbps) and beyond.
From the technology and standards angle, three main organizations have
recently been developing standards relevant to IMT requirements, and these
organizations continue to shape the landscape of mobile radio systems as shown in
Figure 1.5.

Figure 1.5- landscapes of mobile radio systems


The uppermost evolution track shown in Figure 1.5 is that developed in the 3rd
Generation Partnership Project (3GPP), which is currently the dominant standards
development group for mobile radio systems and is described in more detail below.
Within the 3GPP evolution track, three multiple access technologies are evident: the

Second Generation GSM/GPRS/EDGE family was based on Time- and FrequencyDivision Multiple Access (TDMA/FDMA); the Third Generation UMTS family
marked the entry of Code Division Multiple Access (CDMA) into the 3GPP evolution
track, becoming known as Wideband CDMA (owing to its 5 MHz carrier bandwidth)
or simply WCDMA; finally LTE has adopted Orthogonal Frequency-Division
Multiplexing (OFDM), which is the access technology dominating the latest
evolutions of all mobile radio standards [5].
In continuing the technology progression from the GSM and UMTS
technology families within 3GPP, the LTE system can be seen as completing the trend
of expansion of service provision beyond voice calls towards a multiservice air
interface. This was already a key aim of UMTS and GPRS/EDGE, but LTE was
designed from the start with the goal of evolving the radio access technology under
the assumption that all services would be packet-switched, rather than following the
circuit-switched model of earlier systems. Furthermore, LTE is accompanied by an
evolution of the non-radio aspects of the complete system, under the term System
Architecture Evolution (SAE) which includes the Evolved Packet Core (EPC)
network. Together, LTE and SAE comprise the Evolved Packet System (EPS), where
both the core network and the radio access are fully packet-switched.
The standardization of LTE and SAE does not mean that further development
of the other radio access technologies in 3GPP has ceased. In particular, the
enhancement of UMTS with new releases of the specifications continues in 3GPP, to
the greatest extent possible while ensuring backward compatibility with earlier
releases: the original Release 99 specifications of UMTS have been extended with
high-speed downlink and uplink enhancements (HSDPA2 and HSUPA3 in Releases 5
and 6 respectively), known collectively as HSPA (High-Speed Packet Access).
HSPA has been further enhanced in Release 7 (becoming known as HSPA+) with
higher-order modulation and, for the first time in a cellular communication system,
multi stream MIMO4 operation, while Releases 8, 9 and 10 introduce support for
multiple 5 MHz carriers operating together in downlink and uplink.

These backward-compatible enhancements enable network operators who have


invested heavily in the WCDMA technology of UMTS to generate new revenues
from new features while still providing service to their existing subscribers using
legacy terminals.
The first version of LTE was made available in Release 8 of the 3GPP specification
series.
It was able to benefit from the latest understanding and technology
developments from HSPA and HSPA+, especially in relation to optimizations of the
protocol stack, while also being free to adopt radical new technology without the
constraints of backward compatibility or a 5 MHz carrier bandwidth. However, LTE
also has to satisfy new demands, for example in relation to spectrum flexibility for
deployment. LTE can operate in Frequency-Division Duplex (FDD) and TimeDivision Duplex (TDD) modes in a harmonized framework designed also to support
the evolution of TD-SCDMA (Time-Division Synchronous Code Division Multiple
Access), which was developed in 3GPP as an additional branch of the UMTS
technology path, essentially for the Chinese market.
A second version of LTE was developed in Release 9, and Release 10
continues the progression with the beginning of the next significant step known as
LTE-Advanced.
A second evolution track shown in Figure 1.5 is led by a partnership organization
similar to 3GPP and known as 3GPP2. CDMA2000 was developed based on the
American IS- 95 standard, which was the first mobile cellular communication
system to use CDMA technology; it was deployed mainly in the USA, Korea and
Japan. Standardization in 3GPP2 has continued with parallel evolution tracks towards
data-oriented systems (EV-DO), to a certain extent taking a similar path to the
evolutions in 3GPP. It is important to note that LTE will provide tight interworking
with systems developed by 3GPP2, which allows a smooth migration to LTE for
operators who previously followed the 3GPP2 track.

The third path of evolution has emerged from the IEEE 802 LAN/MAN5
standards committee, which created the 802.16 family as a broadband wireless
access standard. This family is also fully packet-oriented. It is often referred to as
WiMAX, on the basis of a so called System Profile assembled from the 802.16
standard and promoted by the WiMAX Forum. The WiMAX Forum also ensures the
corresponding product certification. While the first version, known as 802.16-2004,
was restricted to fixed access, the following version 802.16e includes basic support of
mobility and is therefore often referred to as mobile WiMAX. However, it can be
noted that in general the WiMAX family has not been designed with the same
emphasis on mobility and compatibility with operators core networks as the 3GPP
technology family, which includes core network evolutions in addition to the radio
access network evolution. Nevertheless, the latest generation developed by the IEEE,
known as 802.16m, has similar targets to LTE-Advanced.
The overall pattern is of an evolution of mobile radio towards flexible, packetoriented, multiservice systems. The aim of all these systems is towards offering a
mobile broadband user experience that can approach that of current fixed access
networks such as Asymmetric Digital Subscriber Line (ADSL) and Fiber-To-TheHome (FTTH).
1.2.2 The Fourth Mobile Generation
The fourth generation promise Support terminal and personal mobility,
Flexible roaming and hand-over supported To other different systems and networks,
Efficient support of various services Including symmetrical and asymmetrical
services, (Broadcast and distribution services), Maintaining QoS (comparable with
wire-line network).
The Target mobility and information bit rates are 2 Mbps for (250 Km/h), 20 Mbps
for (60 Km/h) and finally 100 Mbps for (3 Km/h).
4G promises Economic deployment of systems with optimized radio interfaces
among macro cells, micro cells, indoor, hot spots and broadcast networks, and

promises to accommodate mixed-mode multi-standard services, and to employ any


future services.
1.2.2.1 Fundamental requirements for LTE-Advanced
- Complete fulfillment of all the requirements for IMT-Advanced defined by ITU
- LTE-Advanced has to fulfill a set of basic backward compatibility requirements
Spectrum coexistence, implying that it should be possible to deploy LTE-Advanced
in spectrum already occupied by LTE with no impact on existing LTE terminals
infrastructure, in practice implying that it should be possible to upgrade already
installed LTE infrastructure equipment to LTE-Advanced capability
terminal implementation
- Support for peak-data up to 1 Gbps in the downlink and 500 Mbps in the uplink.
- Substantial improvements in system performance such as cell and user throughput
with target values significantly exceeding those of IMT-Advanced.
- Possibility for low-cost infrastructure deployment and terminals.
- High power efficiency, that is, low power consumption for both terminals and
infrastructure.
- Efficient spectrum utilization, including efficient utilization of fragmented spectrum
technical components of LTE-Advanced.
-Wider bandwidth and carrier aggregation.
-Extended multi-antenna solutions.
-Advanced repeaters and relaying functionality.
-Coordinated multi-point transmission.
The Wider bandwidth and carrier aggregation:1. LTE-Advanced will be an increase of the maximum transmission bandwidth
beyond 20 MHz, perhaps up to as high as 100 MHz or even beyond

2. In case of carrier aggregation, the extension to wider bandwidth is accomplished


by the aggregation of basic component carriers of a narrower bandwidth shown on
figure 1.6.

Figure 1.6 - Special features in LTE-A [Rel. 10] a) carrier aggregations

b) Repeat (Relaying) in LTE-A [Rel. 10]


1.2.2.2 Comparison of 3G and 4G Networks
Lets take a look at different specifications of both 3G and 4G mobile systems
The main difference between 3G and 4G networks are data rates, services,
transmission methods, access technology to the Internet, the compatibility to interface
with wire-line backbone network, quality of service and security, Table 1.2.
As networks evolve, more content choices will be available to satisfy customer needs.

3Gs high-speed communications (upwards of 2 Mbps) and broadband services


such as enhanced multimedia (voice, data and video) will attract many more
customers. Service providers and network operators have already started embracing
3G wireless standards to offer new services to their techno-savvy customers. Put
simply, 3G wireless technologies represents a shift from voice-centric services to
multimedia-oriented services like video, voice, data and fax.
Further deployment of 3G will see an explosion of personal communication
devices and systems that deliver freedom of communications through mobility as
well as wide-band wireless access to the Internet and advanced multimedia services.
The 3G handsets, though, will be slightly bigger because they will have more
software inside. In fact, as handsets morph into Personal Digital Assessments PDAs,
the appliance we carry around will become increasingly like a computer.
LTE is the next step from 2G (GSM) and 3G (based upon UMTS). LTE
provides significantly higher peak data rates (100 Mbps downstream/30 Mbps
upstream) and is backward compatible with existing GSM and UMTS networks.

Table 1.2 Comparisons between 3G Network and 4G Network

Table 1.3- Comparisons between 2.5, 2.75, 3 and 4G

CONCLUSION
Mobile generations started from 0G to 4G techniques are often divided into
generations in mobile communications, with 1G being analog mobile radio systems
of the 1980s, 2G mobile systems, the first digital, and 3G systems to deal with the
first mobile broadband data. Is often called the long-term evolution (LTE) "4G", but
many also claims that the LTE release of 10, also referred to as LTE, is the beginning
of the evolution of 4G, with the first version of LTE (Release 8) and then being
labeled as "3.9 G ".
The contest runs for the preparation of new generations in the mobile system,
which is really just a call, and what is important is the actual capacity of the system
and how to increase the capacity of the channel carriers and purity.
LTE is the next step from 2G (GSM) and 3G (based upon UMTS). LTE
provides significantly higher peak data rates (100 Mbps downstream/30 Mbps
upstream) and is backward compatible with existing GSM and UMTS networks.
3GPP LTE is also the future 4G standard and globally recognized as the
natural evolution of for GSM/EDGE and UMTS/HSPA networks. Release 8 was
frozen in December 2008 and this has been the basis for the first wave of LTE
equipment.
The main difference between 3G and 4G networks is data rates, services,
transmission methods, access technology to the Internet, the compatibility to interface
with wire-line backbone network, quality of service and security.
4G promises Economic deployment of systems with optimized radio
interfaces among macro cells, micro cells, indoor, hot spots and broadcast networks,
and promises to accommodate mixed-mode multi-standard services, and to employ
any future services.

2 Long Term Evolution principles and Effective Parameters

2.1 LTE History


The 3GPP Long Term Evolution (LTE) standard represents a major advance in
cellular technology. LTE is designed to meet carrier needs for high-speed data and
media transport as well as high-capacity voice support well into the next decade. LTE
is well positioned to meet the requirements of next-generation mobile networks. It
will enable operators to offer high performance, mass-market mobile broadband
services, through a combination of high bit-rates and system throughput in both the
uplink and downlink with low latency.
Long Term Evolution (LTE) is one of the choices for next generation
broadband wireless networks and is defined by the 3GPP standards as an evolution to
a variety of 3G wireless networks such as UMTS and EVDO. Its high data rates
enable advanced multimedia applications. The LTE network architecture, network
interfaces and protocols, air interface and mobility will provide advanced mobile
broadband services for years to come; LTE is an evolution of the current family of 3G
mobile wireless standards. A main objective of Long Term Evolution (LTE) is to
support IP multimedia services, including VoIP and high-speed data applications,
with an always-on end-user experience comparable to that of fixed internet access,
and at a lower cost per bit. This is achieved by flatter network architecture, improved
spectral efficiency, providing a more flexible spectrum deployment, lower operating
costs and better integration with other open standards such as WLAN and WiMAX.

2.1.1 Background
The work towards LTE standardization started in November 2004 in a 3GPP
Radio Access Network (RAN) Evolution Workshop in Toronto, Canada. As a result a

study item was created for developing a framework and defining the targets for
evolution of 3GPP radio access technology. Feasibility study for LTE E-UTRAN is
given in a 3GPP document TR 25.912 [10]. This study was done to ensure the long
term competiveness of 3GPP technology, which was seen necessary even though
HSDPA technology was not yet deployed at that time. The specification work was
considered complete five years later in March 2009 as the specifications for the
evolved core network called System Architecture Evolution (SAE), were included
and backwards compatibility to existing radio access technology was ensured. Today
there are several live commercial LTE networks e.g. in Sweden and Germany. New
LTE networks can be expected since the operators have shown great interest towards
LTE technology. [1], [11].
The first LTE release in 3GPP standards and the one studied in this thesis is
Release 8. Shown on figure 2.1 According to International Telecommunications
Union (ITU), LTE did not originally satisfy the requirements set for a 4G technology.
ITU considered that Release 10, namely LTE-Advanced, would be the first 3GPP
release to satisfy the requirements for an IMT-Advanced or 4G technology. The
operators however werent happy with pre-4G or 3.9G labels and were
advertising their LTE networks as fourth generation mobile networks. In December
2010 as a result of pressure from the operators, ITU declared in a press release that
LTE as well as WiMaX and HSPA+ can officially be called 4G technologies [12].
The roadmap for 3G evolution in 3GPP and the way towards 4G is illustrated in
Figure 2.1.

Figure 2.1- The roadmap for 3G evolution in 3GPP and the way towards 4G

2.1.2 Evolution from third generation cellular systems


The main motivation for LTE deployment is based on rapid growth in mobile
data usage. Increased demand for high user data rates, lower latencies and operator
demand for more capacity and efficient usage of the scarce radio spectrum are the
driving forces behind the technology development. Flat rate pricing models for
broadband subscriptions also create pressure for operators to minimize their cost per
bit expenses as well as their network maintenance costs [1]. These issues have been
tackled on several levels in both the radio access part of LTE, E-UTRAN, and the
core network, SAE. LTE network elements support the monitoring of user data
traffic, which makes other pricing models available for the operators. Flat rate pricing
models are however preferred at least in the beginning as they are critical for LTE
mass market adoption. [14]
LTE inherits the cellular concept and many of its features from legacy systems
in 3G cellular technologies but it also introduces a whole set of new concepts and
features. Code Division Multiple Access (CDMA) used in third generation systems
has been replaced by Orthogonal Frequency Division Multiple Access (OFDMA) as
the multiple access method in downlink due to its good spectral properties and
bandwidth scalability. OFDMA is well compatible with Multiple Input Multiple
Output (MIMO) multi-antenna transmission techniques used in LTE. The downside
of OFDMA is that it introduces a high Peak-to-Average Power Ratio (PAPR) in the
transmitter side. This increases transmitter complexity and power consumption,
which is a critical factor in the mobile terminal side. Therefore a multiple access
scheme that minimizes the terminal power consumption, namely Single Carrier
Frequency Division Multiple Access (SC-FDMA), was chosen for uplink. These
schemes will be explained in detail later in this topic. Some of the most important
LTE features are summarized below.
- OFDMA as downlink multiple access method provides orthogonal among users and
along with multiple-antenna techniques a good spectral efficiency.

- LTE provides frequency flexibility as it has been allocated 17 paired and 8 unpaired
bands with scalable bandwidth allocations of 1.4MHz to 20MHz.
- Enhanced air interface concepts as well as a flat All-IP core architecture provides
higher data rates and lower latencies with cost efficient operation.
- Seamless interoperability with legacy 3GPP systems.
Peak data rates in LTE release 8 are around 100Mbps in downlink and 50Mbps
in uplink per cell. Latency is reduced to approximately 10ms in round trip times.
These figures are a significant improvement from those of High Speed Packet Access
(HSPA) not to mention earlier 3G or 2G releases. The evolution from third generation
to fourth generation systems in terms of performance indicators such as data rates and
latency are summarized in Table 2.1 [1].
Table 2.1 the evolution from third generation to fourth generation systems in
terms of performance.

2.2 Evolved System Architecture overview


The design goal of LTE architecture is a simplified and more efficient all-IP
system, optimized for packet traffic. For example Radio Network Controller (RNC)

used in early 3G releases for Radio Resource Management (RRM) functions, is


removed and its intelligence is moved to the Evolved Node B (eNodeB).
Another considerable difference to legacy cellular systems is that there is no
circuit switched domain in LTE architecture. The core network is solely all-IP, and
therefore control data and user data as well as voice are all transferred on top of
packet switched IP-protocol. LTE terminal supporting multimode operation is
however specified to be capable of Circuit Switched Fall Back (CS FB), which means
that the terminal is transferred to UTRAN or GERAN circuit networks if there is no
VoIP support in the LTE network. Later on when VoIP support is added, Single Radio
Voice Call Continuity (SR-VCC) can be used for handing over existing VoIP calls to
GSM and WCDMA circuit switched networks. Packet switched I-RAT handover is
naturally also supported and can also be used as an intermediate step in handovers
from LTE packet domain to 3G or 2G circuit switched domain [1].
LTE network can be divided into two subsystems. Evolved UTRAN is the
radio access network that manages the wireless access part providing an access point
to the users. Evolved Packet Core (EPC) is then the core network part that manages
user mobility and interconnects the radio access part to other networks and services.
Network elements are connected to each other by specified interfaces that will also be
explained briefly here. The architecture is based on open interfaces, which means that
the interworking devices can be manufactured by different vendors to incite more
competition. The high level architecture of 3GPP LTE is illustrated below in Figure
2.2. A more detailed overview of LTE system architecture, network elements and the
interworking principles between the elements via interfaces is specified in 3GPP
document TS 23.401 General Packet Radio Service (GPRS) enhancements for
Evolved Universal Terrestrial Radio Access Network [17].

Figure 2.2- The high level architecture of 3GPP LTE


2.3 LTE Air interface concepts
LTE provides an impressive set of new air interface concepts. This section
introduces OFDMA and SC-FDMA as downlink and uplink multiple access methods
respectively. Multiple antenna techniques, such as MIMO, are also explained at the
end of this chapter. Some of the most important LTE air interface techniques are
illustrated in Figure 2.3 below. Some of these air interface techniques such as higher
order modulation, fast link adaptation and HARQ, have been introduced also in the
latest HSPA releases.
The air interface is likely to be the bottleneck link in the network. Therefore for the
most part the user delay as well as handover delay is caused by the air interface.
Handover failures and call drops are also likely to be caused by, e.g. radio link
failures in the air interface.

Figure 2.3- OFDMA, SC-FDMA as DL &UL multiple access methods respectively.


2.3.1 OFDMA as a downlink multiple access method
Orthogonal frequency-division multiplexing (OFDM) is a digital modulation
method used in several wireless radio access and broadcast systems such as WiMAX,
WLAN and DVB, as well as ADSL wire line systems. It provides good spectral
properties and performance in frequency fading channels. OFDM is based on closelyspaced narrowband subcarriers that are mutually orthogonal. The creation of OFDM
signal in transmitter receiver chain is illustrated in Figure 2.4.

Figure 2.4- The creation of OFDM signal in transmitter receiver chain

The orthogonal subcarriers are created with an IFFT transformation of signal


from frequency domain to time domain. Subcarriers are set to be 15 kHz apart in
LTE. Then a cyclic extension is added to the signal, which is then transmitted over
the air interface. The receiver then performs the cyclic extension removal and FFT
operations in the opposite direction to extract the sent bits correctly. [20]
OFDMA is then a multiple access method that allocates OFDM channels to
multiple users and separates the users in frequency and time. The minimum allocation
for one user in LTE is one resource block, which corresponds to 12 subcarriers in
frequency and one Transmit Time Interval (TTI), which equals 1ms in time. Ideally
there should be no Inter Carrier Interference (ICI) between users due to orthogonal
carriers. In practice frequency synchronization is required due to receiver
imperfections and frequency offset of moving UEs caused by the Doppler shift. Inter
Symbol Interference (ISI) in time domain caused by delayed multipath versions of
transmitted signals, is then mitigated by adding a guard interval, a cyclic extension, to
the symbols. RAKE sub-receivers used in 3G systems for combining multipath
components are therefore not needed in LTE.
Traditional methods such as interleaving for burst error prevention and coding
to provide Forward Error Correction (FEC) are also utilized to improve reliability of
the radio transmission. Interference from other cells remains a major issue since same
subcarriers are used in neighboring cells as LTE is a reuse 1 system. Various methods
for Inter Cell Interference Coordination (ICIC) have been proposed to mitigate the
interference, e.g. cell edge frequency reuse. [20]
Power control can be utilized in downlink control channels but for data channels,
power control is not utilized in LTE downlink. Instead a method called Adaptive
Modulation and Coding (AMC) is used that adapts the modulation scheme and
coding rate according to varying radio conditions. UE measures the channel quality
and gives feedback to the eNodeB in Channel Quality Indicator (CQI) reports and
according to the CQI, the eNodeB chooses the optimal Modulation and Coding
Scheme (MCS). The goal is to achieve a target Block Error Ratio (BLER) that

maximizes the throughput in the given radio conditions according to Carrier to


Interference plus Noise Ratio (CINR). Modulation types QPSK, 16QAM and
64QAM as well as a wide set of coding rates are supported in LTE downlink. The
modulation scheme defines how many bits can be carried per symbol. The coding
rate then defines the ratio of redundant bits per user bits. Therefore the chosen MCS
value defines an absolute value for the user throughput in given radio conditions. In a
mobility case this means that as the user traverses towards the edge of neighboring
cells that interfere with each other, his or her throughput decreases in a stepwise
manner. Then as the handover occurs, the throughput goes to zero for the duration of
the handover break. In the new cell the user throughput then starts to increase as he or
she continues to move away from the cell edge and towards the cell centre and better
radio conditions. [20]
2.3.2 SC-FDMA as an uplink multiple access method
Uplink transmission uses SC-FDMA as multiple access method. The difference
to OFDMA is that the data symbols in SC-FDMA occupy a frequency range of M*15
kHz adjacent subcarriers with M times the rate, hence the name Single Carrier.
OFDMA symbols then consist of only one subcarrier that is transmitted at constant
power during the entire symbol period of 66.7s.

Figure 2.5- The modulation schemes

The transmitter receiver chain is similar to that of OFDMA. The difference is


that after modulation, the symbols are converted to frequency domain and mapped to
the desired bandwidth. After that an IFFT is performed as in OFDMA to convert the
signal back to time domain for radio transmission shown on figure 2.5.
LTE uplink utilizes only slow power control since there is no near-far problem
like in WCDMA due to orthogonal resources. The point is to reduce terminal power
consumption and avoid a large dynamic receiver range in eNodeB side rather than
interference mitigation. Power control for LTE is standardized in [18]. Uplink
supports modulation types up to 64QAM but the terminal side may be limited to only
16QAM. LTE release 8 does not support multiple antenna transmission in uplink and
therefore data rates are significantly lower compared to downlink transmission. [1]
More extensive descriptions for LTE multiple access methods including
detailed mathematical principles can found in references [6] for OFDMA and [15] for
SC-FDMA.
Multiple access methods as well as MIMO techniques discussed next are some
of the key LTE air interface concepts. These concepts however have little relevance
to I-RAT handovers.
2.3.3 Multiple Input Multiple Output MIMO in LTE
MIMO, Multiple Input Multiple Output is another of the LTE major
technology innovations used to improve the performance of the system. This
technology provides LTE with the ability to further improve its data throughput and
spectral efficiency above that obtained by the use of OFDM.
Although MIMO adds complexity to the system in terms of processing and the
number of antennas required, it enables far high data rates to be achieved along with
much improved spectral efficiency. As a result, MIMO has been included as an
integral part of LTE.

2.3.3.1 LTE MIMO basics


The basic concept of MIMO utilizes the multipath signal propagation that is
present in all terrestrial communications. Rather than providing interference, these
paths can be used to advantage.
Two major limitations in communications channels can be multipath
interference, and the data throughput limitations as a result of Shannon's Law. MIMO
provides a way of utilizing the multiple signal paths that exist between a transmitter
and receiver to significantly improve the data throughput available on a given
channel with its defined bandwidth. By using multiple antennas at the transmitter and
receiver along with some complex digital signal processing, MIMO technology
enables the system to set up multiple data streams on the same channel, thereby
increasing the data capacity of a channel.
MIMO is being used increasingly in many high data rate technologies
including Wi-Fi and other wireless and cellular technologies to provide improved
levels of efficiency. Essentially MIMO employs multiple antennas on the receiver and
transmitter to utilize the multi-path effects that always exist to transmit additional
data, rather than causing interference.
The schemes employed in LTE again vary slightly between the uplink and
downlink. The reason for this is to keep the terminal cost low as there are far more
terminals than base stations and as a result terminal works cost price is far more
sensitive.
For the downlink, a configuration of two transmit antennas at the base station
and two receive antennas on the mobile terminal is used as baseline, although
configurations with four antennas are also being considered.
For the uplink from the mobile terminal to the base station, a scheme called
MU-MIMO (Multi-User MIMO) is to be employed. Using this, even though the base
station requires multiple antennas, the mobiles only have one transmit antenna and

this considerably reduces the cost of the mobile. In operation, multiple mobile
terminals may transmit simultaneously on the same channel or channels, but they do
not cause interference to each other because mutually orthogonal pilot patterns are
used. This techniques is also referred to as spatial domain multiple access (SDMA).
2.3.3.2 Multiple antenna techniques
The basic antenna configuration is Single Input Single Output (SISO), which
means that one antenna is used to transmit data and one antenna receives the data.
The fundamental idea to adding multiple antennas is that it improves performance
because the radiated signals take different propagation paths. LTE release 8 supports
multiple antenna modes of up to 4 transmit and 4 receive antennas. Multiple antenna
methods used in LTE including SISO, SIMO, MISO and MIMO are illustrated below
in Figure 2.6.

Figure 2.6- Multiple antenna methods


Multiple Input Single Output (MISO) and Single Input Multiple Output
(SIMO) are transmitting and receive diversity techniques. They provide path diversity
in poor radio conditions since fading loss can be much higher for the other signal
path. The receiver can thus select the signal with a better CINR. Data rates are
however not increased in diversity techniques since the same data is transmitted in
both signal paths.

Multiple Input Multiple Output (MIMO) differs from transmit diversity


techniques in such a way that different data streams are sent in different signal paths.
Theoretically in case of orthogonal data streams, the downlink user data rate can be
doubled in case of 2x2 Single-User MIMO. The data streams are separated by using a
channel matrix that aims to provide orthogonal signals at the receiver. Stream pairing
feedback can be used in case of Closed Loop MIMO operation. This operation is
similar to channel quality feedback CQI reporting but a different metric, namely
Precoding Matrix Indicator (PMI) is used for transmitter precoding matrix
optimization. Precoding is done to minimize the coupling of the spatial streams.
Release 8 defines also Multi-User MIMO, which can be used in uplink
direction so that the same time-frequency resources are utilized by two UEs. The data
rate for the UEs is not increased but more capacity is added on a cell level. MIMO
works in general well only in good radio conditions and therefore link adaptation is
used to switch the transmission mode to transmit diversity in poor radio conditions,
i.e. at the cell edge. Handovers within intra-frequency LTE cells always occur in
transmit diversity mode since the cells are interfering with each other and thus the
radio conditions are expected to be poor at the cell edge. [20]
2.3.3.3 Single input single output (SISO)
The most basic radio channel access mode is single input single output (SISO),
shown on figure 2.7 which only one transmit antenna and one receive antenna are
used defends in [1]. This is the form of communications that has been the default
since radio began and is the baseline against which all the multiple antenna
techniques are compared.

Figure 2.7- Single channel transmissions.

2.3.3.4 Single Input Multiple Output (SIMO)


A second mode which uses one transmitter and two or more receivers SIMO is
often referred to as receive diversity. This radio channel access mode is particularly
well suited for low signal-to-noise (SNR) conditions in which a theoretical gain of 3
dB is possible when two receivers are used. There is no change in the data rate since
only one data stream is transmitted, but coverage at the cell edge is improved due to
the lowering of the usable SNR.
2.3.3.5 Multiple input single output (MISO)
Multiple input single outputs (MISO) mode uses two or more transmitters and
one receive MISO is more commonly referred to as transmit diversity. The same data
is sent on both transmitting antennas but coded such that the receiver can identify
each transmitter. Transmit diversity increases the robustness of the signal to fading
and can increase performance in low SNR conditions. MISO does not increase the
data rates, but it supports the same data rates using less power. Transmit diversity can
be enhanced with closed loop feedback from the receiver to indicate to the transmitter
the optimum balance of phase and power used for each transmit antenna.
2.3.3.6 Multiple input multiple output (MIMO)
The final mode shown in Figure 2.8 is full MIMO, which requires two or more
transmitters and two or more receivers. MIMO increases spectral capacity by
transmitting multiple data streams simultaneously in the same frequency and time,
taking full advantage of the different paths in the radio channel. For a system to be
described as MIMO, it must have at least as many receivers as there are transmit
streams. The number of transmit streams should not be confused with the number of
transmit antennas. Consider the

Tx

diversity (MISO) case in which two

transmitters are present but only one data stream. Adding receive diversity (SIMO)

does not turn this configuration into MIMO, even though there are now two Tx and
two Rx antennas involved.
In other words, SIMO + MISO MIMO. It is always possible to have more
transmitters than data streams but not the other way around. If N data streams are
transmitted from fewer than N antennas, the data cannot be fully descrambled by any
number of receivers since overlapping streams without the addition of spatial
diversity just creates interference. However, by spatially separating N streams across
at least N antennas, N receivers will be able to fully reconstruct the original data
streams provided the path correlation and noise in the radio channel are low enough.
Another crucial factor for MIMO operation is that the transmissions from each
antenna must be uniquely identifiable so that each receiver can determine what
combination of transmissions has been received. This identification is usually done
with pilot signals, which use orthogonal patterns for each antenna.
The spatial diversity of the radio channel means that MIMO has the potential
to increase the data rate. The most basic form of MIMO assigns one data stream to
each antenna and is shown in Figure 2.8.

Figure 2.8- 2x2 MIMO, no preceding

In this form, one data stream is uniquely assigned to one antennaknown as


direct mapping. The channel then mixes up the two transmissions such that at the
receivers, each antenna sees a combination of each stream. Decoding the received
signals is a clever process in which the receivers, by analyzing the patterns that
uniquely identify each transmitter, determine what combination of each transmit
stream is present. The application of an inverse filter and summing of the received
streams recreates the original data.
A more advanced form of MIMO includes special preceding to match the
transmissions to the Eigen modes of the channel. This optimization results in each
stream being spread across more than one transmit antenna defends in [1]. For this
technique to work effectively the transmitter must have knowledge of the channel
conditions and, in the case of FDD, these conditions must be provided in real time by
feedback from the UE. Such optimization significantly complicates the system but
can also provide higher performance. Precoding for TDD systems does not require
receiver feedback because the transmitter independently determines the channel
conditions by analyzing the received signals that are on the same frequency.
The theoretical gains from MIMO are a function of the number of transmit and
receive antennas, the radio propagation conditions, the ability of the transmitter to
adapt to the changing conditions, and the SNR. The ideal case is one in which the
paths in the radio channel are completely uncorrelated, almost as if separate,
physically cabled connections with no crosstalk existed between the transmitters and
receivers. Such conditions are almost impossible to achieve in free space, and with
the potential for so many variables, it is neither helpful nor possible to quote MIMO
gains without stating the conditions. The upper limit of MIMO gain in ideal
conditions is more easily defined, and for a 2x2 system with two simultaneous data
streams a doubling of capacity and data rate is possible.
MIMO works best in high SNR conditions with minimal line of sight. Line of
sight equates to high channel correlation and seriously diminishes the potential for

gains. As a result, MIMO is particularly suited to indoor environments, which can


exhibit a high degree of multi-path and limited line of sight.

2.5 LTE Goals


LTE benefits
The internet generation is used to having broadband access whenever and
wherevernot just at home or in the office. People already browse the internet or
send e-mail using HSPA-enabled notebooks that replace their fixed DSL modems
with HSPA modems or USB dongles. Likewise, they send and receive videos and
music using 3G phones. With LTE, the user experience will be even betterit will
enhance capacity-demanding applications such as interactive TV, mobile video
blogging, advanced games and professional services.
Performance
LTE is specified by 3GPP to provide downlink peak rates above 100Mbps. The
current standardization of LTE allows for speeds more than 300Mbps and we have
already demonstrated corresponding peak rates. Radio access network (RAN)
roundtrip times will be less than 10ms, meaning LTE, more than any other
technology, already meets key 4G requirements.
Capacity
LTE supports flexible carrier bandwidths from 1.4MHz up to 20MHz. It is
being deployed in new spectrum and will offer optimal capacity when the full 20MHz
bandwidth is utilized. LTE also supports frequency-division duplex (FDD) and timedivision duplex (TDD). Several paired and unpaired spectrum bands have been

identified by 3GPP. Operators can thus introduce LTE in new bands where it is
easiest to deploy 10MHz or 20MHz carriers and eventually deploy LTE in all bands.
Simplicity
LTE radio network products will have several features to help simplify and
reduce the cost of building and managing next-generation networks. These features,
which go under the name of self-organizing networks (SON), include selfconfiguration and self-optimization. LTE will be deployed in parallel with simplified,
IP-based core and transport networks that are easier to build and maintain.
LTE base stations
RAN performance and, in particular, base station performance have a large
impact on capital and operating expenditures (CAPEX/OPEX) when deploying and
operating a radio network. Our new RBS 6000 series features extremely powerful
base station architecture. It includes the Digital Unit for LTE (DUL), with a multicore architecture as well as the Multi-Standard Radio (MSR) that supports LTE as
well as GSM and WCDMA in the same radio unit. RBS 6000 thus provides a futureproof investment that is equally valid when the modules are used in RBS 2000 and
RBS 3000.
2.5.1 LTE Features
Long Term Evolution offers the following features:
Up to 100 Mbps (Downlink) Up to 50 Mbps (Uplink) Simplified Architecture
Advanced MIMO Spatial Antenna Technology Open Interfaces Flexible
Frequency (FDD/TDD) All IP Backbone.
2.5.2 LTE Services

Long Term Evolution (LTE) will offer the following services: Mobile VoIP
Data (High-Speed) Text (SMS)/Multi-Media (MMS) Video-on-Demand Social
Networking Mobile Conferencing M-Commerce (Banking/Advertisement).
2.5.3 Technologies Associated with LTE
The development of Long Term Evolution is associated with the following
technologies:
WiMax-technology used for Wireless Metropolitan Networks (WMANs)
OFDM (Orthogonal Frequency Division Multiplexing)- OFDM technology has
been

incorporated into LTE because it enables high-data bandwidths to be

transmitted efficiently while still providing a high degree of resilience to reflections


and interference. The access schemes differ between the uplink and downlink:
OFDMA (Orthogonal Frequency Division Multiple Access) is used in the downlink.
SC-FDMA (Single Carrier-Frequency Division Multiple Access)- SC-FDMA(Single
Carrier - Frequency Division Multiple Access) is used in the uplink. SC-FDMA is
used in view of the fact that its peak to average power ratio is small and the more
constant power enables high RF power amplifier efficiency in the mobile handsets an important factor for battery power equipment.
MIMO (Multi-Input Multi-Output) one of the main problems with previous
telecommunications systems concerned distortion from reflected waves. By using
MIMO, these additional signal paths can be used to advantage and are able to be used
to increase the throughput.
SAE (System Architecture Evolution)- With the very high data rate and low latency
requirements for 3G LTE, it is necessary to evolve the system architecture to enable
the improved performance to be achieved. One change is that a number of the
functions previously handled by the core network have been transferred out to the
periphery.

Essentially this provides a much "flatter" form of network architecture. In this


way latency times can be reduced and data can be routed more directly to its
destination.
2.5.4 Interoperability
LTE hardware from multiple vendors is currently being tested for compatibility
with older technologies such as GSM and 3G networks. The availability of
commercial LTE terminals from multiple vendors and proven interoperability with
networks providers is a prerequisite for any operator to launch commercial LTE
services. Interoperability between infrastructure and terminals are keys with every
technology, and it is particularly fundamental in such a new technology like LTE.
LTE is designed to work with legacy technologies such as: GSM, 3G Networks,
WCDMA, CDMA-2000 and WiMax.

2.6 LTE Technical, Multiple Access Techniques


3GPP LTE have selected different transmission schemes in uplink and
downlink due to certain characteristics. OFDMA has been selected for downlink i.e.
from eNodeB to UE and SC-FDMA has been selected for uplink i.e. for transmission
from UE to eNodeB.
2.6.1 Downlink OFDMA
Orthogonal Frequency Division Multiplexing (OFDM) is already employed by
cellular and non-cellular wireless transmissions such as mobile WiMAX and WLAN
and is selected as multiplexing scheme for 3GPP LTE.
OFDM is a spectral efficient transmission scheme in such a way that it divides
a high-bit-rate data stream into several parallel narrowband low-bit-rate data streams
often called sub-carriers or tones. This division is made in such a way that sub-

carriers are orthogonal to each other which eliminates the need of non-overlapping
sub-carriers to avoid inter carrier interference.
The first carrier is selected so that its frequency contains integer number of
cycles in a symbol period. In order to make sub-carriers orthogonal to each other,
adjacent sub-carriers are spaced by:
BSC = B / L
Where B: nominal bandwidth of high-bit-rate data stream
L: number of sub-carriers
Transmission on orthogonal sub-carriers is fine but only for the ideal situation
such as there is no multi-path delay spread, but usually this situation doesnt exist in
real world.
To make transmission completely ISI free we also need to place a time guard in
between the sub-carriers and their spacing. Making this time guard enough, larger
than the maximum expected delay spread, makes transmission completely ISI free.
This time guard also cause the power and bandwidth wastage and of course decrease
the spectrum efficiency but this is dependent on what the time guard fraction of
symbol duration is.
2.6.2 Peak to Average Power Ratio (PAPR)
PAPR is defined as the peak power within one OFDM symbol normalized by
the average signal power. When several OFDM sub-carriers align themselves in
phase there occur a large PAPR which is the most difficult concern in RF engineering
of traditional OFDM. The value of PAPR is directly proportional to the number of
sub-carriers, given by log (10) (N dB PAPR ) where N is the number of subcarriers Signals with a large PAPR need highly linear power amplifiers to avoid
excessive inter modulation distortion and to achieve this linearity, amplifiers have to

operate with a large back off from their peak power which results in low power
efficiency (measured by the ratio of transmitted power to the DC power dissipated).
2.6.3 Frequency Offset
Although OFDM is resistant against multi-path fading it requires high degree
of synchronization to maintain its sub-carrier orthogonality. In OFDM, the
uncertainty in carrier frequency, which is due to the difference in the frequencies of
local oscillators in the transmitter and receiver, give rise to a shift in frequency
domain which is also called frequency offset. This frequency offset can also because
by the Doppler shift effect.
The demodulation of a signal with frequency offset can cause large bit error rate and
might degrade the symbol synchronization performance.
2.6.4 Uplink SC-FDMA
SC-FDMA (Single Carrier Frequency Division Multiple Access) has been
selected as 3GPP LTE uplink transmission technique (MS to eNodeB). It is a
modified form of OFDMA and has similar throughput performance and essentially
shown on figure 2.9 the same overall complexity as OFDMA. Like OFDM, SCFDMA also consists on subcarriers but it transmits on subcarriers in sequence not in
parallel which is the case in OFDM , which prevents power fluctuations in SCFDMA signals i.e. low PAPR.
In a cellular system with severe multipath propagation environment, SCFDMA signals might cause inter symbol interference when they reach at the base
station. The base station uses the adaptive frequency domain equalization to cancel
the inter symbol interference, As most mobile terminals are empowered with a
battery, it is a good idea to perform some complex operations like frequency domain
equalization at base station rather putting any burden like linear power amplification,
on mobile terminal because more resources are available on base station.

2.6.5 SC-FDMA Transmitter


At the input of transmitter, the binary input is modulated using QPSK, 16QAM
or optionally using 64QAM. Then this modulated input is divided into blocks of Nsymbols using N-point DFT (Discrete Fourier Transform) to convert to frequency
domain representation Xk. Then each of these N-Point DFT output is modulated on
one of orthogonal subcarriers that can be transmitted which results in a set Xl of
complex subcarrier amplitudes. Then M-Point inverse DFT is applied to convert Xl to
a time domain signal Xm. Then each Xm symbol is modulated on a single carrier and
transmitted sequentially after the adding CP (circular prefix) to prevent IBI (inter
block interference), and pulse shaping to reduce out-of-band energy.
2.6.6 SC-FDMA Receiver
The receiver shapes the received signal, removes CP, and then the signal are
converted to frequency domain using M-Point DFT. Then frequency domain
equalization is performed and then these equalized symbols are transformed to time
domain using N-Point IDFT and then detection and decoding take place.

Figure 2.9- SC-FDMA & OFDMA


2.6.7 Frequency Bands for FDD and TDD

LTE operates with both Frequency Division Duplex (FDD) and Time Division
Duplex (TDD). Both methods allow multiple users to share bandwidth. LTE can be
used in both paired (FDD) and unpaired (TDD) spectrum .Leading suppliers first
product releases will support both duplex schemes. In general, FDD is more efficient
and represents higher device and infrastructure volumes, but TDD is a good
complement, for example, in spectrum center gaps. Because LTE hardware is the
same for FDD and TDD, except for the radio unit, TDD operators will for the first
time be able to enjoy the economies of scale that come with broadly supported FDD
products. Fifteen different FDD frequency bands and eight different TDD frequency
bands have been defined in the 3GPP for LTE use, as shown in Table 2.2.

Table 2.2- FDD & TDD Spectrum Bands

2.7 WiMax
WiMAX is a short name for Worldwide Interoperability of Microwave Access.
WiMAX is described in IEEE 802.16 Wireless Metropolitan Area Network (WMAN)

standard. It is expected that WiMAX compliant systems will provide fixed wireless
alternative to conventional DSL and Cable Internet. WiMAX is an emerging
technology that provides high-speed mobile data and telecommunication services. It
is a Last Mile Broadband Internet Access technology. It is intended to replace cable
and DSL in some areas shown on figure 2.10. Typically, a WiMAX system consists of
two parts:
A WiMAX Base Station: Base station consists of indoor electronics and a WiMAX
tower. Typically, a base station can cover up to 10 km radius (Theoretically, a base
station can cover up to 50 kilo meter radius or 30 miles, however practical
considerations limit it to about 10 km or 6 miles). Any wireless node within the
coverage area would be able to access the Internet.
A WiMAX receiver- The receiver and antenna could be a stand-alone box or a
PCMCIA card that sits in a laptop or computer. Access to WiMAX base station is
similar to accessing a Wireless Access Point in a WiFi network, but the coverage is
more.

Figure 2.10- WiMax Network


2.7.1 WiMax and Broadband Wireless Access

The desire for bandwidth-intensive Internet access and other voice/data


services has never been greater across all geographies and market segments. The DSL
market, based on a variety of wire line infrastructures, has succeeded in reaching
millions of business and private subscribers, and continues on a rapid growth curve.
However, to supply the quick rollout of infrastructure to the last mile has become a
difficult and expensive challenge for carriers who cannot keep pace with the demand.
This has brought about a situation where subscribers who live in developed areas
with broadband-ready infrastructure can enjoy all the benefits of DSL services, while
those who do not, require another technology solution to fill the void. The need for
Broadband wireless technology and specifically the introduction of the new WiMAX
standard fits this agenda perfectly.
Typical point-to-multipoint Broadband Wireless Access (BWA) systems are
composed of two key elements: a base station and subscriber equipment. The base
station connects to the network backbone and uses an outdoor antenna to send and
receive high-speed data and voice to subscriber equipment, eliminating the need for
extensive and expensive wire line infrastructure and providing highly flexible and
cost-effective last-mile solutions.

Figure 2.11- Broadband Wireless Accesses


2.7.2 WiMax Specifications

The following are major points of WiMax (Wireless MAN IEEE 802.16)
functionality:
Range - 30-mile (50-km) radius from base station
Speed - Up to 70 megabits per second
Non-Line-of-sight (NLoS) between the user and a base station (BSS)
Frequency bands - 2 to 11 GHz and 10 to 66 GHz (licensed and unlicensed bands)
Defines both the MAC and PHY layers and allows multiple PHY-layer
specifications.
There is no need for line of sight (LOS) connections between subscriber
terminals and the base station in WiMAX technology and it can support hundreds if
not thousands of subscribers from a single base station shown on figure 2.12. It is
also specified in 802.16 standards that it will supports low latency applications such
as voice, video, and Internet access at the same time.

Figure 2.12 WiMax Metropolitan Wireless Networks


2.8 Compare between LTE & WiMax

WiMAX and Long-Term Evolution (LTE) are two different (but not necessarily
competing) technologies that will eventually be used to achieve data speeds of up to
100 Mbps. Speeds that are fast enough to potentially replace wired broadband
connections with wireless, and enable services such as HDTV on mobiles and TVs
without the need for a fixed-line or dish in the home, as well as a host of other
exciting services currently seen as too bandwidth-hungry to be delivered using
existing mobile technologies.
WiMAX and LTE are both in different stages of development. WiMAX is
widely recognized as being the first that will be brought to market. The world's first
large scale mobile WiMAX deployment is due in the United States in 2009. However,
although LTE may on paper be some years off it will bring with it many advantages,
not least the fact that operators will be able to evolve their existing infrastructure and
base station real estate to deliver it.
The upper layers of LTE are based upon TCP/IP, which will likely result in an
all-IP network similar to the current state of wired communications. LTE will support
mixed data, voice, video and messaging traffic. LTE uses OFDM (Orthogonal
Frequency Division Multiplexing) and, in later releases, MIMO (Multiple Input
Multiple Output) antenna technology similar to that used in the IEEE 802.11n
wireless local area network (WLAN) standard. The higher Signal to Noise Ratio
(SNR) at the receiver enabled by MIMO, along with OFDM, provides improved
coverage and throughput, especially in dense urban areas.

2.9 LTE as an Alternative Technology to WiMax


An alternative high-speed mobile technology that could be used instead of, or
to run alongside, WiMAX is LTE. The crucial difference is that, unlike WiMAX,
which requires a new network to be built, LTE runs on an evolution of the existing
UMTS infrastructure already used by over 80 per cent of mobile subscribers globally.

This means that even though development and deployment of the LTE standard may
lag Mobile WiMAX, it has a crucial incumbent advantage.
There is also no doubt that the advent of WiMAX has injected a new sense of
urgency to the LTE standardization effort. This may help provide operators keen to
control investment with the confidence to wait for LTE technology to reach maturity
before upgrading their existing infrastructure, rather than invest in a brand new
WiMAX network. Even prior to the arrival of LTE, speeds of up to 7.2 Mbps are
currently being reached by existing HSPA technology, which is being used by more
than five million subscribers worldwide.
Similarities between WiMax and LTE:LTE (Long Term Evolution) and WiMax have the following similarities:
Both use Multiple Input Multiple Output (MIMO) antenna technology.
Both use OFDM.
Both expect speeds to be in the 100 Mbps range.
For example, both technologies provide the same approach for downlinks, and
both have Multiple Input Multiple Output (MIMO), which means that information, is
sent over two or more antennas from a single cell site to improve reception. In tough
transmission locations, such as a dense downtown area, MIMO could be a relatively
inexpensive means of improving reception to users.
The downlinks from the Base Station to the end user in both LTE and WiMax
are enhanced with OFDM (Orthogonal Frequency Division Multiplexing), a
technology that supports sustained video and multimedia transmissions and is already
being deployed in some non-LTE and -WiMax networks. It works by splitting up
signals among multiple narrow frequencies, with bits of data sent at once in parallel.
Needless to say, it is complex technology that will require sophisticated base stations,
an added expense even for those carriers that see LTE as an upgrade path to GSM.

Many industry analysts feel that LTE is not a direct replacement for GSM
technology since newer equipment will be required to deploy LTE networks. That
means a substantial investment is in store for carriers wanting to deploy LTE. The
cost of a national WiMax network will be billions of dollars. Uplinks from the user to
the cell tower will probably be different in the two technologies. OFDM will be used
in WiMax, but a technology called SC-FDMA (Single Carrier-Frequency Division
Multiple Access) will be used in LTE. SC-FDMA is theoretically designed to work
more efficiently with lower-power end-user devices than OFDM.

Table 2.3- Compare Between LTE & WiMax

CONCLUSION
LTE uses OFDMA on the downlink, which is well suited to achieve high peak
data rates in high spectrum bandwidth. WCDMA radio technology is basically as
efficient as OFDM for delivering peak data rates of about 10 Mbps in 5 MHz of
bandwidth. However, achieving peak rates in the 100 Mbps range with wider radio
channels would result in highly complex terminals, and it is not practical with current
technology. This is where OFDM provides a practical implementation advantage.
Scheduling approaches in the frequency domain can also minimize interference,
thereby boosting spectral efficiency. The OFDMA approach is also highly flexible in
channelization, and LTE will operate in various radio channel sizes ranging from 1.4
to 20 MHz. On the uplink, however, a pure OFDMA approach results in high Peak to
Average Ratio (PAR) of the signal, which compromises power efficiency and,
ultimately, battery life. Hence, LTE uses an approach called SC-FDMA, which is
somewhat similar to OFDMA but has a 2 to 6 dB PAR advantage over the OFDMA
method used by other technologies such as IEEE 802.16e.
LTE capabilities include:
1.
2.
3.
4.

Downlink peak data rates up to 326 Mbps with 20 MHz bandwidth.


Uplink peak data rates up to 86.4 Mbps with 20 MHz bandwidth.
Operation in both TDD and FDD modes.
Scalable bandwidth up to 20 MHz, covering 1.4, 2.5, 5, 10, 15, and 20 MHz in
the study phase. Channels that are 1.6 MHz wide are under consideration for

the unpaired frequency band, where a TDD approach will be used.


5. Increased spectral efficiency over Release 6 HSPA by a factor of two to four.
6. Reduced latency, to 10 msec. round-trip times between user equipment and the
base station, and to less than 100 m.sec transition times from inactive to active.

The overall intent is to provide an extremely high-performance radio-access


technology that offers full vehicular speed mobility and that can readily coexist with
HSPA and earlier networks.

3 Orthogonal Frequency Division Multiplexing OFDM in LTE Technology


INTRODUCTION
Digital communications systems require each channel to operate at a specific
frequency and with a specific bandwidth. In fact, communication systems have
evolved so that the largest amount of data can be communicated through a finite
frequency range. In this topic will make focus on the recent evolution of
communications systems into using various mechanisms for effectively using the
frequency spectrum. More specifically, will make describe how frequency division
multiplexing (FDM) and orthogonal frequency division multiplexing (OFDM) are
able to effectively utilize the frequency spectrum. In addition, distinguish the function
and describe why OFDM systems are currently being implemented in some of the
newest and most advanced communications systems.
The design of the LTE physical layer (PHY) is heavily influenced by the
requirements for high peak transmission rate (100 Mbps DL/50 Mbps UL), spectral
efficiency, and multiple channel bandwidths (1.25-20 MHz). To fulfill the
requirements, orthogonal frequency division multiplex (OFDM) was selected as the
basis for the PHY layer. OFDM is a technology that dates back to the 1960s. It was
considered for 3G systems in the mid-1990s before being determined too immature.
Developments in electronics and signal processing since that time has made OFDM
amateur technology widely used in other access systems like 802.11 (Wi-Fi) and
802.16 (WiMAX) and broadcast systems (Digital Audio/Video Broadcast
DAB/DVB).

Figure 3.1- OFDM SUBCARRIER SPACING

3.1 OFDM System Model

Its well known that the channel transfer function, i.e., roughly speaking the
frequency relationship between the received and the transmitted signal defends in [6],
can have a multitude of shapes that in general lead to different attenuations for
different frequencies. When it occurs, the channel is said to be frequency-selective.
Thus at the receiver, this fact has to be taken into account and somehow compensated
(equalized) to reconstruct the original signal, with the further problem of the noise
corruption. The only way to do it is to estimate the channel response from the
received signal. Orthogonal Frequency Division Multiplexing (OFDM) is a
modulation technique based on the idea of splitting the channel into a define amount
N of narrowband shown on figure 3.1 and independent sub-channels that are
supposed to have a flat frequency response (shown on figure 3.2), of course different
for each sub-channel.

Figure 3.2: Channel transfer function for each sub-channel.

Looking at the equalization problem from this point of view, such set of
independent flat channels is easier to treat. By transmitting a narrowband signal,
known from either the transmitter or the receiver, it would be possible to obtain the
channel response at the signal frequency, simply observing the ratio between received
and the known transmitted signal. Considering a wideband signal and channel
contrariwise, the same treatment is not that immediate.
The frequency selectivity derives from that environment identified as delaydispersive or multipath, i.e., when more than a copy of the transmitted signal, each
with a different delay and attenuation factor, reaches the receiver, due to the
reflections. This fact highly limits high-data-rate transmission systems, and is the
reason why OFDM is proper for such environments: due to the channel splitting, the
signal is transmitted indeed over parallel low-data-rate sub-channels. The bandwidth
of each sub channel, and hence their number, depends on some parameters: the most
significant one is the delay spread that for the moment will be considered as assort of
indicator of the channel time distortion. Intuitively, due to the signal echoes, the pulse
shape will suffer a spreading in time, interfering with the adjacent transmitted pulses.
In this regard, the symbol duration (N times larger, after the S/P conversion) of the
narrowband channels, i.e., the inverse of their band width, must be larger than this
spreading, in order to mitigate the Inter-Symbol Interference (ISI). Furthermore, it

will be shown how this issues can be removed using the OFDM scheme, by an
artifice named cyclic prefix.
After introducing the working principle, the real implemented digital setup will
be derived, in order to introduce a linear algebraic signal model. The last section then,
provides the LTE downlink configuration, comprising the time domain frame
structure, the pilot pattern and the OFDM parameters specification.

3.2 Orthogonal Frequency-Division Multiplexing System

To understand how the system works its useful to consider the scheme
proposed shown on figure 3.3: information bits, mapped onto symbols defends in [6],
according to a certain digital modulation (e.g., DPSK, QPSK, MQAM), are Serial-toParallel converted into N data streams; N local oscillators (whose frequency is
f n=nB /N

, where B is the total available bandwidth) are available and the

respective frequency, i.e., subcarrier, is then modulated by the corresponding


symbols. The composite signal, i.e., the sum of all modulated subcarriers, is the
signal that has to be transmitted over the channel:

(3.1)

s ( t )= s i ( t ) =
i =

Where
subcarrier,

s i ,n

Tu

afterwards), i.e.,

N 1

s i ,n n ( tiT u )

i= n=0

is the complex transmitted symbol, at time instant

on the nth

is the useful OFDM symbol duration (why useful will be clear


T u =N T s

where

rectangular frequency shifted pulse:

Ts

is the original symbol duration and

is a

1 j 2 n ft
e
,0<t< T u
n ( t )= T u
0 ,otherwise

(3.2)

Figure 3.3- Analog OFDM system


However this scheme has been introduced just like an explanation because for
the LTE purpose (as for all actual purposes), when e.g., N = 1200 subcarriers are
required, its clear that a multi-oscillators design is not achievable.
3.2.1 Sub-channels Spacing and Frequency Orthogonal
In order to increase the spectral efficiency, the subcarrier spacing is
f=

B
=1/T u
, even if theres a sub channel overlap, due to the rectangular shape of
N

( t) . It corresponds indeed; to a sinc function in the frequency domain that has

spectral nulls in correspondence of other subcarrier frequencies defends in [6]. Thus,


since (3.3) holds, the receiver can separate the channels due to their orthogonality.
The inner product orthogonality definition in fact:

1
Tu

(i +1)T u

i Tu

e j 2 f k e j 2 f h dt= 1 for k =h
0 otherwise
t

(3.3)

States that theres no interference between different sub-channels assuming


f 0=0

kB
, with f k = N =k f

denoting the

th

subcarrier frequency. However,

sometimes the frequency synchronization is not perfect and this yields a loss of
orthogonality between the subcarriers producing the so-called Inter-Carrier
Interference (ICI), that wont be treated here, though [8].
3.2.2 Modulation Scheme
The real transceiver structure depicted in figure 3.4, is different from the one
treated in the introduction, due to the not possible hardware implementation defends
in [6]. According to the definition of composite signal s (t), a sampling can be
performed at instances

t k =kTs

. Passing over the time instant index i, it can be

written as:
N1

s k =s ( t k ) =

(3.4)

j 2 n
1
sn e N

T S n=0

This is the Inverse Discrete Fourier Transform (IDFT) of the N parallel input
symbols, at a certain time instant i. Thus the transmitter works performing an IDFT of
the parallelized symbols (an IFFT in practical cases) at each time instant, and then
serializing them to build the composite signal s ( t ) , thats transmitted over the
channel. Accordingly the receiver performs the inverse operations, i.e., the S/P, the
FFT and the P/S conversion, to produce an estimate

~s
n

Figure 3.4- Digital OFDM system

Figure 3.5- ISI qualitative description


An issue that hasnt been treated yet is the so-called ISI, which is a
phenomenon that involves the received adjacent symbols. A qualitative behavior is
anticipated shown in figure 3.5 and it consists in a pulse overlapping due to the
spreading, induced by the multipath channel non-ideal impulse response. Intuitively it
could be avoided by a guard interval at the beginning of each symbol, filled by nonsignal samples, so as the tail of the preceding symbol doesnt overlap. Furthermore, it
will be shown in the next subsection that these samples will be somehow related to
the signal and useful for the channel equalization and to keep the subcarriers
orthogonality.

3.2.3 Cyclic Prefix


As mentioned, the number of samples of each symbol must be increased to
introduce a guard interval whose duration must be longer than the impulse response
of the channel defends in [6], to avoid that the last part of the preceding symbol
interferes with the current one, as it happens in figure 3.5. This length will be
indicated as and the contained samples will be equal to the tail of the current
symbol as illustrated in figure 3.6(a), where the time instant index has been passed
over. These samples are added at the transmitter after the IFFT (figure 3.6(b)) and
just discarded at the receiver before the FFT. Furthermore, besides the ISI issue, the
cyclic prefix has two more objectives. The first is that it turns the linear convolution
between the symbols of length N + and the channel impulse response, into a
circular convolution of length N, considering the original symbols. Its well known
that a multiplication of the discrete Fourier coefficients of two periodic sequences
corresponds to a periodic convolution of the sequences. Here the original input N 1
sequences are not periodic, so the linear convolution cannot be applied.
Therefore an artificial periodicity is introduced by the cyclic prefix yielding a
multiplication in the frequency domain, between the signal and the channel frequency
response. This artifice simplifies the equalization, i.e., to obtain the transmitted signal
is immediate, by multiplying the Fourier transforms of received signal, by the inverse
of the channel estimate on the correspondent subcarrier, After the introduction of the
signal model.
The second cyclic prefixs interesting property is that it keeps the frequency
orthogonality avoiding the ICI that would be present due to the multipath. This can be
seen just observing (3.3) considering the first case, i.e., when k = h but with one of
two pulses delayed by a certain delay :
1
Tu

(i +1)T u

i Tu

j 2 f k

( t)

j 2 f h

dt

(3.5)

Now, its evident that the product is not equal to 1 anymore, because of the
displacement and similarly in the case with k h , the product wouldnt be equal to
zero, giving rise to interference. However, if the condition 0 holds, the cyclic
prefix provides the first missing symbols samples within the integration interval, so
as (3.3) still holds. The above explanation is not strict, because only the unmodulated
subcarriers have been considered, but provides a qualitative justification about the
ICI cancellation [9].

Figure 3.6: Cyclic prefix construction (a), and samples insertion (b).

2.3 OFDM Downlink LTE Setup

This model has been introduced here as the LTE physical layer. First of all
theres an important difference among the formerly described OFDM system defends
in [6], which is the subcarriers frequency shift: they are distributed from N /2 N /21

with the reference frequency equal to 0, instead of from 0 to N1 . This

means that the DFT summation indices have to be changed:


1
x [ i ]=
N

N
+1
2

n=

N
2

[ ]

N j 2 n N
c n+
e
, 0 i N 1
2

(3.6)

Where if a variable change m=n+ N /2 is made, it can be written as:


x [ i ]=

1
N

N +1

j 2 m

c [m ] e

i
N

e ji , 0 i N 1

(3.7)

m=0

That is nothing else that a DFT of x[m] multiplied by a phase rotation term
e ji . Talking about signal model this is straightforward to implement by rotating the

rows of the Fourier matrix as follows:


2 N / 2

2
1
2

21

N /2

1
( N 1) N / 2

1
(N
1 )
1 1
F=
1 1
1
N 1 1

N
/
21
1

(3.8)

j2

Where e

1
N

, such transformation can be written as:

s=Fc

(3.9)

And its inverse as:


c=F H s

(3.10)

F being a symmetric matrix and the IDFT the complex conjugated transpose of
the DFT. After the above mentioned transformation, there is the cyclic prefix
insertion, so the respective ( N + ) 1 vector will be:

(3.11)

c , , c1 , c 0 , c1 , , c N 1
c cp=

That is the signal that will be convolved with the channel impulse response.
The channel is represented by a matrix, but an assumption has to be made: the
channel impulse response samples whose length is assumed equal to the cyclic prefix
length , have to coincide with the receiver sampling time, which is not obvious. It
defines a time grid indeed, whose spacing is the sample time; but the echoes time of
arrival is random and usually doesnt coincide with such grid.
The modulation access domain has both the time and the frequency domain.
It can be seen as a time-frequency grid, where the time corresponds to the OFDM
symbols while the frequency to the subcarriers, as shown in figure 2.7 (the pointed
out resources pattern isnt casual, but it will be explained afterwards). For instance a
receiver synchronized on a certain frequency

fn

at a certain time

ti

, will receive

only the data corresponding to one of the squares shown in figure 3.7, identified by
the frequency

fn

and the time

ti

. Of course this is not the practical case because

the resources are assigned in blocks, but it should enlighten the concept [13].

Figure 3.7- OFDM time-frequency grid and location of reference Symbols within a
Resource Block for a one antenna

In OFDM, the use of each element of the grid, i.e., a resource element
identified by a time-frequency index pair, is not necessarily the same: some of them
called pilots are used, to estimate the channel by known data. Over the other
resources of course, there are the information bits mapped onto complex symbols.
The time-domain structure is organized in radio frames of two different types:
Type I that is the one that will be considered in this thesis and Type II, realized for the
coexistence with the systems that use the current 3GPP different standard access.
Type I frame structure is illustrated in figure 3.8 and its organized in ten equally
sized sub fames; then for the downlink transmission scheme each subframe is made
up of two slots, where each of them contains seven OFDM symbols with their
respective cyclic prefix. Finally for each frame there will be 7 2 10 = 140 OFDM
symbols.

Figure 3.8- LTE frame structure.

2.3.1 Parameters Specifications


After a first survey to the physical layer structure its necessary to specify some
parameters relative to the OFDM modulation and to the time-domain structure
defends in [6], recalling some relation between them:

TS

is the base time unit, i.e.,

the sampling time of the FFT-based transceiver with N=2048 , related to the original
symbol duration

Tu

as

T u =N T s =N /B

, where B is the system bandwidth. It can

also be derived by the subcarriers spacing as


prefix

time

T CP

T OFDM =T CP +T u T Slot /7

corresponds

to

T u =1/ f

the

an added up with the cyclic

overall

OFDM

symbol

time

. Here, means that time slot is not divisible by seven, so the

cyclic prefix of the first OFDM symbol has to be slightly longer. Here follows the list
of such parameters:
Table 3.1- LTE Downlink OFDM Parameters

T Frame =10 ms

T OFDM =71.4 s

f =15 kHz

T subframe=1 ms

T u =66.7 s

N=2048

T Slot =0.5 ms

T CP =4.7 s

B=30.72 MHz
T S=32.55 ns
N u=1200

Specifying that the cyclic prefix length is of 144 samples and noticing that not
all the subcarriers are active even if the bandwidth is calculated on N = 2048. This is
due to the shaping filter: physically a filter whose frequency response is a rectangular
windows (it should be equal to 30.72 MHz) is not realizable, so to avoid the cut off of
the border subcarriers they are kept turned off and when the tails of the composite
signal superimpose due to the periodic repetition, theres no loss of information; such
unused subcarriers are often referred as guard bands, exactly for their purpose.
Furthermore, is also possible modify the effective bandwidth, that in the just
mentioned case is B = 18MHz, to fit different specifications shown in table 2.2, by
turning off more subcarriers and in any case with the center DC-subcarrier is always
shut down.
Table 3.2- LTE Bandwidth Configurations

Channel bandwidth [MHz]

1.4

10

15

20

Used subcarriers

72

180

300

600

900

1200

2.3.2 Pilot Pattern


The pilot distribution showed in figure 3.9 is the one that is used in LTE, i.e.
defends in [7], the pattern that comes from the 3GPP specifications often referred as
reference signal (RS) sequence.
The pilot spacing in frequency in terms of number of subcarriers is

p=6

for each OFDM symbol that carries pilots and the initial indent is i=1 , then a
subcarriers shift exists, between the first and the second reference symbol in each
slot, of half the pilot spacing, i.e., 3; the number of pilots per OFDM symbol is then
200, considering1200 used subcarriers. Such positioning can be outlined by an

indexes sequence to identify the subcarrier number (in the range from
N u /2

N u /2

to

), whose argument corresponds to the pilot number:


p ( m) =[ ( + i ) mod 6 ] +6 ( m1 )

Nu
+1,1 m M
2

(3.12)

Where:

= 0,at the first OFDM symbol any slot


3, at the fifth OFDM symbol any slot

(3.13)

For instance then, the fourth pilot on the first reference OFDM symbol will be
at the 580 th subcarrier, the fifth at the 574 th and so on. These indexes will be
use full after wards to define all the signal and functions that require the pilot
positioning within the N subcarriers.
This indexing yields a diamond pattern that seems to be the best choice for
LTE purposes, considering in fact that for a good estimation should be taken into
account the channel behavior both in time and in frequency: two extreme cases could
be when the channel would be very selective in frequency/time-invariant and very
time-variant/flat in frequency, and they could be treated respectively using all pilots
in frequency once and with just one pilot but for each OFDM symbol. Of course
these two cases are to emphasize the problem but they should clarify the meaning of
such pattern. Shown in figure 3.9 its depicted how this time-frequency access works
in a MIMO environment that is one of the innovations introduced by LTE to reach
higher data rates. In this case with two antennas, the pilots corresponding to the other
antennas pilots have to be turned off (symbols in gray) in order to avoid interference.
The first interpolates their frequency responses over whole the bandwidth,
while the second performs tap-delay estimation through an Eigen structure applied to
a sample covariance matrix. The scope of the thesis however, doesnt include the

interpolation over time of the estimated frequency response. Its focused indeed, just
on an individual OFDM symbol.

Figure 3.9- MIMO OFDM time-frequency grid

3.4 Multi-Cell Broadcast/Multicast Transmission and OFDM

broadcast/multicast services in a mobile-communication system implies that


the same information is to be simultaneously provided to multiple terminals defends
in [8], often dispersed over a large area corresponding to a large number of cells, as
shown in Figure 3.10. The broadcast/multicast information may be a TV news clip,
information about the local weather conditions, stock-market information, or any
other kind of information that, at a given time, may be of interest to a large number of
people.

Figure 3.10: Broadcast scenario.


When the same information is to be provided to multiple terminals within a
cell it is often beneficial to provide this information as a single broadcast radio
transmission covering the entire cell and simultaneously being received by all
relevant terminals (shown figure 3.11a), rather than providing the information by
means of individual transmissions to each terminal (unicast transmission; see Figure
3.11b).
As a broadcast transmission according to shown figure 3.11 also has to be
dimensioned to reach the worst-case terminals, including terminals at the cell border,
it will be relative costly in terms of the recourses (base-station transmit power)
needed to provide a certain broadcast-service data rate. Alternatively, taking into
account the limited signal-to-noise ratio that can be achieved at, for example, the cell
edge, the achievable broadcast data rates may be relatively limited, especially in the
case of large cells. One way to increase the broadcast data rates would then be to
reduce the cell size, thereby increasing the cell-edge receive power. However, this
will increase the number of cells to cover a certain area and is thus obviously
negative from a cost-of-deployment point of view.

Figure 3.11- Broadcast vs. uncast transmission. (a) Broadcast. (b) Unicast

Figure 3.12- Equivalence between simulcast transmission and multi-path propagation


However, as discussed above, the provision of broadcast/multicast services in a
mobile communication network typically implies that identical information is to be
provided over a large number of cells. In such a case, the resources (downlink
transmit power) needed to provide a certain broadcast data rate can be considerably
reduced if terminals at the cell edge can utilize the received power from broadcast
transmissions from multiple cells when detecting/decoding the broadcast data.
One way to mitigate this and further improve the provision of
broadcast/multicast services in a mobile-communication network is to ensure that the
broadcast transmissions from different cells are truly identical and transmitted
mutually time aligned. In this case, the transmissions received from multiple cells
will, as seen from the terminal, appear as a single transmission subject to severe
multipath propagation, as illustrated in Figure 3.12. The transmission of identical
time-aligned signals from multiple cells, especially in the case of provision of
broadcast/multicast services, is sometimes referred to as Single-Frequency Network
(SFN) operation.
3.5 Downlink and Uplink in LTE
Orthogonal Frequency Division Multiplexing (OFDM), including the use of
OFDM in various current standards that demand high data rates and very low error
rates when transmitting over wireless multipath channels shown in figure 3.13.
Challenges to high data rate and low error rate transmissions are analyzed by
examining the characteristics of wireless communication channels. It is known that a
frequency selective fading model best fits a wireless multipath channel. Equalization
of the multipath channel in the frequency domain instead of the time domain is
presented, and it is exploited in the design of multicarrier systems. A simple

frequency domain multiplexing scheme is first described as a possible solution for


communication over frequency selective channels. The drawbacks of this method are
used to motivate the development of OFDM, which is then described in detail.
Using the FFT as a means for transformation from the time domain to the
frequency domain, a discrete time OFDM baseband system can be easily developed.
Since implementation of FFT is inexpensive in terms of simplicity and cost, and
efficient in terms of computation speed, OFDM has become a popular choice for
communication over frequency selective fading channels. An OFDM system is
designed to have orthogonal subcarriers, and each subcarrier sees a flat fading
channel.
While this simplicity is an obvious advantage, OFDM does have weaknesses.
Two main pathologies of the OFDM communication scheme, carrier frequency offset
(CFO) and high peak to average power ratio (PAPR), are presented. When there is an
offset in frequency between the carrier and the local oscillator at the receiver, the
subcarriers do not remain orthogonal, leading to ICI. A high PAPR of the signal at the
transmitter makes the design of efficient RF amplifiers difficult. Both these
pathologies cause deterioration of the system performance. Techniques to alleviate
their effect on performance are described. Several standards that employ OFDM are
provided, along with typical operational values that these systems use.
Single carrier FDMA (SC-FDMA) is a multiple access technique that utilizes
single carrier modulation, orthogonal frequency multiplexing, and frequency domain
equalization. It has similar performance and essentially the same overall complexity
as orthogonal frequency division multiple accesses (OFDMA). One prominent
advantage over OFDMA is that the SC-FDMA signal has better peak power
characteristics because of its inherent single carrier structure. SC-FDMA has drawn
great attention as an attractive alternative to OFDMA, especially in the uplink
communications where better peak power characteristics greatly benefit the mobile
terminal in terms of transmit power efficiency and manufacturing cost. Because of its
merits, SC-FDMA has been chosen as the uplink multiple access schemes in 3GPP

Long Term Evolution (LTE). Also, a modified form of SC-FDMA is used for the
uplink control channel in 3GPP2 Ultra Mobile Broadband (UMB).
SC-FDMA symbols are transmitted sequentially over a single carrier as
opposed to the parallel transmission of OFDM/OFDMA over multiple carriers. Also,
the users are orthogonally multiplexed and de-multiplexed in the frequency domain,
which gives SCFDMA an aspect of FDMA.
3.5.1 OFDMA (Downlink) of LTE
OFDMA is a multi-user version of a digital modulation scheme called
Orthogonal Frequency-Division Multiplexing (OFDM). In OFDM the signal is first
split into independent sub-carriers and these closely-spaced orthogonal sub-carriers
are used to carry the data. The data is divided into several parallel data streams or
channels, one for each sub-carrier. Each sub-carrier is modulated with a conventional
modulation scheme (such as quadrature amplitude modulation or phase shift keying)
at a low symbol rate, maintaining total data rates similar to conventional single
carrier modulation schemes of the same bandwidth.
A general analogy for OFDM can be of many small lamps in a hall rather than a
single big lamp.
The advantage is that light will be distributed across the hall equally as compared to a
single lamp and increase redundancy a defect in one lamp will not affect the light in
the hall.
The primary advantage of OFDM over single-carrier scheme is its ability to cope
with severe channel conditions without complex equalization filters, for example
attenuation of high frequencies in a long copper wire, narrowband interference, and
frequency-selective fading due to multipath.

Figure 3.13- Multipath Fading


With the help of OFDM, channel equalization is simplified as OFDM may be viewed
as using many slowly-modulated narrowband signals rather than one rapidlymodulated wideband signal.
With the duration of each symbol being long, it is feasible to insert a guard
interval between the OFDM, making it possible to handle time-spreading and
eliminate inter-symbol interference (ISI). This mechanism also facilitates the design
of single-frequency networks, where several adjacent transmitters send the same
signal simultaneously at the same frequency. As the signals from multiple distant
transmitters may be combined constructively, rather than interfering as would
typically occur in a traditional single-carrier system.
The OFDM technology used in LTE comprises a maximum of 2048 different
sub-carriers having a spacing of 15 kHz. Although it is mandatory for the mobiles to
have capability to be able to receive all 2048 sub-carriers, not all need to be
transmitted by the base station which only needs to be able to support the
transmission of 72 sub-carriers. In this way all mobiles will be able to talk to any base
station, Within the OFDM signal it is possible to choose between three types of
modulation:
QPSK (= 4QAM) 2 bits per symbol
16QAM 4 bits per symbol
64QAM 6 bits per symbol

The exact format is chosen depending upon the prevailing conditions. The
lower forms of modulation, (QPSK) do not require such a large signal to noise ratio
but are not able to send the data as fast. Only when there is a sufficient signal to noise
ratio can the higher-order modulation format is used.
3.5.2 SC-FDMA (Uplink) of LTE
For the LTE uplink, a different concept is used for the access technique. The
implementation is called Single Carrier Frequency Division Multiple Access (SCFDMA).
SC-FDMA is a hybrid transmission scheme combining the low peak to average
(PAR) of single carrier schemes with the frequency allocation flexibility and multipath protection.
One of the key parameters that affect all mobiles is that of battery life. Even
though battery performance is improving all the time, it is still necessary to ensure
that the mobiles use as little battery power as possible. With the RF power amplifier
that transmits the radio frequency signal via the antenna to the base station being the
highest power item within the mobile, it is necessary that it operates in as efficient
mode as possible. This can be significantly affected by the form of radio frequency
modulation and signal format. Signals that have a high peak to average ratio and
require linear amplification do not lend themselves to the use of efficient RF power
amplifiers [8].
As a result it is necessary to employ a mode of transmission that has as near a
constant power level when operating. Unfortunately OFDM has a high peak to
average ratio. While this is not a Problem for the base station where power is not a
particular problem, it is unacceptable for the mobile. As a result, LTE uses a
modulation scheme known as SC-FDMA - Single Carrier Frequency Division
Multiplex which is a hybrid format. This combines the low peak to average ratio

offered by single-carrier systems with the multipath interference resilience and


flexible subcarrier frequency allocation that OFDM provides shown in figure 3.14.

Figure 3.14- Downlink OFDM and Uplink SC-FDMA in LTE

3.6 Ability of OFDMA and SC-FDMA systems to Increase the performance of


LTE
One of the key elements of increasing the performance of LTE technology is
the use of OFDM (Orthogonal Frequency Division Multiplex) as the signal bearer
and the associated access schemes, OFDMA (Orthogonal Frequency Division
Multiplex) and SC-FDMA (Single Frequency Division Multiple Access). In view of
its advantages, the use of OFDM and the associated access technologies, OFDMA
and SC-FDMA are natural choices for the new LTE cellular standard to decrease
frequency selective fading while the MIMO decreases the time selective fading.
3.6.1 The use OFDMA in downlink
The OFDM signal used in LTE comprises a maximum of 2048 different subcarriers having a spacing of 15 kHz. Although it is mandatory for the mobiles to have

capability to be able to receive all 2048 sub-carriers, not all need to be transmitted by
the base station which only needs to be able to support the transmission of 72 subcarriers. In this way all mobiles will be able to talk to any base station. Within the
OFDM signal it is possible to choose between three types of modulation:
1) QPSK (= 4QAM)

2 bits per symbol. 2) 16QAM

4 bits per symbol. 3)

64QAM 6 bits per symbol

Figure 3.15: Transmitter and receiver structure of OFDMA


3.6.2 SC-FDMA (Single Carrier Frequency Domain Multiple Access)
LTE uplink requirements differ from downlink requirements in several ways.
Not surprisingly, power consumption is a key consideration for UE terminals. The
high PAPR and related loss of efficiency associated with OFDM signaling are major
concerns shown in figure 3.15. As a result, an alternative to OFDM was sought for
use in the LTE uplink. (SC-FDMA) is well suited to the LTE uplink requirements.
The basic transmitter and receiver architecture is very similar (nearly identical) to
OFDMA, and it offers the same degree of multipath protection. Importantly, because
the underlying waveform is essentially single-carrier, the PAPR is lower.

Figure 3.16: Transmitter and receiver structure of SC-FDMA


The block diagram shown in Fig.3.16 shows a basic SC-FDMA transmitter /
receiver arrangement. Note that many of the functional blocks are common to both
SC-FDMA and OFDMA, thus there is a significant degree of functional commonality
between the uplink and downlink signal chains. Unlike OFDM, the underlying SCFDMA signal represented by the discrete subcarriers is not surprisingly single carrier.
This is distinctly different than OFDM because the SC-FDMA subcarriers are not
independently modulated. As a result, PAPR is lower than for OFDM transmissions.
Analysis has shown that the LTE UE RFPA can be operated about 2 dB closer to 1dB
compression point than would otherwise be possible if OFDM were employed on the
uplink [4].

Figure 3.17- SC-FDMA Subcarriers can be mapped in Either Localized or Distributed


As mentioned above, SC-FDMA subcarriers can be mapped in one of two
ways: localized or distributed as shown in Fig.3.17. Also as we proposed the use of

adaptive modulation in different MIMO channels where the fading is time selective,
we also can use the adaptive modulation in frequency domain by taking advantage of
the use of OFDM with LTE. LTE technology doesnt define the adaptive modulation
in frequency domain which can increase the BER performance of OFDM as it is
shown in [7, 8].
3.6.3 Simulation Results
The results of simulation for the adaptive modulation in frequency domain by
using OFDM model are shown in fig.3.18. Shown in fig. 3.18(a) the simulation is
made for fixed QAM16 modulation over range from 14dB to 20dB and for an
adaptive modulation system in frequency, where the system also uses QAM16 but
with ability of adaptive modulation over 20 carriers. Shown in figure 3.18(b) is the
same but QAM64 is used instead with a range from 20dB to 30dB. The results in
fig.12 showed that by using adaptive modulation in frequency the system is able to
avoid fading in frequency domain, which will lower the bit error rate.

(A)

(B)

Figure 3.18- Adaptive modulation in frequency domain (a) QAM16 (b) QAM64

Figure 3.19- BER performance of 16-QAM OFDMA and SC-FDMA systems


operating with a soft-limiter at IBO = 2dB.
The simulation setup created for that analyzing SC-FDMA and OFDMA
include 16-QAM baseband modulation. These modulations are being used in LTE
and characterized by large sensitivity to nonlinear distortion. In the uplink of LTE
standard, only low number of subcarriers is used for transmission. Therefore, in order
to provide accurate simulation results, the following values of carriers have been
chosen, N = {16; 64; 256}. Because BER performance of SC-FDMA does not
depends on the number of subcarriers for transmission, therefore only the use of N =
64 subcarriers is shown.
With aim to get general observations, a set of input back-off (IBO) = {2, 4}
parameters have been selected in simulation setup. No channel coding or any form of
diversity is considered in order not to introduce other dependencies to the system
performance. Fig.13 shows the BER performance of SC-FDMA and OFDMA at 16QAM operating with a Soft Limiter at IBO = 2dB.

It can be observed from this figure, that SC-FDMA performs always better than
OFDMA employing low {N = 16; 64} and moderate N = 256 number of subcarriers.
This fact is in accordance with our expectations and the application of SC-FDMA
according to this scenario is absolutely favorable. However, with increasing parameter
IBO, the situation has slightly changed. Simulation results depicted in Fig.14 suggest
that SC-FDMA performs always better than OFDMA employing low number of
subcarriers {N = 16; 64}, on the other hand for high SNR, SC-FDMA provides similar
results than OFDMA with N = 256.
3.7 Advantages and disadvantages of OFDMA
Advantages of OFDMA
- Bit Error Rate performance is better only in fading environment.
- Receiver Simplicity
It eliminates the intra-cell interference avoiding CDMA type of multi-user
detection, Orthogonal of code destroyed by selective fading, Only FFT processor is
required.
Disadvantages of OFDMA
-Peak to average power ratio (PAPR)
The large amplitude variation increases in-band noise and increases the BER
when the signal has to go through amplifier nonlinearities.
-Synchronization
Tight Synchronization between users are required for FFT in receiver Pilot signals
are used for synchronizations
-Co-channel interference
Dealing with this is more complex in OFDM than in CDMA.
Dynamic channels are allocation with advanced coordination among adjacent base
stations.

CONCLUSION

In this topic easy to understand the transmissions from multiple cells, the intercell interference due to transmissions in neighboring cells will, from a terminal point of
view, be replaced by signal corruption due to time dispersion. If the broadcast
transmission is based on OFDM with a cyclic prefix that covers the main part of this
time dispersion, the achievable broadcast data rates are thus only limited by noise,
implying that, especially in smaller cells, very high broadcast data rates can be achieved.
Furthermore, the OFDM receiver does not need to explicitly identify the cells to be soft
combined. Rather, all transmissions that fall within the cyclic prefix will automatically
be captured by the receiver.
.

The application of SC-FDMA is not always straightforward and there exist

certain scenarios, typically for high SNR, where OFDMA can perform better than SCFDMA. This is a special relevance of the upcoming next evolution of LTE, where
OFDMA receives special interest even in the uplink of the cellular systems.

4 Effective methods and parameters techniques for OFDM in 3GPP LTE


4.1 OFDM Basics
In digital communications, information is expressed in the form of bits. The term
symbol refers to a collection, in various sizes, of bits [6]. OFDM data are generated by
taking symbols in the spectral space using M-PSK, QAM, etc, and convert the spectra to
time domain by taking the Inverse Discrete Fourier Transform (IDFT). Since Inverse
Fast Fourier Transform (IFFT) is more cost effective to implement, it is usually used
instead [3]. Once the OFDM data are modulated to time signal, all carriers transmit in
parallel to fully occupy the available frequency bandwidth [7]. During modulation,
OFDM symbols are typically divided into frames, so that the data will be modulated
frame by frame in order for the received signal be in sync with the receiver. Long
symbol periods diminish the probability of having inter-symbol interference, but could
not eliminate it. To make ISI nearly eliminated, a cyclic extension (or cyclic prefix) is
added to each symbol period. An exact copy of a fraction of the cycle, typically 25% of
the cycle, taken from the end is added to the front.

Figure 4.1 Cyclic Extension Tolerances

This allows the demodulator to capture the symbol period with an uncertainty of
up to the length of a cyclic extension and still obtain the correct information for the
entire symbol period. As shown in Figure 4.1 [8], a guard period, another name for the
cyclic extension, is the amount of uncertainty allowed for the receiver to capture the
starting point of a symbol period, such that the result of FFT still has the correct
information.
In Figure 4.2 [9], a comparison between a precisely detected symbol period and a
delayed detection illustrates the effectiveness of the cyclic extension.

Figure 4.2 Effectiveness of the Cyclic Extension


4.1.1 OFDM Parameters and Characteristics
The number of carriers in an OFDM system is not only limited by the available
spectral bandwidth, but also by the IFFT size (the relationship is described by: number

of carriers

2
2
iff t which is determined by the complexity of the system [10]. The more

complex (also more costly) the OFDM system is, the higher IFFT size it has; thus a
higher number of carriers can be used, and higher data transmission rate achieved. The

choice of M-PSK modulation varies the data rate and Bit Error Rate (BER). The higher
order of PSK leads to larger symbol size, thus less number of symbols needed to be
transmitted, and higher data rate is achieved. But this results in a higher BER since the
range of 0-360 degrees of phases will be divided into more sub-regions, and the smaller
size of sub-regions is required, thereby received phases have higher chances to be
decoded incorrectly. OFDM signals have high peak-to-average ratio, therefore it has a
relatively high tolerance of peak power clipping due to transmission limitations.
4.1.2 Orthogonal
The key to OFDM is maintaining orthogonal of the carriers. If the integral of the
product of two signals is zero over a time period, then these two signals are said to be
orthogonal to each other. Two sinusoids with frequencies that are integer multiples of a
common frequency can satisfy this criterion. Therefore, orthogonal is defined by:

(4.1)
Where n and m are two unequal integers; fo is the fundamental frequency; T is the
period over which the integration is taken. For OFDM, T is one symbol period and fo set
to 1/T for optimal effectiveness [11 and 12].
4.2 DESIGN and IMPLEMENTATION of OFDM
In figure 4.4 shows a block diagram of a generic OFDM system.ADC, DAC, and
RF front-ends (Amplification, RF up conversion/down conversion, etc.).
OFDM_SIM.m shall is run while other m-files will be invoked accordingly.
Source data for this simulation is taken from an 8-bit grayscale (256 gray levels)
bitmap image file (*.bmp) figure 4.3 based on the users choice. The image data will

then be converted to the symbol size (bits/symbol) determined by the choice of MPSK
from four variations provided by this simulation. The converted data will then be
separated into multiple frames by the OFDM transmitter.
In figure 4.3 a) the program reads data from an input image file and obtains an hby-w matrix where h is the height of the image and w is the width (in pixels). This
matrix is rearranged into a serial data stream. Since the input image is an 8-bit grayscale
bitmap, its word size is always 8 bits/word. The source data will then be converted to the
symbol

size

corresponding

to

the

order

of

PSK

chosen

by

the

user.

ofdm_base_convert.m performs this conversion. It converts the original 8-bits/word data


stream to a binary matrix with each column representing a symbol in the symbol size of
the selected PSK order. This binary matrix will then be converted to the data stream with
such a symbol size, which is the baseband to enter the OFDM transmitter.
For example, when QPSK (4 bits/word) is selected, a data stream in 8-bits/word is
[36, 182, 7] will go through the following process:

At the exit of the OFDM receiver, a demodulated data stream needs to go through
the base conversion again to return to 8-bits/word. This time, since the PSK symbol size
might be less than 8 bits/symbol, ofdm_base_convert.m would trim the data stream to a
multiple of 8/symbol-size before the base conversion in order to let each symbol
conversion have sufficient bits. If the OFDM receiver does not detect all the data frames
at the exactly correct locations, demodulated data may not be in the same length as the
transmitted data stream. [2, 4, 0, 7, 11] may be the received data stream instead of [2, 4,

0, 7, 11, 6]. For this instance, 11 is dropped and only [2, 4, 0, 7] will be converted for
generating the output image.

Figure 4.3 a) Original Image

Figure 4.3 b) OFDM Received Image

In the output image Shown in figure 4.3 b) Sometimes the OFDM receivers
outcomes may also happen to be a data stream that is longer than the original transmitted
data stream due to some imprecision processing caused by channel noise. In such cases,
the received data stream is trimmed to the length of the original data stream in order to
fit the dimensions of the original image.
On the contrary, the received data would more likely have a length less than the
original. In these cases, the program would consider the number of the full missing rows
as the amount to trim h, the height of the original image. Some treatment is processed
for the partially missing row if it exists. When one or more full missing rows occur, the
program shows a warning message informing the user that the output image is in a
smaller size than the original image. For the partially missing row of received pixel data,
the program would fill a number of pixels to make it in the same length as all other
rows. Each of these padded pixels would have the same grayscale level as the pixel right
above it in the image (one less row, same column). This would make the partial missing
row of pixels nearly seamless.

The OFDM modulator modulates the data frame by frame. Before the exit of the
transmitter, the modulated frames of time signal are cascaded together along with frame
guards inserted in between as well as a pair of identical headers added to the beginning
and end of the data stream. The communication channel is modeled by adding Gaussian
white noise and amplitude clipping effect.

Figure 4.4 Block Diagram of an OFDM System


4.2.1 System Configurations and Parameters
At

the

beginning

of

this

simulation

MATLAB

program,

script

fileofdm_parameters.mis invoked, which initializes all required OFDM parameters and


program variables to start the simulation. Some variables are entered by the user.
The rest are either fixed or derived from the user-input and fixed variables. The user
input variables include:
1) Input file an 8-bit grayscale (256 gray levels) bitmap file (*.bmp);
2) IFFT size an integer of a power of two;
3) Number of carriers not greater than [(IFFT size)/2 2];

4) Digital modulation method BPSK, QPSK, 16-PSK, or 256-PSK;


5) Signal peak power clipping in dB;
6) Signal-to-Noise Ratio in dB.
The number of carriers needs to be no more than [(IFFT size)/2 2], because
there are as many conjugate carriers as the carriers, and one IFFT bin is reserved for DC
signal while another IFFT bin m is for the symmetrical point at the Nyquist frequency to
separate carriers. And conjugate carriers. All user-inputs are checked for validity and the
program will request the user to correct any incorrect fields with brief guidelines
provided. This script also determines how the carriers and conjugate carriers are
allocated into the IFFT bins, based on the IFFT size and number of carriers defined by
the user. Figure 4.6 shows an example of 120 carriers and 120 conjugate carriers
spreading out on 256 IFFT bins.
4.2.2 OFDM Transmitter (Frame Guards)
The core of the OFDM transmitter is the modulator, which modulates the input
data stream frame by frame. Data is divided into frames based on the variable
symb_per_frame, which refers to the number of symbols per frame per carrier. It is
defined by: symb_per_frame = ceil (2^13/carrier_count). This limits the total number of
symbols per frame (symb_per_frame * carrier_count) within the interval of [2^13,
2*(2^13-1)], or [8192, 16382]. However, the number of carriers typically would not be
much greater than 1000 in this simulation, thus the total number of symbols per frame
would typically be under 10,000. This is an experimentally reasonable number of
symbols that one frame should keep under for this MATLAB program to run efficiently;
thereby symb_per_frameis defined by the equation shown above. If the total number of
symbols in a data stream to be transmitted is less than the total number of symbols per
frame, the data would not be divided into frames and would be modulated all at once. As
shown in Figure 4.5 a), even if the data stream is not sufficiently long to be divided into

multiple frames, two frame guards with all zero values and in a length of one symbol
period are still added to both ends of the modulated time signal. This is to assist the
receiver to locate the beginning of the substantial portion of the time signal. As shown in
Figure 4.5 b), for modulated signals with multiple frames, a frame guard is inserted in
between any two adjacent frames as well as both ends of the cascaded time signal.
Finally, a pair of headers is padded to both ends of the guarded series of frames. The
headers are scaled to the RMS level of the modulated time signal [11].

Figure 4.5 a) Modulated Signal (single frame)

Figure 4.5 b) Modulated Signal (multiple frames)

Figure 4.6 OFDM Transmitter Plots


4.2.3 OFDM transmission
As discussed in the post on Understanding an OFDM transmission, for sending an
OFDM modulated symbol, we use multiple sinusoidal with frequency separation
used, where is the symbol period. The information
is multiplied by the corresponding carrier

is

to be send on each subcarrier


and the sum of such modulated

sinusoidal form the transmit signal. Mathematically, the transmit signal is, The
interpretation of the above equation is as follows:
(a) Each information signal

multiplies the sinusoidal having frequency of

(b) Sum of all such modulated sinusoidal are added and the resultant signal is sent out as
.
4.2.4 OFDM reception
In an OFDM receiver, this process will multiply the received signal with a bank of
correlates and integrate over the period shown in figure 4.7. The correlate to extract

Information,send,on,subcarrier,

is,integral,

,where

takes values from till

(4.2)

Figure 4.7 OFDM Receiver Plots


4.2.5 Frequency offset
In a typical wireless communication, the signal to be transmitted is up converted
to a carrier frequency prior to transmission. The receiver is expected to tune to the same
carrier frequency for down-converting the signal to baseband, prior to demodulation.

Figure 4.7 Up/down conversion


Shown in figure 4.7 and 4.6 , however due to device impairments the carrier
frequency of the receiver need not be same as the carrier frequency of the transmitter.
When this happens, the received baseband signal, instead of being centered at DC
(0MHz), will be centered at a frequency

, where

representation is (ignoring noise),

. The baseband

, where

is the received signal

is the transmitted signal and


It is the frequency offset.
4.2.6 Effect of frequency offset in OFDM receiver
Let us assume that the frequency offset

is a fraction of subcarrier spacing

i.e.
. Also, for simplifying the equations, lets us assume that the transmitted symbols
on all subcarriers,

The received signal is,

The output of the correlate or for sub-carrier

.
is, for

, The integral reduces

to the OFDM receiver with no impairments case. However for non zero values of , we
can see that the amplitude of the correlation with subcarrier

includes

Distortion due to frequency offset between actual frequency

and the desired

frequency .

Distortion due to interference with other subcarriers with desired frequency .


This term is also known as Inter Carrier Interference (ICI).

Figure 4.8 Error Magnitude VS frequency offset for OFDM

4.2.7 Cyclic prefix


Let us consider one subcarrier (subcarrier +1 specified in IEEE 802.11a
specification) alone.
In the figure shown below, the blue line corresponds to the original sinusoidal
where one cycle of the sinusoidal is of duration 64 samples (
sampling), corresponding to subcarrier of frequency 312.5kHz [12].

with 20MHz

Figure 4.9 Sinusoidal with cyclic prefix


To add the cyclic prefix, 16 samples (

) from the end of the sinusoidal are

appended to the beginning of the sinusoidal (shown in green color). As can be seen,
appending of cyclic prefix does not cause any discontinuities and we still have the
original sinusoidal of frequency 312.5 kHz. Further, after adding cyclic prefix, as the
sinusoidal is of duration

, we now have a bigger window for choosing one period of

the sinusoidal. Of course, depending on which set of

is chosen, the phase needs to

be corrected, but that will be a trivial operation in a typical implementation Effect of


passing a sinusoidal through a multipath channel Let us consider a simple multipath
channel of the form
Let the transmit symbol be a single sinusoidal

(4.3)
.As can be seen from the

above equation, after passing through the multipath channel, the received signal is the
original sinusoidal

albeit with modifications in amplitude and phase.

4.2.8 Use of cyclic prefix in multipath channel


Cyclic prefix acts as a buffer region where delayed information from the previous
symbols can get stored. The receiver has to exclude samples from the cyclic prefix
which got corrupted by the previous symbol when choosing the samples for an OFDM
symbol. Further, from the previous section, we learned that a sinusoidal added with
delayed version of the same sinusoidal does not affect the frequency of the

Figure 4.10 OFDM symbol with multipath


Given so, for demodulating the received symbol, the receiver can chose
samples from a region which is not affected by the previous symbol. As shown in the
figure above, the samples can be chosen from the blue-arrow region OR the orangearrow region OR somewhere in between shown in figure 4.10.
Note: When the pertinent samples of an OFDM symbol is taken (as explained
above), the orthogonal aspect in OFDM is not affected by the multipath channel i.e.

even though the individual subcarriers undergo phase and amplitude change, as the
frequency is not affected, and there is no interference between the subcarriers.
4.2.9 Choosing the cyclic prefix duration
Given that transmission of cyclic prefix reduces the data rate, the system
designers will want to minimize the cyclic prefix duration. Typically, cyclic prefix
duration is determined by the expected duration of the multipath channel in the
operating environment. For example, for the indoor wireless multipath channel, the
typically expected multipath channel is of around

duration, hence determining the

cyclic prefix chosen per the IEEE 802.11a specification.


1. Passing a sinusoidal through a multipath channel does not affect the frequency of the
sinusoidal.
2. As the multipath channel does not change the frequency of the sinusoidal, multipath
channel does not affect orthogonal of the subcarriers (only if the rec. chooses sample)
which correspond only to the delay-added versions of the samples of the current
symbol).3. Transmission of cyclic prefix reduces the data rate; hence the cyclic prefix
duration should not be much more than the duration of the maximum expected multipath
channel.

4.3 3GPP LTE System Technical


3GPP LTE have selected different transmission schemes in uplink and downlink
due to certain characteristics. OFDMA has been selected for downlink i.e. from eNodeB
to UE and SC-FDMA has been selected for uplink i.e. for transmission from UE to
eNodeB.

4.3.1 Long Term Evolution


LTE is a next generation mobile system from the 3GPP with a focus on wireless
broadband. LTE is based on Orthogonal Frequency Division Multiplexing (OFDM) with
cyclic prefix (CP) in the downlink, and on Single-Carrier Frequency Division Multiple
Access (SC-FDMA) with cyclic prefix in the uplink. It supports both FDD and TDD
duplex modes for transmission on paired and unpaired spectrum.
The generic radio frame has time duration of 10 ms, consisting of 20 slots of each
0.5 ms. two adjacent slots form a sub-frame of 1 ms duration, which is also one transmit
time interval (TTI). Each slot consists of seven OFDM symbols with short/normal cyclic
prefix (CP) or six OFDM symbols with long/extended CP shown in figure 4.11.

Figure 4.11 LTE Frame Format


4.3.2 Uplink Transmission
The uplink transmission scheme is based on single-carrier FDMA, more
specifically DFTSOFDM. The uplink sub-carrier spacing f = 15 kHz. There are two
cyclic-prefix lengths defined: normal cyclic prefix and extended cyclic prefix

corresponding to seven and six SC-FDMA symbols per slot, NUL symbol respectively.
The cyclic prefix timings for uplink are the same as for the downlink.
For short cyclic prefix: TCP = 160Ts for OFDM symbol l = 0
= 144Ts for OFDM symbol l = 1, 26.
For long cyclic prefix: TCP-e = 512Ts for OFDM symbol l = 0, 15
Ts = 1/ (2048 f) is the sampling time for 20 MHz system shown in figure 4.12.

Figure 4.12 Transmitter scheme of SC-FDMA


The sub-carriers are grouped into sets of 12 consecutive sub-carriers,
corresponding to the uplink resource blocks. 12 consecutive sub-carriers in one slot
correspond to one uplink resource block the same as in the downlink which is
depicted in Figure 4.6. In the frequency domain, the maximum number of resource
blocks, NRB, can range from NRB-min = 6 to NRB-max = [110]. Each element in the
resource grid is called a resource element and is uniquely defined by the index pair (k,l)
in a slot where k and l are the indices in the frequency (subcarrier) and time domain
(symbol), respectively shown in figure 4.13.

Figure 4.13 Uplink Slot format


4.3.3 Why OFDM is most favored for broadband systems
Second and third generation mobile systems are based on either TDMA or CDMA
technologies. Although these technologies can theoretically be extended to next
generation broadband wireless systems, practical implementation issues and
complexities limit their acceptance. On the other hand, OFDM offers an easier solution
and practical implementation. However, OFDM is not without its issues.
Multipath signal propagation makes the channel response time dispersive; the
amount of signal dispersion depends on the environment of operation. For example, the
channel dispersion is about 5 micro seconds in typical urban areas and 15 to 20
microseconds in rural and hilly terrain. The factor that affects the receiver is the number
of resolvable channel taps over the channel dispersion interval. In a TDMA system, it is
the ratio of the channel dispersion to signal symbol time. However, in a CDMA system,
it is the number of channel taps with strong energy at chip-time resolution over the
channel dispersion period.

The channel time dispersion is viewed as the frequency selective or non-selective


in the frequency domain. Frequency non-selective channel means the signal over its
entire bandwidth will have the same effect due to the multipath channel. This is also
called flat fading.
In the time domain, the channel is not dispersive relative to its symbol time, and hence,
there is no ISI. In the frequency selective channel, the signal will have independent
effects over its bandwidth due to the channel, and it is time dispersive relative to its
symbol time.
For narrowband TDMA systems such as GSM, multipath propagation makes the
channel frequency non-selective or less selective, making the receiver less complex.
Extending TDMA techniques to broadband system makes the receiver complexity
unmanageable, as the channel becomes very frequency selective. Let us take a closer
look at this problem.
GSM is a 200 kHz channel TDMA system with 270.833 kHz symbol rate with
either binary GMSK or 8-PSK modulations. The baseband signal uses partial response
signaling, which spreads the symbol to three symbol periods. For a typical urban case
with about 5 us channel dispersion, the received signal can have signal dispersion of
about 5 symbol periods including its partial response signaling. Hence, a typical GSM
receiver requires a 16-state MLSE equalizer for GMSK signal and an 8- or 64-state
DFSE equalizer for an 8-PSK EDGE signal. Suppose we want to scale up this technique
to a wideband or broadband system by factor of ten, i.e. a 2 MHz system with 2.70833
MHz symbol rate. For the same amount of channel dispersion, the received symbol will
be spread over 20 symbol periods. The receiver with an equalizer for 20 channel taps
will either be impractical to implement or resort to inferior methods. This problem can
easily be dimensioned over a 20 MHz broadband system.
Similarly, WCDMA technique can also be extended to broadband systems, but its
complexity increases, as it requires more number of Rake receiver fingers. Complexity

and often the gain of a Rake receiver are based on the number of Rake fingers the
receiver can process. A typical WCDMA Rake receiver requires about 5-8 Rake fingers
for a typical urban channel with dispersion of 5 microseconds. More advanced receivers,
such as Generalized Rake receivers, require even more fingers as they try to place
additional fingers around the desired signal, which are often called interference fingers.
Extending WCDMA to a 20 MHz broadband system will require higher chip rates,
meaning that it can resolve channel taps with finer resolution. This results in more
fingers for the Rake receiver with strong signal energy. Therefore, extension of
WCDMA/HSPA systems to a 20 MHz broadband system requires extension of similar
factor on the number of fingers in Rake receiver, and thus its complexity. 3GPP is in the
process of defining other ways of extending HSPA system to broadband systems, based
on multi-carrier HSPA.
OFDM has become a most favored technique for broadband wireless system due
to susceptibility to signal dispersion under multipath conditions. OFDM can also be
viewed as a multi-carrier narrowband system where the whole system bandwidth is split
into multiple smaller subcarriers with simultaneous transmission. Simultaneous data
transmission and reception over these subcarriers are handled almost independently.
Each subcarrier is usually narrow enough that multipath channel response is flat over the
individual subcarrier frequency range, i.e. frequency non-selective. Another way to look
at is that an OFDM symbol time is much larger than the typical channel dispersion.
Hence OFDM is inherently susceptible to channel dispersion due to multipath
propagation.
One major difference between an OFDM and the TDMA or CDMA techniques is
important to note. In traditional systems the symbol detection is on the samples at either
symbol or chip rate, and it cares about the carrier-to-interference level only at the
sampling points.

But, OFDM symbol detection requires that the entire symbol duration be free of
interference from its previous symbols, a.k.a. inter-symbol interference. Even though
OFDM symbol duration is much larger than channel dispersion, even a small amount of
channel dispersion causes some spilling of each OFDM symbol to the next symbol, thus
it causes some ISI. However this ISI spill-over is limited to only the initial part of the
neighboring symbol. Hence this ISI spill-over at the beginning of each symbol can easily
be removed by adding a cyclic prefix to each transmit symbol. Cyclic prefix is the
process of extending each symbol by duplicating a portion of the signal at the symbol
ends, which is thrown away at the receiver. The amount of symbol extension, i.e. length
of cyclic prefixes, is a system design parameter, and it is based on the expected signal
dispersion in the environment of system operation. For example, the LTE system uses
OFDM symbol of 66 microseconds plus 5 microseconds of cyclic prefix. This means it
is susceptible to maximum signal dispersion of 5 microseconds due to multipath channel
propagation.
4.3.4 SC-FDMA Modulation
SC-FDMA is a new multiple access technique that utilizes single carrier
modulation, DFT spread orthogonal frequency multiplexing, and frequency domain
equalization. It has a similar structure and performance as OFDM. SC-FDMA is
currently adopted as the uplink multiple access scheme for 3GPP LTE. Transmitter and
receiver structure for SC-FDMA and OFDM are given shown in figures 4.14 and 4.15. It
is evident from the figures that SC-FDMA transceiver has similar structure as a typical
OFDM system except the addition of a new DFT block before subcarrier mapping.
Hence, SC-FDMA can be considered as an OFDM system with a DFT mapped.

Figure 4.14 SC-FDMA Transmitters and Receivers

Figure 4.15 OFDM Transmitters and Receivers


4.3.5 OFDM
As SC-FDMA is built over OFDM modulation, lets first review an OFDM
system structure. A typical OFDM transmitter and receiver structure is shown in Figure
4.15 a transmitter includes a baseband modulator, subcarrier mapping, inverse Fourier
transform, cyclic prefix addition, parallel-serial conversion, and a digital-to-analog
converter followed by an I-Q RF modulator. Unlike other modulation techniques that
operate symbol by symbol, OFDM transmits a block of data symbols simultaneously
over one OFDM symbol. An OFDM symbol is the time used to transmit all of
subcarriers that are modulated by the block of input data symbols.

The baseband modulator transforms the input binary bits into a set of multi-level
complex numbers that corresponds to different modulations formats such as BPSK,
QPSK, 16- or 64-QAM.
The type of modulation format used often depends on the signal-to-noise level of
the received signal and the receiver ability to decode them correctly. These modulated
symbols are then mapped to subcarriers. An inverse-FFT (IFFT) is used to transform the
modulated subcarriers in frequency domain to time domain samples.
In general, the same modulation format is used in all the subcarriers to keep the
control information overhead small. However, it is possible to have different modulation
formats over multiple subcarriers, and it is in fact advantageous in harsh and time
varying channel conditions. In a broadband system, the channel is frequency selective
over its large system bandwidth, meaning the signal fading on each subcarrier is
independent. The interference level on each subcarrier can also be different and vary
uniquely with time. It results in a different signal-to-impairment level on each of the
subcarriers. Hence, having an appropriate modulation format on these subcarriers would
help to maximize the overall system throughput.
OFDM system inherits an adaptation of modulation formats to each of the
subcarriers depending on channel conditions, and this is called Channel-dependent
scheduling.
A cyclic prefix block copies a portion of the samples at the end of the time
domain samples block (at the IFFT output) to the beginning. Since the DFT/FFT outputs
are periodic in theory, copying the samples to the beginning will make the signal
continuous. The length of the cyclic prefix depends on the channel delay spread, and is
preferably longer than the length of the channel response. At the receiver, the prefix part
of the symbol is thrown away as it may contain ISI from its previous symbol. Hence, it
removes the effect of ISI caused by the multipath signal propagation. However, the
prefix is the overhead in an OFDM system, as it does not carry any useful information.

The block of complex samples are then serialized in the time domain and
converted to analog signals. The RF section modulates the I-Q samples to final
transmission radio frequency. A corresponding receiver does the inverse operations of
the transmitter in the reverse order. A typical OFDM receiver includes an RF section,
ADC, parallel-to-serial converter, cyclic prefix remover, Fourier transformer, sub-carrier
demapper, equalizer and detector.
4.3.6 OFDM to SC-FDMA
The main difference between OFDM and SC-FDMA transmitter is the DFT
mapper. After mapping data bits into modulation symbols, the transmitter groups the
modulation symbols into a block of N symbols. An N-point DFT transforms these
symbols in time domain into frequency domain. The frequency domain samples are then
mapped to a subset of M subcarriers where M is typically greater than N. Similar to
OFDM, an M-point IFFT is used to generate the time-domain samples of these
subcarriers, which is followed by cyclic prefix, parallel to serial converter, DAC and RF.
subsystems.
4.3.7 Frequency Spread OFDM
Each data symbol is DFT transformed before mapping to subcarriers, hence the
SC-FDMA is called DFT-precoded OFDM. In a standard OFDM, each data symbol is
carried on a separate subcarrier. In SC-FDMA, multiple subcarriers carry each data
symbol due to mapping of the symbols frequency domain samples to subcarriers. As
each data symbol is spread over multiple subcarriers, SC-FDMA offers spreading gain
or frequency diversity gain in a frequency selective channel. Thus, SC-FDMA can be
viewed as frequency-spread OFDM or DFT-spread OFDM.

4.3.8 Subcarrier Mapping


DFT output of the data symbols is mapped to a subset of subcarriers, a process
called subcarrier mapping.
The subcarrier mapping assigns DFT output complex values as the amplitudes of
some of the selected subcarriers. Subcarrier mapping can be classified into two types:
localized mapping and distributed mapping. In localized mapping, the DFT outputs are
mapped to a subset of consecutive sub-carriers thereby confining them to only a fraction
of the system bandwidth. In distributed mapping, the DFT outputs of the input data are
assigned to subcarriers over the entire bandwidth non-continuously, resulting in zero
amplitude for the remaining subcarriers. A special case of distributed SC-FDMA is
called interleaved SC-FDMA, where the occupied subcarriers are equally spaced over
the entire bandwidth. Shown in figure 4.16 is a general picture of localized and
distributed mapping.

Figure 4.16 Localized mapping vs. Distributed mapping

An example of subcarrier mapping is shown in Figure 4.17. This example


assumes three users sharing 12 subcarriers. Each user has a block of four data symbols
to transmit at a time.
The DFT output of the data block has four complex frequency domain samples, which
are mapped over 12 subcarriers using different mapping schemes.
SC-FDMA inherently offers frequency diversity gain over the standard OFDM, as
all information data is spread over multiple subcarriers by the DFT mapper. However,
the distributed SC-FDMA is more robust with respect to frequency selective fading and
offers additional frequency diversity gain, since the information is spread across the
entire system bandwidth. Localized SC-FDMA in combination with channel-dependant
scheduling can potentially offer multi-user diversity in frequency selective channel
conditions.

Figure 4.17 Subcarrier Mapping Examples


4.3.9 Single Carrier Modulation
Based on SC-FDMAs structure, the reasons for some of its names, such as DFTprecoded OFDM or DFT-spread OFDM, are clear. But for the use of Single Carrier in

its name, SCFDMA, is not as obvious and is often the reason why is not explained,
unlike the standard.
OFDM where the each data symbol is carried by the individual subcarriers, the
SC-FDMA transmitter carries data symbols over a group of subcarriers transmitted
simultaneously. In other words, the group of subcarriers that carry each data symbol can
be viewed as one frequency band carrying data sequentially in a standard FDMA. For
some of the subcarrier mappings, the time domain representation of the IFFT output, as
shown in Figures 4.18 and 4.19, will give more insight on the SC-FDMA signal. It can
be mathematically shown that the SC-FDMA baseband time domain samples after IDFT
or IFFT is the original data symbol set repeated in time domain over the symbol period.

Figure 4.18 Time domain representation of Interleaved SC-FDMA

Figure 4.19 Simplified Interleaved SC-FDMA Transmitter

4.4 Peak to Average Power Ratio (PAPR)


PAPR is defined as the peak power within one OFDM symbol normalized by the
average signal power. When several OFDM sub-carriers align themselves in phase there
occur a large PAPR which is the most difficult concern in RF engineering of traditional
OFDM. The value of PAPR is directly proportional to the number of sub-carriers, given
by log (10) (N dB PAPR ) where N is the number of sub-carriers Signals with a large
PAPR need highly linear power amplifiers to avoid excessive inter modulation distortion
and to achieve this linearity, amplifiers have to operate with a large back off from their
peak power which results in low power efficiency (measured by the ratio of transmitted
power to the DC power dissipated).
4.4.1 What is PAPR
The peak to average power ratio for a signal

, where

is defined as

corresponds to the conjugate operator.

Expressing in decibels,

(4.4)

4.4.2 PAPR of a single sine tone


Consider a sinusoidal signal
The peak value of the signal is
signal is,

having the period

. The mean square value of the

Given so, the PAPR of a single sine tone is,


.

(4.5)

Figure 4.20 PAPR of a single sine tone


4.4 .3 PAPR of a complex sinusoidal
Consider a sinusoidal signal
The peak value of the signal is

having the period

The mean square value of the signal is,

.
Given so, the PAPR of a single complex sinusoidal tone is,

(4.6)
.

4.4 .4 PAPR of a complex sinusoidal


Consider a sinusoidal signal
The peak value of the signal is

having the period

The mean square value of the signal is,

.
Given so, the PAPR of a single complex sinusoidal tone is,

(4.7)
.

Figure 4.21 PAPR of a complex sinusoidal


4.4.5 Maximum expected PAPR from an OFDM waveform
From the previous post (here), we have learned that an OFDM signal is the sum of
multiple sinusoidals having frequency seperation
modulated by independent information

where each sinusoidal gets

. Mathematically, the transmit signal is,

(4.8)
For simplicity, let us assume that

for all the subcarriers Given so, the peak to

average power ratio for an OFDM system with


given the same modulation is,

subcarriers and all subcarriers are

It is reasonably intuitive that the above value corresponds to the maximum value
of PAPR (when all the subcarriers are equally modulated, all the subcarriers align in
phase and the peak value hits the maximum).
PAPR in IEEE 802.11a OFDM transmission
Per the IEEE 802.11a specifications, we have

used subcarriers. Given so, the

maximum expected PAPR is 52 (around 17dB!!). However, thanks to the scrambler, all
the subcarriers in an OFDM symbol being equally modulated is unlikely.Using a small
script, the cumulative distribution of PAPR from each OFDM symbol, modulated by
random BPSK signal is obtained.

Figure 4.22 Maximum expected PAPR from an OFDM waveform


4.4.6 PAPR analysis
SC-FDMA offers similar performance and complexity as OFDM. However, the
main advantage of SC-FDMA is the low PAPR (peak-average-power ratio) of the
transmit signal. PAPR is defined as the ratio of the peak power to average power of the
transmit signal.
As PAPR is a major concern at the user terminals, low PAPR makes the SCFDMA the preferred technology for the uplink transmission. PAPR relates to the power
amplifier efficiency at the transmitter, and the maximum power efficiency is achieved
when the amplifier operates at the saturation point. Lower PAPR allows operation of the
power amplifier close to saturation resulting in higher efficiency. With higher PAPR
signal, the power amplifier operating point has to be backed off to lower the signal
distortion, and thereby lowering amplifier efficiency. As SC-FDMA modulated signal
can be viewed as a single carrier signal, a pulse shaping filter can be applied to transmit
signal to further improve PAPR.

PAPR comparison between OFDM and SC-FDMA variations such as interleaved


SC-FDMA and localized SC-FDMA has been done in [2]. With no pulse shaping filters,
interleaved-SC-FDMA shows the best PAPR. Compared to OFDM PAPR, the PAPR of
interleaved SCFDMA with QPSK is about 10 dB lower, whereas that of localized SCFDMA is only about 3 dB lower. With 16-QAM, these levels are about 7 dB and 2 dB
lower respectively. Therefore, interleaved SC-FDMA is a preferred modulation
technique for lower PAPR. Pulse shape filtering of SC-FDMA in fact degrades the PAPR
level of interleaved SC-FDMA whereas it shows no effect with localized SC-FDMA.

CONCLUSION
This application note provides an example for implementing OFDM modulation
and demodulation in reconfigurable wireless systems. FFT module reuse is the core of
the architectures considered in this application note. The design example implements
OFDM modulation and demodulation for 3GPP LTE, which supports reconfigurable
FFT size and cyclic prefix size. The design example is also applicable to WiMAX [13],

WLAN, and other OFDM-based modern communications systems. All major


components of an OFDM system are covered. This has demonstrated the basic concept
and feasibility of OFDM, which was thoroughly described and explained in DESIGN
and IMPLEMENTATION of this report. Some of the challenges in developing this
OFDM simulation program were carefully matching steps in modulator and
demodulator, keeping track of data format and data size throughout all the processes of
the whole simulation, designing an appropriate frame detector for the receiver, and
debugging the MATLAB codes. OFDM Modulator showed and explained some analyses
of the performance and characteristics of this simulated OFDM system. It was noted that
for some combinations of OFDM parameters, the simulation may fail for some trials but
may succeed for repeated trails with the same parameters. It is because the random noise
generated on every trial differs, and trouble may have been caused for the frame detector
in the OFDM receiver due to certain random noise. Future work is required to debug this
issue and make the frame detector free of error.

5 LABOUR PROTECTION SAFETY IN EMERGENCY SITUATIONS


5.1 Analysis conditions

Dimensions office room PC: length 12 m , width 7 m , height 4 m Number


of employees - 12. Used computer equipment capacity of 6 kW , which is powered by
a voltage source 220, the distance to the substation 200 m .
Total area is 84m2 (12 *7) and total volume is 336m 3
2

96 m 4 m
84 * 4). So on one job is assigned: 8

m 2 ), volume 32

m3

384 m3 room (

m2 area (the standard more than 6

(rate of more than 21

m3 ), so facilities meet the

requirements NPAOP 0.00 - 1.28 - 10.


Work environment can be considered as a total system financially - spatial
conditions of people in the industrial sector, consisting of existing industrial buildings,
structures, machinery and other material components. Interaction with employees
working environment can be represented as a function of some system "human machine - environment"(HME).
Before the HME system is quite certain production targets. To get the desired
product of their labor, a person interacts with a manufacturing environment.
Operators are different factors:
1) Factors that are linked to computers and other technical means;
2) Factors that are directly related to the production environment;
3) Factors determined directly the labor process;
We select the system "human - machine - environment" ("H-M-C"), which in
our case:
a) Human - the team with 12 people working in the department;
b) Machine - Equipment Division, located in the premises;
c) Environment - the surrounding environment is limited outside the room;

d) Item of Work - that makes the employee, in this case the subject of labor is
processed data and information;
For the analysis of working conditions will analyze the system "human machine - environment" as a whole in figure 5.1.
Human in the system is considered as three functional elements:
H1 - the human who takes the whole directed action;
H2 human as a biological object that directly affect the environment by heat
and moisture exchange, need for oxygen consumption, carbon dioxide, noise;
H3 - the human with the terms of its physiological state (its fatigue, activity,
irritability, mood alteration).
The machine is also divided into three components:
M1 - the machine that performs the basic technological function;
M2 - machine element that is responsible for the emergency protection
(vanishing, isolation, disconnection in case of overheating);
M3 - Machine element that affects the person and work environment due to heat,
noise, electromagnetic and X-rays.

Figure 5.1:- Workplace between two stations

We select danger and harmful factor that may arise in the system the "H-M-E."
These include, according to Gost12.0.003-74:
a) Physical:
- Increased or decreased temperature of the working area due to incorrectly
designed system of heating or air conditioning affects the microclimatic conditions and
cause discomfort, reduced productivity;
- Increased humidity caused by incorrectly designed system of air conditioning,
also leads to discomfort, ill health provider;
- Noise in the workplace leads to headaches, weakening of attention, discomfort,
and thus reduces productivity;
- The absence or lack of natural light working area, due to lack of light cuts or
lack of space, resulting in blurred vision, reduced disability rights;
- Lack of illumination of working area, depending on the lighting system,
causing rapid fatigue and reduces the capacity of ;
- Increased the voltage in the electrical network circuit which can pass through
the human body, increasing the voltage may cause human hazard;
- Increased level of radiation in the workplace, due to computer work can lead to
mutagenic processes that occur inside the body;
b) physiological:
- Emotional overload identified shortage of time and information with increased
responsibility, leading to fatigue;
- High monotony of work, due to operations that are constantly repeated on the
keyboard, reduces productivity and leads to fatigue;
- Overheating visual analyzer leads to blurred vision, causes rapid fatigue and
reduced work performance.
The greatest value is as dangerous and harmful factors like an electric shock
from getting severe bodily burns that can lead to death. It can happen accidentally, such

as damage to the insulation on wires, or electrical circuit, or surges in the network. This
can lead to injuries that may reduce the capacity of human for a short time or in more
severe cases for a long time and opportunity to remain disabled with loss of
opportunities for further opportunities to work in the specialty.
According to our job we can see the environment factors and factors of
technological processes which clear in Table 5.1.
Table 5.1- Industrial environment and work factors estimation

Industrial
factors of job

Factor
environment (norms)

1. Hazardous chemical
substance:
) 1 hazard class
) 2 hazard class
) 3-4 hazard class
2. Noise, dB
3. Ultrasound
4. Unionizing radiations
of radio frequency ranges,
V/m
5
X-Ray
radiation,
mkR/hour
6. Microclimate:
) Air temperature, ,
b) air speed, /s
c) relative humidity, %
7. Illumination:
) natural, %
b) artificiality, lux

Norm

Fact

50

50

2,5

2,5

23-25

24

0,1
40-60

0,05
55

1,2
200-500

2
429

Third class dangerous The


and
hazard
work duratio
conditions
n
of
factor,
%
1 2
3

8. Weight of labour:
)
small
stereotype 20000motions of brushes and 40000
fingers of hands, quantity
for the workday

20000

25% of Free
deviatio
n

b) working pose

c) inclination of body
(stoop)
51-100
d) space moving

8 km

0,5

176-300

100

10. The tension of work:


) attention:
duration concentration,
(%)
signal density, average in
a hour
b) overloading of analyzer:
eyesight :
hearing
c)
emotional
intellectual tension
11. Shift quantity
Factors quantity

0,3-0,5
mm

les then
50% of
workday
and 70-90%
Respons
e-bility
8-9
hours

0,3-0,5
mm les
then
50% of
workday
90
response
-bility
8

According to hygienically estimation working place relate to second class of


working;
Dominant danger factors is promotion tension in electric network.

5.2 Accident Prevention


According NPAOP 40.1-1.21-98 building belongs to the class P-IIa and without
high risk. The room is dry, temperature 24 C , conductive, as well as high temperatures
and no possibility of contact person for having connections with the earth, metal
building on the one hand and the metal housings of electrical equipment on the other.
Power is provided from three phase four wired network with muffled grounded
neutral alternating current with frequency 50 Hz and voltage of 380/220V. In such
networks to protect against electric shock using vanishing, which makes fault system
building block in Single-phase short circuit. Turn off the damaged part of the network
machine protection. For electrical requirements while off the damaged part of the
network no more than 0.2 s.
To avoid earth fault and emergency operating modes Power to conduct periodic
monitoring of insulation resistance. During periodic monitoring of insulation understand
measurement of resistance within the rules of time and in case of defects. Insulation
resistance of electrical circuits that are not under stress, usually measured by special
instruments.
Control of insulation not less than 1 time per year in non-voltage network.
Measure the resistance should be between zero and phase and between phases.
Insulation resistance not less than 500 ohms.
According to the requirements NPAOP 0.00-4.12-05 held briefings. Induction of
all newly hired by the programs of labor services, taking into account the peculiarities of
production. Instruction logged induction, as well as in the acceptance of the worker.
Primary instruction is conducted in the workplace before starting work again
with the workers, with the employee who transferred to this company. The tutorial is
recorded in the logbook of primary instruction. Repeated instruction conducted in the
workplace to all employees every six months. If necessary, conduct unscheduled

instructions (at change of process, breach of safety, implementation of new electrical


equipment). Task instruction conducted in the performance of non-core duties.
The protective effect is vanishing in reducing the duration of closure of the shell,
thus reducing the exposure time in the current per capita (Figure 5.2 Scheme vanishing).

Figure 5.2:- Scheme of vanishing


Protect personnel from electrical shock should be carried out by vanishing.
Let us calculation of short-circuit current in the circuit phase of building
equipment to assess the value of current limit protection and automatic operation to
select the machine protection.
Define the maximum rated current in the line:
I

PH 10 3 6000

27.3
UH
220

-power consumed by electrical equipment;

-phase voltage;

Determine the cross-sectional area for copper:

S=/I=

27.3
3.5

=7.8mm2 = 6 mm2

Find the resistance cable with copper conductors:


R R

l
62
0.018
0.186
S
7 .8

h.,

Where - the resistance of the conductor, which for copper 0.018 Ohm * mm 2 /
m;
l - length of the conductor, m (for copper perimeter space P = (a + b) * 2 = (12
+7) * 2 = 38);
S - Cross section of the conductor, mm2 Inductive resistance of copper wires is
very small, so they can be neglected. Resistance depends on the distance between D and
their diameter d. usually taking
X 6 10 4 * l

Find the length of the loop "phase-zero":


l n l l l l 38 38 38 38 152

m.
Determine the resistance of the loop "phase-zero":
X 6 * 10 4 * l 6 * 10 4 *152 0.0915

Ohm.

Impedance loop "phase-zero":


2

Z n ( R R R R ) 2 X (0.186 0.186 0.186 0.186) 2 (0.0915) 2 0.749

Ohm.
(== 0.75 Ohm).

According to the condition have transformer power P = 250 kV * A. His


resistance is Z=0.1 Ohm
.Single-phase short circuit current is:
IK3

U
Z TP
Zn
3

220
281
0 .1
0.75
3

Effects of automatic exclusion are ensured if the condition:


Ik KI
Find the current operation of the automatic circuit breaker (I):

I=/K=

281
1.25

= 225 ,

Where K - the coefficient of multiplicity (k = 1,25 for circuit breakers with rated
current over 100 A); So to provide protection against electric shock breaker A3114 / 1,
electromagnetic actuation element is in force in the current 200A. It is used to protect
people from electric shock, fire protection equipment in violation of electrical insulation
and protection against short circuit and overload.
5.3 Productions Sanitary
Employment of persons employed by operators meet a class according to
12.1.005. Jobs in the manufacturing premises are classified as works of power
consumption of the body "light" - work that is performed seated and accompanied by
minor physical activity.In order to create normal conditions for attack personnel
established norms of microclimate on SDS 3.3.6.042-99 are listed in Table 5.2.
Table 5.2- Parameters of microclimate.

Temperature, O

The

Relative humidity,%

Air velocity, m / s

period of
the year
Wholesal

Extras

Wholesal

Extras

Wholesale

Extras

Cold

23-25

19-25

40-60

75

0.1

0.1

Warm

22-24

22-28

40-60

55

0.1

0.1-0.2

To ensure the standards of the microclimate in rooms equipped with computers


used ventilation, air conditioning and heating. Required weather conditions provided by
the use of air conditioning. When calculating the air determine the quantity of air that
must pass through a room for every hour in order to microclimate parameters did not
exceed permissible values.
In the manufacturing premises of the source of excess heat is:
- Heat input from the people;
- Heat from equipment and auxiliary equipment;
- Flow of heat from solar radiation;
- Heat from electric lighting.
According to DBN B.2.5 - 28 - 2006. "Natural light, artificial i the premises",
which is working on a computer, used natural and artificial lighting. Daylight factor
(KEO) 1.5%. The room is equipped with a system of general uniform illumination,
executed in the form of solid or dotted line fixtures located away from jobs. The
brightness lamps for general lighting in the area of emission angles from 500 to 900 on
the vertical in the longitudinal and transverse planes up to 200 cd/m2. Rate fluctuations
flux 5%. The level of illumination on the desktop in the area of placement document
shall within 300 - 500 lux. In the building of enterprise cause noise are ventilation,

power supply, printers, scanners, personal computers. According to the 12.1.00383, the sound level at the companies employing staff must not exceed 50 dB.
Layout of jobs in the hall of the PC shown in figure 5.3.

Figure 5.3 - Layout of jobs in the Hall of PC.


Based on the scheme depicted in figure 5.3 we can see that the room is suitable
for work, as floor space sufficient to place it 12 jobs.
5.4 Fire Safety
Category explosion of fire - "B" (fire explosion of production, solid combustible
substance).The degree of fire resistance of building - II (by DBN V.1.1.7-2002). The
degree of fire safety facilities is the P-IIa (solid combustible substance without dust) on
NPAOP 40.1-1.21-98.

Possible causes of fire are a short circuit in the network (conductor light up
before work automat of interrupt) or violation of personnel safety standards (such as
indoor use VAC heaters). To avoid such situations, the following measures:
- Performance standards DBN V.1.1.7-2002 (electrical equipment and wiring in
the implementation of protective performance, current-carrying parts of electrical
equipment enclosed - do not use equipment with the cabinet removed, wiring - cables
with isolation of veins, the floor is made of dielectric)
- Protection of buildings from falling into it lightning
- In the room are 10 portable carbon dioxide fire extinguishers (based on floor
space equal to 92 m2 - 2 fire extinguishers on every 20 m2 in rooms with computers
cannot use water, foam and powder fire extinguishers);
- Automatic fire alarm system - 8 smoke detectors (based on floor space equal to
92 m2 - 2 smoke detectors in every 20 m2);
- Briefings with fire safety.
Scheme evacuation of personnel indicated in Figure 5.4.

Figure 5.4 - Scheme of evacuation of personnel in the room PC


5.5 Safety in Emergency
Working with computers may cause unusual situations that may threaten people
and their financial conditions. So what are the possible cases of unusual situations?
Emergency is a violation of normal living conditions and human activity at the
facility or area caused by accidents, disaster, natural disaster or other dangerous event
that resulted or may result in death or significant material damage.
By law, management, regardless of ownership and subordination provide their
employees with personal and collective protection, organizes the implementation of
evacuation, creates a force for disaster and ensure their commitment to practical action
takes other measures civil defense and is associated with this material and financial
costs. Responsibility for the organization and status civil defense facility for the

permanent readiness of forces and means of rescue and other urgent works is chief civil
defense object - rector of the university.
Head civil defense facility complies with the officials of the Ministry
(department), administered by the object.
Separation of powers defined by the Law "On Local Government". To assist the
Chief civil defense object designated deputy or several deputies. As a rule, appointed
deputies of: engineering parts, evacuation and logistics.
Deputy Chief civil defense of engineering parts - chief engineer of the object controls the elaboration of the plan on a special transfer mode, takes measures to
improve the stability of the company in the National Assembly, directs emergency
technical, fire service and storage facilities and shelters.
Deputy Chief of civil defense evacuation controls develop evacuation plans for every
possible NA organizes training places to accommodate evacuees, manages the service of
public order and arrange transportation (transfer) workers and employees in the areas of
settlement and the place of work (to object).

CONCLUSIONS
In master's degree work on a theme: Increasing Communication Quality in
LTE System using OFDM Techniques is executed requirement specification in full.
In the First topic is Mobile generations it was started from 0G to 4G techniques
are often divided into generations in mobile communications, with 1G being analog
mobile radio systems of the 1980s, 2G mobile systems, the first digital, and 3G systems
to deal with the first mobile broadband data. Is often called the long-term evolution
(LTE) "4G", but many also claims that the LTE release of 10, also referred to as LTE, is
the beginning of the evolution of 4G, with the first version of LTE (Release 8) and then
being labeled as "3.9 G ".

Techniques are often divided into generations in mobile communications, with


1G being analog mobile radio systems of the 1980s, 2G mobile systems, the first digital,
and 3G systems to deal with the first mobile broadband data. Is often called the longterm evolution (LTE) "4G", but many also claims that the LTE release of 10, also
referred to as LTE, is the beginning of the evolution of 4G, with the first version of LTE
(Release 8) and then being labeled as "3.9 G ". The contest runs for the preparation of
new generations in the mobile system, which is really just a call, and what is important
is the actual capacity of the system and how to increase the capacity of the channel
carriers and purity.
In the Second topic is Long Term Evaluation (LTE) Concepts. New technology
LTE, for UMTS network, and the application by the 3GPP is the basis and beginning at
the start in 4th generation. By simulation and was the main objective behind this work. It
was in this work involving the analysis of the new requirements dealt with in this thesis
by the implementation of a transmitter and receiver based on LTE.
LTE capabilities include:
1 Downlink peak data rates up to 326 Mbps with 20 MHz bandwidth.
2 Uplink peak data rates up to 86.4 Mbps with 20 MHz bandwidth.
3 Operation in both TDD and FDD modes.
4 Scalable bandwidth up to 20 MHz, covering 1.4, 2.5, 5, 10, 15, and 20 MHz in the
study phase. Channels that are 1.6 MHz wide are

under consideration for the

unpaired frequency band, where a TDD approach will be used.


5 Reduced latency, to 10 msec round-trip time between user equipment and the base
station, and to less than 100 msec transition time from inactive to active.
The overall intent is to provide an extremely high-performance radio-access
technology that offers full vehicular speed mobility and that can readily coexist with
HSPA and earlier networks. Because of scalable bandwidth, operators will be able to
easily migrate their networks and users from HSPA to LTE over time.

In third topic is Using Orthogonal Frequency Division Multiplexing OFDM in


LTE Technology. We give principles of OFDM. We discuss OFDM theory in LTE
Communications: Theory and Applications of OFDM
1

OFDM utilizes cyclic prefix in the guard interval in order to

guarantee no ISI and ICI.


2 OFDM utilizes known preambles or pilot symbols for coherent
detection and synchronization.
3 OFDM creates orthogonal spectral efficient low rate carriers in order
to transmit high-rate signals.
4 OFDM broadcast does not need a time domain equalizer and needs
only a simple frequency domain equalizer to correct amplitude and phase
changes.
LTE uses OFDM on the downlink, which is well suited to achieve high peak
data rates in high spectrum bandwidth. Radio technology is basically as efficient as
OFDM for delivering peak data rates of about 10 Mbps in 5 MHz of bandwidth.
However, achieving peak rates in the 100 Mbps range with wider radio channels would
result in highly complex terminals, and it is not practical with current technology. This is
where OFDM provides a practical implementation advantage. Scheduling approaches in
the frequency domain can also minimize interference, thereby boosting spectral
efficiency. The OFDM A approach is also highly flexible in channelization, and LTE will
operate in various radio channel sizes ranging from 1.25 to 20 MHz.
In Fourth topic is an example for implementing OFDM modulation and
demodulation in reconfigurable in wireless systems. FFT module reuse is the core of the
architectures considered in this application note. The design example implements
OFDM modulation and demodulation for 3GPP LTE, which supports reconfigurable
FFT size and cyclic prefix size. The design example is also applicable to WiMAX [13],
WLAN, and other OFDM-based modern communications systems. All major

components of an OFDM system are covered. This has demonstrated the basic concept
and feasibility of OFDM, which was thoroughly described and explained in DESIGN
and IMPLEMENTATION of this report. Some of the challenges in developing this
OFDM simulation program were carefully matching steps in modulator and
demodulator, keeping track of data format and data size throughout all the processes of
the whole simulation, designing an appropriate frame detector for the receiver, and
debugging the MATLAB codes. OFDM Modulator showed and explained some analyses
of the performance and characteristics of this simulated OFDM system.

References
1.

Agilent

3GPP Long Term

Development,

and

Test

Evolution:

Challenges",

System
2009.

Overview, Product
[Online].

Available:

http://cp.literature.agilent.com/litweb/pdf/5989-8139EN.pdf
2.

4G LTE/LTE-Advanced for Mobile Broadband, 2011 Erik Dahlman, Stefan


Parkvall& Johan Skld. Published by Elsevier Ltd.

3.

3GPP TS 36.101 V8.5.1 (2009-03) http://www.3gpp.org/ftp/Specs/htmlinfo/36101.htm

4.

3GPP TS 36.104 V8.5.0 (2009-03) http://www.3gpp.org/ftp/Specs/htmlinfo/36104.htm

5.

Simulink Based LTE System Simulator, 2010. XuanGuo and Pengtao Song.

6.

Estimation of the Number of Multipath Components in a Delay-Dispersive


Environment for LTE OFDM Downlink,2010. Vincenzo Malta, Aalborg
University.

7.

Evolved Universal Terrestrial Radio Access (E-UTRA); Physical Channels

and Modulation (release 8), Tech. Rep. TS 36.211, V8.8.0, 3rd Generation
Partnership Project, Sep 2009.
8.

3G EVOLUTION: HSPA AND LTE FOR MOBILE BROADBAND, Erik


Dahlman, Stefan Parkvall, Johan Skld and Per Beming.

9.

3GPP TS 36.211 V8.7.0 (2009-05), http://www.3gpp.org/ftp/Specs/htmlinfo/36211.htm

10

Chapter3Equalization,[Online].Available: http://www.stanford.edu/group/

.
11. Bit Error Rate Performance Analysis of ZF, ML and MMSE Equalizers for
MIMO Wireless Communication Receiver, NagarajanSathish Kumar, K. R.
Shankar Kumar, European Journal of Scientific Research ISSN (2011).
12

Convergence Properties of Adaptive Equalizer Algorithms, Markus Rupp,

Senior Member IEEE, Vienna University of Technology, Institute of


Telecommunications, Gusshausstr. 25/389, 1040 Vienna, Austria.
13. IEEE Standard for Local and Metropolitan Area Networks, Part 16: Air
Interface for Fixed Broadband Wireless Access Systems, IEEE P802.16REVd/D5-2004, May 2004.
14. Jens Berkmann, et al., On 3G LTE Terminal Implementation Standard,
Algorithms, Complexities and Challenges, IWCMC 2008 Mobile Computing
Symposium, 2008.
15. Hyung G. Myung, Junsung Lim, and David J. Goodman, Single Carrier
FDMA for UL Wireless Transmission. IEEE Vehicular Technology 2006.
16. 3GPP TR 25.912 v 7.1.0, Feasibility study for evolved Universal
Terrestrial Radio Access (UTRA) and Universal Terrestrail Radio Access
Network (UTRAN), Release 7.
17. 3GPP TS 36.300 v8.7.0, Evolved Universal Terrestrial Radio Access (E-

UTRA) and Evolved Universal Terrestrial Radio Access Network (EUTRAN); Overall description; Stage 2, Release 8.
18. 3GPP TS 36.211 v8.4.0, Evolved Universal Terrestrial Radio Access (EUTRA); Physical Channels and Modulation, Release 8.
19. 3GPP TS 36.212 v8.4.0, Evolved Universal Terrestrial Radio Access (EUTRA); Multiplexing and Channel coding, Release 8.
20. 3GPP TS 36.213 v8.4.0, Evolved Universal Terrestrial Radio Access (EUTRA); Physical layer procedures, Release 8.

APPENDIX
APPENDIX A
Main Program File (OFDM_SIM.m)
% Senjor Project: OFDM Simulation using MATLAB
% *************** MAIN PROGRAM FILE *************** %
% This is the OFDM simulation program's main file.
% It requires a 256-grayscale bitmap file (*.bmp image file) as data source
% and the following 5 script and function m-files to work:
% ofdm_parameters.m, ofdm_base_convert.m, ofdm_modulate.m,
% ofdm_frame_detect.m, ofdm_demod.m
% ####################################################### %
% ************* OFDM SYSTEM INITIALIZATION: ************* %
% **** setting up parameters & obtaining source data **** %
% ####################################################### %
% Turn off exact-match warning to allow case-insensitive input files
warning('off','MATLAB:dispatcher:InexactMatch');
clear all; % clear all previous data in MATLAB workspace
close all; % close all previously opened figures and graphs
fprintf('\n\n##########################################\n')
fprintf('#*********** OFDM Simulation ************#\n')
fprintf('##########################################\n\n')
% invoking ofdm_parameters.m script to set OFDM system parameters
ofdm_parameters;
% save parameters for receiver
save('ofdm_parameters');
% read data from input file
x = imread(file_in);
% arrange data read from image for OFDM processing
h = size(x,1);
w = size(x,2);
x = reshape(x', 1, w*h);
baseband_tx = double(x);
% convert original data word size (bits/word) to symbol size (bits/symbol)
% symbol size (bits/symbol) is determined by choice of modulation method
baseband_tx = ofdm_base_convert(baseband_tx, word_size, symb_size);
% save original baseband data for error calculation later

save('err_calc.mat', 'baseband_tx');
% ####################################################### %
% ******************* OFDM TRANSMITTER ****************** %
% ####################################################### %
tic; % start stopwatch
% generate header and trailer (an exact copy of the header)
f = 0.25;
header = sin(0:f*2*pi:f*2*pi*(head_len-1));
f=f/(pi*2/3);
header = header+sin(0:f*2*pi:f*2*pi*(head_len-1));
% arrange data into frames and transmit
frame_guard = zeros(1, symb_period);
time_wave_tx = [];
symb_per_carrier = ceil(length(baseband_tx)/carrier_count);
fig = 1;
if (symb_per_carrier > symb_per_frame) % === multiple frames === %
power = 0;
while ~isempty(baseband_tx)
% number of symbols per frame
frame_len = min(symb_per_frame*carrier_count,length(baseband_tx));
frame_data = baseband_tx(1:frame_len);
% update the yet-to-modulate data
baseband_tx = baseband_tx((frame_len+1):(length(baseband_tx)));
% OFDM modulation
time_signal_tx = ofdm_modulate(frame_data,ifft_size,carriers,...
conj_carriers, carrier_count, symb_size, guard_time, fig);
fig = 0; %indicate that ofdm_modulate() has already generated plots
% add a frame guard to each frame of modulated signal
time_wave_tx = [time_wave_tx frame_guard time_signal_tx];
frame_power = var(time_signal_tx);
end
% scale the header to match signal level
power = power + frame_power;
% The OFDM modulated signal for transmission
time_wave_tx = [power*header time_wave_tx frame_guard power*header];
else % === single frame === %
% OFDM modulation
time_signal_tx = ofdm_modulate(baseband_tx,ifft_size,carriers,...
conj_carriers, carrier_count, symb_size, guard_time, fig);
% calculate the signal power to scale the header
power = var(time_signal_tx);
% The OFDM modulated signal for transmission
time_wave_tx = ...
[power*header frame_guard time_signal_tx frame_guard power*header];
end
% show summary of the OFDM transmission modeling
peak = max(abs(time_wave_tx(head_len+1:length(time_wave_tx)-head_len)));
sig_rms = std(time_wave_tx(head_len+1:length(time_wave_tx)-head_len));
peak_rms_ratio = (20*log10(peak/sig_rms));
fprintf('\nSummary of the OFDM transmission and channel modeling:\n')
fprintf('Peak to RMS power ratio at entrance of channel is: %f dB\n', ...
peak_rms_ratio)
% ####################################################### %

% **************** COMMUNICATION CHANNEL **************** %


% ####################################################### %
% ===== signal clipping ===== %
clipped_peak = (10^(0-(clipping/20)))*max(abs(time_wave_tx));
time_wave_tx(find(abs(time_wave_tx)>=clipped_peak))...
= clipped_peak.*time_wave_tx(find(abs(time_wave_tx)>=clipped_peak))...
./abs(time_wave_tx(find(abs(time_wave_tx)>=clipped_peak)));
% ===== channel noise ===== %
power = var(time_wave_tx); % Gaussian (AWGN)
SNR_linear = 10^(SNR_dB/10);
noise_factor = sqrt(power/SNR_linear);
noise = randn(1,length(time_wave_tx)) * noise_factor;
time_wave_rx = time_wave_tx + noise;
% show summary of the OFDM channel modeling
peak = max(abs(time_wave_rx(head_len+1:length(time_wave_rx)-head_len)));
sig_rms = std(time_wave_rx(head_len+1:length(time_wave_rx)-head_len));
peak_rms_ratio = (20*log10(peak/sig_rms));
fprintf('Peak to RMS power ratio at exit of channel is: %f dB\n', ...
peak_rms_ratio)
% Save the signal to be received
save('received.mat', 'time_wave_rx', 'h', 'w');
fprintf('#******** OFDM data transmitted in %f seconds ********#\n\n', toc)
% ####################################################### %
% ********************* OFDM RECEIVER ******************* %
% ####################################################### %
disp('Press any key to let OFDM RECEIVER proceed...')
pause;
clear all; % flush all data stored in memory previously
tic; % start stopwatch
% invoking ofdm_parameters.m script to set OFDM system parameters
load('ofdm_parameters');
% receive data
load('received.mat');
time_wave_rx = time_wave_rx.';
end_x = length(time_wave_rx);
start_x = 1;
data = [];
phase = [];
last_frame = 0;
unpad = 0;
if rem(w*h, carrier_count)~=0
unpad = carrier_count - rem(w*h, carrier_count);
end
num_frame=ceil((h*w)*(word_size/symb_size)/(symb_per_frame*carrier_count));
fig = 0;
for k = 1:num_frame
if k==1 || k==num_frame || rem(k,max(floor(num_frame/10),1))==0
fprintf('Demodulating Frame #%d\n',k)
end
% pick appropriate trunks of time signal to detect data frame
if k==1
time_wave = time_wave_rx(start_x:min(end_x, ...
(head_len+symb_period*((symb_per_frame+1)/2+1))));
else
time_wave = time_wave_rx(start_x:min(end_x, ...

((start_x-1) + (symb_period*((symb_per_frame+1)/2+1)))));
end
% detect the data frame that only contains the useful information
frame_start = ...
ofdm_frame_detect(time_wave, symb_period, envelope, start_x);
if k==num_frame
last_frame = 1;
frame_end = min(end_x, (frame_start-1) + symb_period*...
(1+ceil(rem(w*h,carrier_count*symb_per_frame)/carrier_count)));
else
frame_end=min(frame_start-1+(symb_per_frame+1)*symb_period, end_x);
end
% take the time signal abstracted from this frame to demodulate
time_wave = time_wave_rx(frame_start:frame_end);
% update the label for leftover signal
start_x = frame_end - symb_period;
if k==ceil(num_frame/2)
fig = 1;
end
% demodulate the received time signal
[data_rx, phase_rx] = ofdm_demod...
(time_wave, ifft_size, carriers, conj_carriers, ...
guard_time, symb_size, word_size, last_frame, unpad, fig);
if fig==1
fig = 0; % indicate that ofdm_demod() has already generated plots
end
phase = [phase phase_rx];
data = [data data_rx];
end
phase_rx = phase; % decoded phase
data_rx = data; % received data
% convert symbol size (bits/symbol) to file word size (bits/byte) as needed
data_out = ofdm_base_convert(data_rx, symb_size, word_size);
fprintf('#********** OFDM data received in %f seconds *********#\n\n', toc)
% ####################################################### %
% ********************** DATA OUTPUT ******************** %
% ####################################################### %
% patch or trim the data to fit a w-by-h image
if length(data_out)>(w*h) % trim extra data
data_out = data_out(1:(w*h));
elseif length(data_out)<(w*h) % patch a partially missing row
buff_h = h;
h = ceil(length(data_out)/w);
% if one or more rows of pixels are missing, show a message to indicate
if h~=buff_h
disp('WARNING: Output image smaller than original')
disp(' due to data loss in transmission.')
end
% to make the patch nearly seamless,
% make each patched pixel the same color as the one right above it
if length(data_out)~=(w*h)
for k=1:(w*h-length(data_out))
mend(k)=data_out(length(data_out)-w+k);
end
data_out = [data_out mend];

end
end
% format the demodulated data to reconstruct a bitmap image
data_out = reshape(data_out, w, h)';
data_out = uint8(data_out);
% save the output image to a bitmap (*.bmp) file
imwrite(data_out, file_out, 'bmp');
% ####################################################### %
% ****************** ERROR CALCULATIONS ***************** %
% ####################################################### %
% collect original data before modulation for error calculations
load('err_calc.mat');
fprintf('\n#**************** Summary of Errors ****************#\n')
% Let received and original data match size and calculate data loss rate
if length(data_rx)>length(baseband_tx)
data_rx = data_rx(1:length(baseband_tx));
phase_rx = phase_rx(1:length(baseband_tx));
elseif length(data_rx)<length(baseband_tx)
fprintf('Data loss in this communication = %f%% (%d out of %d)\n', ...
(length(baseband_tx)-length(data_rx))/length(baseband_tx)*100, ...
length(baseband_tx)-length(data_rx), length(baseband_tx))
end
% find errors
errors = find(baseband_tx(1:length(data_rx))~=data_rx);
fprintf('Total number of errors = %d (out of %d)\n', ...
length(errors), length(data_rx))
% Bit Error Rate
fprintf('Bit Error Rate (BER) = %f%%\n',length(errors)/length(data_rx)*100)
% find phase error in degrees and translate to -180 to +180 interval
phase_tx = baseband_tx*360/(2^symb_size);
phase_err = (phase_rx - phase_tx(1:length(phase_rx)));
phase_err(find(phase_err>=180)) = phase_err(find(phase_err>=180))-360;
phase_err(find(phase_err<=-180)) = phase_err(find(phase_err<=-180))+360;
fprintf('Average Phase Error = %f (degree)\n', mean(abs(phase_err)))
% Error pixels
x = ofdm_base_convert(baseband_tx, symb_size, word_size);
x = uint8(x);
x = x(1:(size(data_out,1)*size(data_out,2)));
y = reshape(data_out', 1, length(x));
err_pix = find(y~=x);
fprintf('Percent error of pixels of the received image = %f%%\n\n', ...
length(err_pix)/length(x)*100)
fprintf('##########################################\n')
fprintf('#******** END of OFDM Simulation ********#\n')
fprintf('##########################################\n\n')
----------------------------------------------------------------------------------------------------------------------------------------------------

APPENDIX B
System Configuration Script File (ofdm_parameters.m)
% ************* PARAMETERS INITIALIZATION ************* %

% This file configures parameters for the OFDM system.


% input/output file names
file_in = [];
while isempty(file_in)
file_in = input('source data filename: ', 's');
if exist([pwd '/' file_in],'file')~=2
fprintf ...
('"%s" does not exist in current directory.\n', file_in);
file_in = [];
end
end
file_out = [file_in(1:length(file_in)-4) '_OFDM.bmp'];
disp(['Output file will be: ' file_out])
% size of Inverse Fast Fourier Transform (must be power of 2)
ifft_size = 0.1; % force into the while loop below
while (isempty(ifft_size) || ...
(rem(log2(ifft_size),1) ~= 0 || ifft_size < 8))
ifft_size = input('IFFT size: ');
if (isempty(ifft_size) || ...
(rem(log2(ifft_size),1) ~= 0 || ifft_size < 8))
disp('IFFT size must be at least 8 and power of 2.')
end
end
% number of carriers
carrier_count = ifft_size; % force into the while loop below
while (isempty(carrier_count) || ...
(carrier_count>(ifft_size/2-2)) || carrier_count<2)
carrier_count = input('Number of carriers: ');
if (isempty(carrier_count) || (carrier_count > (ifft_size/2-2)))
disp('Must NOT be greater than ("IFFT size"/2-2)')
end
end
% bits per symbol (1 = BPSK, 2=QPSK, 4=16PSK, 8=256PSK)
symb_size = 0; % force into the while loop below
while (isempty(symb_size) || ...
(symb_size~=1 && symb_size~=2 && symb_size~=4 && symb_size~=8))
symb_size = input...
('Modulation(1=BPSK, 2=QPSK, 4=16PSK, 8=256PSK): ');
if (isempty(symb_size) || ...
(symb_size~=1&&symb_size~=2&&symb_size~=4&&symb_size~=8))
disp('Only 1, 2, 4, or 8 can be choosen')
end
end
% channel clipping in dB
clipping = [];
while isempty(clipping)
clipping = input...
('Amplitude clipping introduced by communication channel (in dB):
');
end
% signal to noise ratio in dB
SNR_dB = [];
while isempty(SNR_dB)
SNR_dB = input('Signal-to-Noise Ratio (SNR) in dB: ');
end

word_size = 8; % bits per word of source data (byte)


guard_time = ifft_size/4; % length of guard interval for each symbol period
% 25% of ifft_size
% number of symbols per carrier in each frame for transmission
symb_per_frame = ceil(2^13/carrier_count);
% === Derived Parameters === %
% frame_len: length of one symbol period including guard time
symb_period = ifft_size + guard_time;
% head_len: length of the header and trailer of the transmitted data
head_len = symb_period*8;
% envelope: symb_period/envelope is the size of envelope detector
envelope = ceil(symb_period/256)+1;
% === carriers assigned to IFFT bins === %
% spacing for carriers distributed in IFFT bins
spacing = 0;
while (carrier_count*spacing) <= (ifft_size/2 - 2)
spacing = spacing + 1;
end
spacing = spacing - 1;
% spead out carriers into IFFT bins accordingly
midFreq = ifft_size/4;
first_carrier = midFreq - round((carrier_count-1)*spacing/2);
last_carrier = midFreq + floor((carrier_count-1)*spacing/2);
carriers = [first_carrier:spacing:last_carrier] + 1;
conj_carriers = ifft_size - carriers + 2;
----------------------------------------------------------------------------------------------------------------------------------------------------

APPENDIX C
Data Word/Symbol Size Conversion Function File (ofdm_base_convert.m)
% Senjor Project: OFDM Simulation using MATLAB
% ************* FUNCTION: ofdm_base_convert() ************* %
% This function converts data from one base to another.
% "Base" refers to number of bits the symbol/word uses to represent data.
function data_out = ofdm_base_convert(data_in, base, new_base)
% if new base is in a higer order than the current base,
% make the size of data in current base a multiple of its new base
if new_base>base
data_in = data_in(1:...
floor(length(data_in)/(new_base/base))*(new_base/base));
end
% base to binary
for k=1:base
binary_matrix(k,:) = floor(data_in/2^(base-k));
data_in = rem(data_in,2^(base-k));
end
% format the binary matrix to fit dimensions of the new base
newbase_matrix = reshape(binary_matrix, new_base, ...
size(binary_matrix,1)*size(binary_matrix,2)/new_base);
% binary to new_base
data_out = zeros(1, size(newbase_matrix,2));
for k=1:new_base
data_out = data_out + newbase_matrix(k,:)*(2^(new_base-k));

end
----------------------------------------------------------------------------------------------------------------------------------------------------

APPENDIX D
Modulation Function File (ofdm_modulate.m)
% ************* FUNCTION: ofdm_modulation() ************* %
% This function performance the OFDM modulation before data transmission.
function signal_tx = ofdm_modulate(data_tx, ifft_size, carriers, ...
conj_carriers, carrier_count, symb_size, guard_time, fig)
% symbols per carrier for this frame
carrier_symb_count = ceil(length(data_tx)/carrier_count);
% append zeros to data with a length not multiple of number of carriers
if length(data_tx)/carrier_count ~= carrier_symb_count,
padding = zeros(1, carrier_symb_count*carrier_count);
padding(1:length(data_tx)) = data_tx;
data_tx = padding;
end
% serial to parellel: each column represents a carrier
data_tx_matrix = reshape(data_tx, carrier_count, carrier_symb_count)';
% --------------------------------- %
% ##### Differential Encoding ##### %
% --------------------------------- %
% an additional row and include reference point
carrier_symb_count = size(data_tx_matrix,1) + 1;
diff_ref = round(rand(1, carrier_count)*(2^symb_size)+0.5);
data_tx_matrix = [diff_ref; data_tx_matrix];
for k=2:size(data_tx_matrix,1)
data_tx_matrix(k,:) = ...
rem(data_tx_matrix(k,:)+data_tx_matrix(k-1,:), 2^symb_size);
end
% ------------------------------------------ %
% ## PSK (Phase Shift Keying) modulation ### %
% ------------------------------------------ %
% convert data to complex numbers:
% Amplitudes: 1; Phaes: converted from data using constellation mapping
[X,Y] = pol2cart(data_tx_matrix*(2*pi/(2^symb_size)), ...
ones(size(data_tx_matrix)));
complex_matrix = X + i*Y;
% ##### assign IFFT bins to carriers and imaged carriers ##### %
% ------------------------------------------------------------ %
spectrum_tx = zeros(carrier_symb_count, ifft_size);
spectrum_tx(:,carriers) = complex_matrix;
spectrum_tx(:,conj_carriers) = conj(complex_matrix);
% Figure(1) and Figure(2) can both shhow OFDM Carriers on IFFT bins
if fig==1
figure(1)
stem(1:ifft_size, abs(spectrum_tx(2,:)),'b*-')
grid on
axis ([0 ifft_size -0.5 1.5])
ylabel('Magnitude of PSK Data')
xlabel('IFFT Bin')
title('OFDM Carriers on designated IFFT bins')

figure(2)
plot(1:ifft_size, (180/pi)*angle(spectrum_tx(2,1:ifft_size)), 'go')
hold on
grid on
stem(carriers, (180/pi)*angle(spectrum_tx(2,carriers)),'b*-')
stem(conj_carriers, ...
(180/pi)*angle(spectrum_tx(2,conj_carriers)),'b*-')
axis ([0 ifft_size -200 +200])
ylabel('Phase (degree)')
xlabel('IFFT Bin')
title('Phases of the OFDM modulated Data')
end
% --------------------------------------------------------------- %
% ##### obtain time wave from spectrums waveform using IFFT ##### %
% --------------------------------------------------------------- %
signal_tx = real(ifft(spectrum_tx'))';
% plot one symbol period of the time signal to be transmitted
if fig==1
% OFDM Time Signal (1 symbol period in one carrier)
limt = 1.1*max(abs(reshape(signal_tx',1,size(signal_tx,1)...
*size(signal_tx,2))));
figure (3)
plot(1:ifft_size, signal_tx(2,:))
grid on
axis ([0 ifft_size -limt limt])
ylabel('Amplitude')
xlabel('Time')
title('OFDM Time Signal (one symbol period in one carrier)')
% OFDM Time Signal (1 symbol period in a few samples of carriers)
figure(4)
colors = ['b','g','r','c','m','y'];
for k=1:min(length(colors),(carrier_symb_count-1))
plot(1:ifft_size, signal_tx(k+1,:))
plot(1:ifft_size, signal_tx(k+1,:), colors(k))
hold on
end
grid on
axis ([0 ifft_size -limt limt])
ylabel('Amplitude')
xlabel('Time')
title('Samples of OFDM Time Signals over one symbol period')
end
% ------------------------------------- %
% ##### add a periodic guard time ##### %
% ------------------------------------- %
end_symb = size(signal_tx, 2); % end of a symbol period without guard
signal_tx = [signal_tx(:,(end_symb-guard_time+1):end_symb) signal_tx];
% parellel to serial
signal_tx = signal_tx'; % MATLAB's reshape goes along with columns
signal_tx = reshape(signal_tx, 1, size(signal_tx,1)*size(signal_tx,2));
----------------------------------------------------------------------------------------------------------------------------------------------------

APPENDIX E

Frame Detection Function File (ofdm_frame_detect.m)


% ************* FUNCTION: ofdm_frame_detect() ************* %
% This function is to synchronize the received signal before demodulation
% by detecting the starting point of a frame of received signal.
function start_symb = ofdm_frame_detect(signal, symb_period, env, label)
% Find the approximate starting location
signal = abs(signal);
% ===== narrow down to an approximate start of the frame ===== %
idx = 1:env:length(signal);
samp_signal = signal(idx); % sampled version of signal
mov_sum = filter(ones(1,round(symb_period/env)),1,samp_signal);
mov_sum = mov_sum(round(symb_period/env):length(mov_sum));
apprx = min(find(mov_sum==min(mov_sum))*env+symb_period);
% move back by approximately 110% of the symbol period to start searching
idx_start = round(apprx-1.1*symb_period);
% ===== look into the narrow-downed window ===== %
mov_sum = filter(ones(1,symb_period),1,...
signal(idx_start:round(apprx+symb_period/3)));
mov_sum = mov_sum(symb_period:length(mov_sum));
null_sig = find(mov_sum==min(mov_sum));
start_symb = min(idx_start + null_sig + symb_period) - 1;
% convert to global index
start_symb = start_symb + (label - 1);
----------------------------------------------------------------------------------------------------------------------------------------------------

APPENDIX F
Demodulation Function File (ofdm_demod.m)
% ************* FUNCTION: ofdm_demod() ************* %
% This function performs OFDM demodulation after data reception.
function [decoded_symb, decoded_phase] = ofdm_demod...
(symb_rx, ifft_size, carriers, conj_carriers, ...
guard_time, symb_size, word_size, last, unpad, fig)
symb_period = ifft_size + guard_time;
% reshape the linear time waveform into fft segments
symb_rx_matrix = reshape(symb_rx(1:...
(symb_period*floor(length(symb_rx)/symb_period))), ...
symb_period, floor(length(symb_rx)/symb_period));
% ------------------------------------------ %
% ##### remove the periodic time guard ##### %
% ------------------------------------------ %
symb_rx_matrix = symb_rx_matrix(guard_time+1:symb_period,:);
% ------------------------------------------------------------------ %
% ### take FFT of the received time wave to obtain data spectrum ### %
% ------------------------------------------------------------------ %
rx_spectrum_matrix = fft(symb_rx_matrix)';
% plot magnitude and phase of the received frequency spectrum
if fig==1
limt = 1.1*max(abs(reshape(rx_spectrum_matrix',1,...
size(rx_spectrum_matrix,1)*size(rx_spectrum_matrix,2))));
figure(5)
stem(0:ifft_size-1, abs(rx_spectrum_matrix(ceil...
(size(rx_spectrum_matrix,1)/2),1:ifft_size)),'b*-')

grid on
axis ([0 ifft_size -limt limt])
ylabel('Magnitude')
xlabel('FFT Bin')
title('Magnitude of Received OFDM Spectrum')
figure(6)
plot(0:ifft_size-1, (180/pi)*angle(rx_spectrum_matrix(ceil...
(size(rx_spectrum_matrix,1)/2),1:ifft_size)'), 'go')
hold on
stem(carriers-1, (180/pi)*angle(rx_spectrum_matrix(2,carriers)'),'b*-')
stem(conj_carriers-1, (180/pi)*angle(rx_spectrum_matrix(ceil...
(size(rx_spectrum_matrix,1)/2),conj_carriers)),'b*-')
axis ([0 ifft_size -200 +200])
grid on
ylabel('Phase (degrees)')
xlabel('FFT Bin')
title('Phase of Receive OFDM Spectrum')
end
% ----------------------------------------------------------------- %
% ### extract columns of data on IFFT bins of all carriers only ### %
% ----------------------------------------------------------------- %
rx_spectrum_matrix = rx_spectrum_matrix(:,carriers);
% --------------------------------------------- %
% ### PSK (Phase Shift Keying) demodulation ### %
% --------------------------------------------- %
% calculate the corresponding phases from the complex spectrum
rx_phase = angle(rx_spectrum_matrix)*(180/pi);
% make negative phases positive
rx_phase = rem((rx_phase+360), 360);
% polar plot for the received symbols
if fig==1
figure(7)
rx_mag = abs(rx_spectrum_matrix(ceil(size(rx_spectrum_matrix,1)/2),:));
polar(rx_phase(ceil(size(rx_spectrum_matrix,1)/2),:)*(pi/180), ...
rx_mag, 'bd')
title('Received Phases')
end
% --------------------------------- %
% ##### Differential Decoding ##### %
% --------------------------------- %
% reverse the differential coding
decoded_phase = diff(rx_phase);
% make negative phases positive
decoded_phase = rem((decoded_phase+360), 360);
% parellel to serial conversion of phases
decoded_phase = reshape(decoded_phase', ...
1, size(decoded_phase,1)*size(decoded_phase,2));
% phase-to-data classification
base_phase = 360/(2^symb_size);
% phase-to-data translation
decoded_symb = ...
floor(rem((decoded_phase/base_phase+0.5),(2^symb_size)));
% obtain decoded phases for error calculations
decoded_phase = rem(decoded_phase/base_phase+0.5, ...
(2^symb_size))*base_phase - 0.5*base_phase;

% remove padded zeros during modulation


if last==1
decoded_symb = decoded_symb(1:(length(decoded_symb)-unpad));
decoded_phase = decoded_phase(1:(length(decoded_phase)-unpad));
end
----------------------------------------------------------------------------------------------------------------------------------------------------

APPENDIX G
Computing the SNR loss due to frequency offset in OFDM modulation
% Script for computing the SNR loss due to frequency offset in OFDM modulation
clear all
nFFT = 64; % fft size
nDSC = 52; % number of data subcarriers
nBitPerSym = 52; % number of bits per OFDM symbol (same as the number of subcarriers for BPSK)
nSym = 1; % number of symbols
freqOffsetkHz_v = [-200:10:200];
EbN0dB = 30; % bit to noise ratio
EsN0dB = EbN0dB + 10*log10(nDSC/nFFT) + 10*log10(64/80); % converting to symbol to noise ratio
for ii = 1:length(freqOffsetkHz_v)
% Transmitter
ipBit = ones(1,nBitPerSym*nSym) > 0.5; % random 1's and 0's
ipMod = 2*ipBit-1; % BPSK modulation 0 --> -1, 1 --> +1
ipMod = reshape(ipMod,nBitPerSym,nSym).'; % grouping into multiple symbolsa
% Assigning modulated symbols to subcarriers from [-26 to -1, +1 to +26]
xF = [zeros(nSym,6) ipMod(:,[1:nBitPerSym/2]) zeros(nSym,1) ipMod(:,[nBitPerSym/2+1:nBitPerSym]) zeros(nSym,5)] ;
% Taking FFT, the term (nFFT/sqrt(nDSC)) is for normalizing the power of transmit symbol to 1
xt = (nFFT/sqrt(nDSC))*ifft(fftshift(xF.')).';
% Appendingcylic prefix
xt = [xt(:,[49:64]) xt];
% Concatenating multiple symbols to form a long vector
xt = reshape(xt.',1,nSym*80);
% Adding frequency offset
xt = xt.*exp(j*2*pi*freqOffsetkHz_v(ii)*(1e3/20e6)*[0:length(xt)-1]);
% Gaussian noise of unit variance, 0 mean

nt = 1/sqrt(2)*[randn(1,nSym*80) + j*randn(1,nSym*80)];
% Adding noise, the term sqrt(80/64) is to account for the wasted energy due to cyclic prefix
yt = sqrt(80/64)*xt + 10^(-EsN0dB/20)*nt;
% Receiver
yt = reshape(yt.',80,nSym).'; % formatting the received vector into symbols
yt = yt(:,[17:80]); % removing cyclic prefix
% converting to frequency domain
yF = sqrt(64/80)*(sqrt(nDSC)/nFFT)*fftshift(fft(yt.')).';
yMod = yF(:,[6+[1:nBitPerSym/2] 7+[nBitPerSym/2+1:nBitPerSym] ]);
err = (yMod - ipMod) ;
err = err(:).';
errdB = 10*log10(err*err'/length(err));
errdB_v(ii) = errdB;
delta = freqOffsetkHz_v(ii)/312.5;
theoryErr =sum(1./(j*2*pi*([-5:5]+delta)).*(exp(j*2*pi*([5:5]+delta))-1));
theoryErr = (theoryErr-1);
theoryErr_v(ii) = theoryErr;
theoryErrdB(ii) = 10*log10(theoryErr*theoryErr'/length(theoryErr));
theoryErrdB(21) = -EbN0dB;
end
close all; figure
plot(freqOffsetkHz_v./312.5,theoryErrdB,'bs-','LineWidth',2);
hold on;
plot(freqOffsetkHz_v./312.5,errdB_v,'mx-','LineWidth',2);
axis([-0.7 0.7 -30 10])
grid on
legend('theory','simulation');
xlabel('freqency offset/subcarrier spacing')
ylabel('Error, dB')
title('Error magnitude with frequency offset')

% Script for computing the frequency offset from an OFDM short preamble
% constructed per symbol IEEE 802.11A specifications.
clear
fsMHz = 20; % sampling frequency
nFFTSize = 64;
% for each symbol bits a1 to a52 are assigned to subcarrier
% index [-26 to -1 1 to 26]
subcarrierIndex = [-26:-1 1:26];
inputFFTShortPreamble = [zeros(1,8) 1+j 0 0 0 -1-j 0 0 0 ... % [-32:-17]
1+j 0 0 0 -1-j 0 0 0 -1-j 0 0 0 1+j 0 0 0 ...
% [-16:-1]
0 0 0 0 -1-j 0 0 0 -1-j 0 0 0 1+j 0 0 0 ...
% [0:15]
1+j 0 0 0 1+j 0 0 0 1+j 0 0 0 0 0 0 0 ];
% [16:31]

inputiFFT = sqrt(13/6)*fftshift(inputFFTShortPreamble);
% taking ifft
outputiFFT = ifft(inputiFFT,nFFTSize); % generate 64 sample sequence
% concatenating multiple symbols to form 10short preamble
outputShortPreamble = [outputiFFToutputiFFToutputiFFT(1:32)];
% introducing frequency offset
fdeltakHz = 200;
outputWithFreqOffset = outputShortPreamble.*exp(j*2*pi*fdeltakHz*1e3*[0:length(outputShortPreamble)-1]/
(fsMHz*1e6));
% estimating frequency offset
yt = outputWithFreqOffset;
ytDelayBuffer = zeros(1,0.8*fsMHz);
op = zeros(size(yt));
for ii = 1:length(yt)
op(ii) = conj(yt(ii))*ytDelayBuffer(end);
% shifting samples in the delay buffer
ytDelayBuffer(2:end) = ytDelayBuffer(1:end-1);
ytDelayBuffer(1) = yt(ii);
end
fdeltaEstimatekHz = -1*angle(op)/(2*pi*0.8*1e-6)/1000;
close all
plot(fdeltaEstimatekHz,'b','LineWidth',4)
hold on
plot(fdeltakHz*ones(size(yt)),'g','LineWidth',2);
legend('estimated','actual');
grid on
xlabel('sample number,n');
ylabel('frequency Estimate, kHz');
title('frequency offset estimation from 802.11a short preamble');
axis([0 160 0 250])
print('freqEstimate.png','-dpng','-S448,336');
end

----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------


1 ..001
2 1
3 2
4 3
5 4
6 5
7 6
8 7
9 8
10 9
11 10
12 11
13 12
14 13
15 14

.
. .




Mobile Generations 0G-2.75G
Mobile Generations 3G and 4G
Comparisons between 2.5, 2.75, 3 and 4G
landscapes of mobile radio systems
Defined of LTE (Long Term Evolution)
3 GPP REALESES Parameters
Features of Long Term Evolution
Technologies Associated with LTE
Compare Between LTE & WiMax
Orthogonal Frequency Division
Multiplexing
OFDM in LTE Technology
Adaptive modulation in frequency domain
(a) QAM16 (b) QAM64
Downlink OFDM and Uplink SC-FDMA in
LTE
Transmitter & receiver of OFDMA
Transmitter & receiver of SC-FDMA
Conclusion

159 .
1 . 4
2 . 4
3 . 4
4 . 4
5 . 4
6 . 4
7 . 4
8 . 4
9 . 4
10 . 4
11 . 4
12 . 4
13 . 4
14 . 4

..001. 1
Increasing
Communication
Quality in LTE
System Using
OFDM Techniques

-
1

You might also like