Download as pdf or txt
Download as pdf or txt
You are on page 1of 7

MSE 311: Introduction to MEMS

Lecture 10:
Dry etching

Instructor: Amin Rasouli


School of Mechatronic Systems Engineering
Simon Fraser University

Dry etching
Vapour phase: The etch proceeds without external energy
Examples: Vapour HF, Xenon difluoride.
Notes: Isotropic; Often used for releasing structures.

Ion milling: the material removal is purely due to physical bombardment of


the substrate.
Notes: Little selectivity, Can etch through the substrate, Low throughput

Reactive Ion Etching (RIE): Radicals are generated in a plasma and used to
bombard the surface.
Examples: CF4 and SF6 plasmas for silicon etching.

Deep Reactive Ion Etching (DRIE): Radicals are generated in a plasma and
used to bombard a cryogenically cooled surface.
Generally used for silicon only.

MSE 311/711: Introduction to MEMS

Vapour phase etching


Non-plasma, isotropic dry etching
process
Silicon etchant: Gaseous xenon
difluoride (XeF2)

XeF2 is sublimated from its solid form in a


chamber (1-3 Torr);
Typical Si etch rates: 1-3m/min;

SiO2 etchant: Vapour HF

The substrate is placed above an HF bath


and heated slightly (10-15C)

Carnegie Mellon University

Process Characteristics:
Relatively rough etching surfaces;
Often good selectivity towards target
material;
CMOS compatible.
A comparison between wet HF etching and vapor HF
etching for sacrificial oxide removal, by A. Witvrouwa et al
MSE 311/711: Introduction to MEMS

Reactive Ion Etching (RIE)

This is a compromise between vapour phase etching and ion milling:

Etchant molecules are ionised and accelerated toward the substrate to


add anisotropy.

Plasma etching of Silicon with CF4

Step 1: Non-dissociative adsorption:


CF3 (gas) + Si CF3 (ads.) + Si
Step 2: Dissociative adsorption:
CF3 (ads.) + Si C (ads.) + 3F (ads.) + Si
Step 3: Formation of product molecule:
4F (ads.) + Si SiF4 (ads.)
Step 4: Desorption of product molecule:
SiF4 (ads.) SiF4 (gas)
Step 5: Residue removal:
C(ads.) + 4F (ads.) CF4 (gas)

To increase the etch rate and maintain the directionality of the etch, a
magnetic field may be employed through inductive coupling (ICP).
Number of radicals can increase from 0.55.01010cm3 to 51011cm3.

RIE provides almost vertical sidewalls for depths of 25m.

MSE 311/711: Introduction to MEMS

Deep Reactive Ion Etching (DRIE)


In cryogenic DRIE, the wafer is placed on a cryogenically cooled chuck
(<100C). The cold environment reduces the isotropic etching due to
chemical reactions but the etch due to ion bombardment proceeds while the
sidewalls of the etched profiles are protected.
Note: Smooth sidewalls

The Bosch process alternates etch and


deposition cycles
SF6/Ar plasma to etch silicon (almost
isotropic);
C4F8 (or similar) plasma to passivate sidewalls
with Teflon-like polymer.
Typical etch rates are on the order of 0.5
5m/min.
Typical masks: Photoresist and SiO2.
Note: Scallop-like features on the sidewalls.

Passivation

MSE 311/711: Introduction to MEMS

Etching

DRIE characteristics
Anisotropy independent of silicon crystal direction.
High-aspect ratio structures with straight sidewalls and
aspect ratio up to 30:1 are feasible.
Disadvantages: high system cost ($500k-$700k, research
grade), single wafer process, no ECE or p++ etch stop.
Typical performance data:

Silicon etch rate: 1-10 m/min


Aspect ratio: 20:1 to 50:1
Maximum etch depth: >500m
Selectivity to photoresist: 50-100:1
Selectivity to oxide: 120-200:1

MSE 311/711: Introduction to MEMS

Common etchants

MSE 311/711: Introduction to MEMS

You might also like