Download as pdf or txt
Download as pdf or txt
You are on page 1of 6

ISSN 2249-6343

International Journal of Computer Technology and Electronics Engineering (IJCTEE)


Volume 1 , Issue 2

Analysis of Different Pseudo Noise Sequences


Alka Sawlikar, Manisha Sharma

AbstractPseudo noise (PN) sequences are widely used in II. PROPERTIES OF PN SEQUENCES
digital communications and the theory involved has been treated
extensively in this paper. This paper analyses some interesting A PN sequence is a bit stream of 1s and 0s occurring
properties of PN sequence. This paper further elaborates about randomly, with some unique properties. The sequence serves
various methods of generation of PN sequences, few methods as a reference pattern with known random characteristics for
discussed in this paper are: series-parallel method for the analysis, optimization and performance measurement of
high-speed generation, avoiding the zero states, shift registers communication channels and systems.
with feedback, chaotic pattern generation. A detailed
comparison of PN sequence and chaotic sequence is also
described in this along with comparative analysis of LFSRs, A. Balance Property
Gold sequence, Barker sequence, Kasami sequence. In each period of a maximum length sequence, the number
of 1s is always one more than the number of 0s.
Index Terms Spread spectrum, pseudo-noise, Chaos, LFSR,
Code division multiple access, pseudo-noise codes, Maximal B. Run Property
length, Gold, Barker, Kasami, autocorrelation, cross Among the runs of 1s and 0s in each period of a maximum
correlation. length sequence, one half the runs of each kind are of length
one-fourth are of length two, one eighth are of length three,
I. INTRODUCTION
and so on as long as these fractions represent meaningful
Pseudo random binary sequences (PRBSs), also known as numbers of runs[Hykin(2001)].
pseudo noise (PN), linear feedback shift register (LFSR)
sequences or maximal length binary sequences (m C. Correlation Property
sequences), are widely used in digital communications, Correlation is a measure of similarity between two
instrumentation and measurements [Lathi(1998)]. In a truly sequences. When the two sequences compared are different it
random sequence the bit pattern never repeats. A pseudo is the cross correlation and when they are the same it is the
random binary sequence is a semi-random sequence in the autocorrelation. Mathematically, the correlation between
sense that it appears random within the sequence length, two sequences x (k) and y(k) as a function of the time delay m
fulfilling the needs of randomness, but the entire sequence is expressed as
repeats indefinitely. To a casual observer the sequence L 1

appears totally random, however to a user who is aware of the R(m) xy x(k ) y (k m) .(1)
k 0
way the sequence is generated all its properties should be
The correlation equation for the digital bit sequence can
known. PN sequences have several interesting properties,
thus be written as
which are exploited in a variety of applications. Because of
R (m) = total number of 1s / total number of bits (2)
their good autocorrelation two similar PN sequences can
easily be phase synchronized, even when one of them is Reference
corrupted by noise. A PN sequence is an ideal test signal, as it Code

simulates the random characteristics of a digital signal and b1 b2 b3 b4 bk

can be easily generated. The following fig. 1 shows the Shift


overview of PN sequence. 1 2 3 4 K Register

a1 a2 a3 a4 ak
b1 b2 b3 b4 bk
Modulo-2
+ + + + + Adder

y1 y2 y3 y4 yk

K y1 a1 b1
y1 0 if a1 b1
1 1 if a1 b1

y1 a1 b1
0 if a b1
Fig. 2. Correlator 1
Fig 1.Overview of PN Sequence 1 if a1 b1

156
ISSN 2249-6343
International Journal of Computer Technology and Electronics Engineering (IJCTEE)
Volume 1 , Issue 2
Fig.2 shows a correlator of length K. One sequence ai is For example, the polynomial 1 + xI4 + x15 means that the
shifted through a K bit shift register and the output of each outputs from stages 14 and 15 are modulo- 2 added and fed
stage is applied to a set of K XNOR gate for comparison. back to the input of first stage of a 15- stage register to get a
215 - 1 length sequence, as shown in Fig. 4.
D. Shift and add
B. Series-parallel method for high-speed PN generation
When a PN sequence is shifted and the shifted sequence
modulo-2 added to the un-shifted sequence with an The maximum PN data rate depends on the type of logic
exclusive-OR gate, the result is the same PN sequence with device used. Since only one gate delay (due to the XOR gate)
some other shift. This is illustrated in Fig. 3, where a 15 bit PN is introduced in the feedback path the maximum PN rate can
sequence, a (k)), is arbitrarily shifted by4 bits to get, a (k - 4). be close to the highest operating frequency of the shift
The two sequences when modulo-2 added give a sequence register. The operating frequency of the PN sequence can be
which is a 3 bit shifted version, a (k - 3), of the original pushed beyond the shift register clock rate by using a
sequence a (k). Only when the PN sequence is modulo-2 high-speed multiplexer. This technique uses the
added to itself without shift is the result a sequence of zeros subsequence property of a PN sequence already discussed
[Li and Hykin (1995)]. A direct application of this property is [Gupta and Kumareshan (2005)]. As we can demultiplex a PN
in the generation of two identical sequences with a known sequence into two similar sequences at half the rate, we can
large delay between them. also multiplex two PN sequences to obtain a sequence at
double the rate. However, the two sequences should
4
2 -1=15 bits necessarily have a phase shift of half the sequence
length.Fig.5 shows the circuit for getting PN sequence of
length 27 -1 using series parallel method.
Start
D3Q3 D7 Q7

D2Q2 D6Q6
S1 S2
Start

D1Q1 D5 Q5

D4Q4

Fig. 3. Addition of PN Sequence

III. GENERATION OF PN SEQUENCES Fig. 5 Series-parallel method for high-speed PN generation

A. Using Shift Register with feedback


C. Avoiding the Zero State
A PN sequence is generated using a shift register and
An N-bit register can generate 2N-1 states as against the 2N
modulo-2 adders. Certain outputs of the shift register are
states of a binary counter, as shown in the state diagram in Fig.
modulo-2 added and the adder output is fed back to the
6. Although the counter states generate an ascending or
register. An N-stage shift register can generate a maximal
descending sequence, the PN generator output states are
length sequence of 2N-1 bits. Only certain outputs, or taps,
apparently random. The all-zero state is missing in the PN
can generate a maximal length sequence. The generator
sequence. This state is inhibited, because the generator
output is expressed as a polynomial in 'x'.
remains latched to it. The modulo-2 adder in the feedback
circuit feeds only '0's to the input. An additional circuit is
needed to detect the 'all zeros' state and reset the PN register
to a valid state.

When the register length, N, is small, a NOR gate can


decode 0 outputs of the register, forcing a 1,to the
feedback input, through an OR gate, as shown in Fig.7a. A
modulo-N down counter may be used for decoding the all
zeros state in the PN sequence for large N. The PN data is
Fig. 4 A PN Generators with Polynomial 1 + xI4 + x15
applied to the load input after inversion as shown in Fig.7b.

157
ISSN 2249-6343
International Journal of Computer Technology and Electronics Engineering (IJCTEE)
Volume 1 , Issue 2
but a preferred maximal sequences can only produce Gold
1111 1111 codes, as shown in Fig.8. Fig 11(e) & (f) shows the encrypted
1110 0000 1110 0111 speech signal and its spectrum using Gold sequences.
1101 0001
1101 0011
0010
1010 0001 E. Barker Sequences
Down Up
0011
counter counter
0101 1000 Barker sequences are short length codes that offer good
1010 0100
correlation properties. A Barker code is a sequence of some
1011 0100
1001 0101 finite length N such that the absolute value of discrete
1000 0110 0110 0010
autocorrelation function r () 1 for 0. Barker
0111 1100 1001 sequences have many advantages over other PN sequences
[Kumar et al. (2008)]. These sequences have uniformly low
Fig. 6 State diagrams for (a) binary counter and (b) PN generator
auto-correlation side these pseudo-random or pseudo-noise
(PN) properties include, among other properties, (a) balance,
X1 X2 X3 X4 (b) run and (c) auto-correlation lobes (1), but the size of
X5
these families is small. Fig.9 shows Barker sequence
generator.
XOR

NOR

Fig .7 (a). A PN generator with all zeros decoder

PN generator
(2N-1)
Fig. 9 Barker Sequence Generator

F. Kasami Sequences
Output
Kasami sequences are also PN sequences of length N =
N 2n-1, which are defined for even values of n there are two
classes of Kasami sequences: (i) small set of Kasami
sequences, (ii) large set of Kasami sequences. Small set of
LOAD
CO Kasami sequences are optimal in the sense of matching
Clock CE Welchs lower bound for correlation functions. A small set of
Kasami sequences is a set of 2n/2 binary sequences [Kumar et
al. (2008)]. Fig.10 shows Kasami sequence generator.
Fig.7 (b). All zeros decoding with a counter
Small set of Kasami sequences are optimal sequences and
D. Gold Sequences have better correlation properties compared to Gold
sequences. But the set contains less number of sequences. For
Gold sequences are generated by the modulo-2 operation of
the shift register of length n the number of possible sequences
two different m-sequences of same length. Any two m-
for the small Kasami sequence set is only 2n/2 sequences,
sequences are able to generate a family of many non-maximal
whereas Gold code set contains 2n + 2 sequences. The number
product codes,
of sequences can be increased by making some relaxation on
a4 a3 a2 a1 a0 the correlation values of the sequences. The resulting set of
g(D)=45 sequences is called large set of Kasami sequences [Kumar et
al. (2008)].
a'4 a'3 a'2 a'1 a'0

Fig. 8. Gold Sequence Generator

158
ISSN 2249-6343
International Journal of Computer Technology and Electronics Engineering (IJCTEE)
Volume 1 , Issue 2
IV. COMPARATIVE ANALYSIS
LFSR satisfies all the properties of PN sequences but for
h( x ) x 6 x 5 1 high degree of recursions it is computationally infeasible to
h= [1,2, ......,0] an arbitrary nonzero vector evaluate the distance between the phase shifts. It has good
autocorrelation but the sequence is not quite maximal length.
With Series Parallel method, the rate of generation of PN
h' ( x) x6 x5 x3 x2 1
sequence is at high speed and is used for doubling clock rate
h' [d 0 , d1 ,....d n1 ]
of PN generator. Performance of Gold code is good as
compared to maximum length sequence and sample to sample
correlation is reduced [Kumar et al. (2008)].Small Kasami
sequences have less autocorrelation and hence more cross
correlation values but the number of sequences that can be
Fig.10 Kasami Sequence Generator
generated are less. Thus the security provided by these
sequences is less compared to Barker sequence [Kumar et al.
G. Correlation Measures (2008)].
PN sequences of desired length are generated as described,
and the MSAAC and MSACC measures are computed for the Concatenated Shift Registers are computationally feasible
code set. Table.1 shows the correlation measures for PN and provides a large class of linearly concatenated shift
sequences of length 16 bits and Table.2 is correlation registers to generate approximately maximally spaced phase
measures for 32-bit PN sequences. From the results, among shifts of PN sequence for use in pseudo random number
all PN sequences m-sequences have low MSAAC values generation [Hurd and Welch (1997)].
since these sequences have single peak auto correlation
function. But these sequences are not suitable for speech In Chaos Based PN sequence the generation of pseudo
encryption since there is only one possible m-sequence of noise is using digital signal processor which is used in secure
given LFSR length. Gold codes have less MSAAC and communication [Guo and Wang (2010)]. It has good
MSACC values and for a given length of m-sequence one can statistical properties and generates large set of PN sequence.
generate more number of Gold codes so, these sequence It is used to randomize the signal over the links and provides
effectively remove the intelligibility of the speech signal by PN sequence of higher rate. It is used to generate encryption
de-correlating the speech samples. The MWH codes have key and its implementation is done using digital signals.
better auto correlation properties as compared to WH codes,
but they have poor cross correlation properties. OVSF codes Fig 11.showing time domain and its spectrum
with some specific repetitive sequences gives less correlation representation for 30 ms voice segment of the speech
values. The MSAAC and MSACC values for OVSF codes utterance using m-sequence, Gold code, WH codes, MWH
with repetitive sequences {-1,-1,-1, 1} are less and these codes and OVSF codes.
values are equal to the correlation values of MWH codes.
Fig.12 to 16. shows a periodic auto-correlation function
Table 1: Correlation measures for PN sequences of length16 bits and cross-correlation function of Gold sequence, Barker-like
sequence, large Kasami sequence of length 63 bits and MWH
Sequence MSAAC MSACC
sequence, Gold sequence of length 64 bits.
m-sequences 0.3467
WH codes 4.0625 0.7292
MWH codes 1.8125 0.8792 V. CONCLUSION
OVSF codes 1.8125 0.8792 We have shown the analysis of different PN sequences with
different generation methods. A PN sequence generated by
Table 2: Correlation measures for PN sequences of length32 bits every method is analyzed to check if properties are satisfied.
Advantages of every method of PN sequence is studied in this
Sequence MSAAC MSACC
paper. PN sequences are used as spreading code. Correlation
m-sequences 0.4807 measures for PN sequences of length16 bits and 32 bits is
WH codes 6.5938 0.7873 given in Tables. We can extend analysis of PN sequences by
MWH codes 3.2188 0.8962 giving different parameters which are helpful for different
OVSF codes 3.2188 0.8962
applications such as speech encryption and even generate PN
sequence using elliptic curves over prime field.
Gold codes 0.6866 0.7451
Barker sequence 0.8127 1.0505
REFERENCES

159
ISSN 2249-6343
International Journal of Computer Technology and Electronics Engineering (IJCTEE)
Volume 1 , Issue 2
[1] Haykin, S Communication Systems. 4th Edition. New York: John
Wiley and Sons, 2001.
[2] J.G.Proakis,DigitalCommunications.4thEdition.United States:
McGraw-Hill, 2000.
[3] K. T. Alligood, T. D. Sauer, and J. A. Yorke, An Introduction to
Dynamical Systems, Springer NY, 1997.
[4] Lathi, B.P, Modern Digital and Analog Communications
Systems.3rd Edition, New York: Oxford University Press, 1998.
[5] Li.B. X, Haykin,S. A new PN Generator for Spread Spectrum
Communications.IEEE,Acoustics,Speech,andSignalProcessing,Vol-5
,no.9-12,pp.3603-3606,1995.
[6] M. P. Kennedy, R. Rovatti, and G. Setti, Chaotic Electronics in
Telecommunications, CRC Press, 2007.
Fig 11 : Time domain and its spectrogram representation for 30 ms
[7] P. K. Gupta. R. Kumaresan Binary Multiplication with PN
voice segment of the speech utterance , for original speech segment
Sequences IEEE Transactions on Acoustics Speech and Signal
((a)&(b)), for encrypted signal, using m-sequences ((c)&(d)), signal
Processing. Vol. 36, no.4, pp. 603-605, 2005.
using Gold codes ((e)&(f)), using WH codes ((g)&(h)), using MWH
[8] Qianying Guo, Guangyi Wang- Generation of a Chaos-based PN codes ((i)&(j)), and using OVSF codes ((k)&(l)).
sequence and its quality Analysis, IEEE Communication Society,
Vol.54. no. 4, pp. 756-758,2010.
[9] . Rowtti, G. Setti, and G. Mazzini, Chaotic complex spreading
sequences for asynchronous DSC DMA, Some Theoretical
Performance Bounds. IEEE Transactions Circ. Sys. I, Vol-45, no. 4,
pp. 496-506, 1998.
[10] V. Anil Kumar, A. Mitra, S. R. Prasanna, Performance Analysis of
Different PN Sequences for Speech Encryption, International Journal
of Information and Communication Engg, 2008.
[11] W. J. Hurd, L. R. Welch, Concatenated Shift Registers Chaos, An
Introduction to Dynamical Systems, Springer, NY, 1997.
[12] X. Wang, Y. Wn and B. Caron, Transmitter Identification Using Fig.12: Aperiodic (a) auto-correlation function, (b) cross-correlation
Embedded PN Sequences, IEEE Transaction Broadcasting, Vol. 50 function, of Gold sequence of length 63 bits.
no. 3, pp. 244-252, 2004.

Fig.13: Aperiodic (a) auto-correlation function, (b) cross-correlation


function, of Barker-like sequence of length 63 bits.

Fig 14. Aperiodic (a) auto-correlation function, (b) cross-correlation


function, of large Kasami sequence of length 63 bits.

160
ISSN 2249-6343
International Journal of Computer Technology and Electronics Engineering (IJCTEE)
Volume 1 , Issue 2

(a)
Fig.15: Aperiodic (a) auto-correlation function, (b) cross-correlation
function, of MWH sequence of length 64 bits

Fig.16: Aperiodic (a) auto-correlation function, (b) cross-correlation


function, of orthogonal Gold sequence of length 64 bits

161

You might also like