Download as pdf or txt
Download as pdf or txt
You are on page 1of 11

See

discussions, stats, and author profiles for this publication at: https://www.researchgate.net/publication/305335459

IoT Technologies for Embedded Computing: A


Survey

Conference Paper October 2016


DOI: 10.1145/2968456.2974004

CITATIONS READS

4 1,382

3 authors, including:

Farzad Samie Lars Bauer


Karlsruhe Institute of Technology Karlsruhe Institute of Technology
12 PUBLICATIONS 15 CITATIONS 79 PUBLICATIONS 856 CITATIONS

SEE PROFILE SEE PROFILE

Some of the authors of this publication are also working on these related projects:

Internet-of-Things for Healthcare View project

Design and Development of smart IoT-based devices View project

All content following this page was uploaded by Farzad Samie on 18 July 2016.

The user has requested enhancement of the downloaded file.


IoT Technologies for Embedded Computing:
A Survey
Farzad Samie, Lars Bauer, Jrg Henkel
Chair for Embedded Systems (CES), Karlsruhe Institute of Technology (KIT), Germany
{farzad.samie, lars.bauer, joerg.henkel}@kit.edu

ABSTRACT and interact with (i) other machines and devices, or (ii)
Emergence of Internet-of-Things brings a whole new humans [4, 9, 10].
class of applications and higher efficiency for existing IoT covers diverse application domains that include
services. Application-specific requirements, as well as wireless sensor networks (WSN), machine-to-machine
connectivity and communication ability of devices have (M2M), RFID, Cyber Physical Systems (CPS), Mobile
introduced new challenges for IoT applications. Computing (MC), etc. [9, 10, 11]. There have been
This paper provides an overview of IoT technologies many research eorts on IoT from the perspective of
required from an embedded design perspective and spe- networking, object identification, data access (security
cific properties associated with IoT in embedded sys- and privacy) [3, 5], however, it has gained less attention
tems landscape. We investigate essential technologies from the perspective of embedded computing.
for development of IoT systems, existing trends, and its The diversity of IoT applications and technologies
distinguishing properties. By discussing the key charac- makes it difficult to present a general comprehensive
teristics, main application domains, and major research statement for the requirements of IoT in hardware and
issues in IoT, this paper provides a comprehensive IoT software. Therefore, the IoT embedded designer
perspective for embedded system design. faces questions whose answers are challenging as
the solutions can be contradictory, e.g.:
1 Introduction Which wireless communication technology 1) cov-
The Internet of Things (IoT) is a multidisciplinary ers the required range, 2) provides the required data
paradigm in which many of the objects that surround rate, 3) is still (ultra) low-power and meets energy
us will be networked and connected to the Internet constraints?
in order to provide new services and increase the ef- What trade-os to make between 1) Quality of Ser-
ficiency [1, 2]. Recent and ongoing advances in the vice (QoS) and energy consumption, 2) on-board
technologies such as wireless communication, ultra-low processing and computation ooading, etc.?
power processors, embedded sensors and actuators, Ra- How to handle the uncertainty and unpredictability
dio Frequency IDentification (RFID), mobile phones, of IoT systems (mainly caused by communication)?
and cloud/fog computing has enabled the emergence This paper explores the IoT and its technologi-
of IoT [3]. Although not all those technologies are cal enablers from this point of view. Besides in-
needed for each and every IoT application, they all facil- vestigating essential technologies for IoT and existing
itate the proliferation of IoT by providing an essential trends, this paper provides distinguishing properties of
prerequisite [4, 5]. While RFID enables low-cost ob- IoT for embedded domain in addition to a comprehen-
ject identification, and while ultra-low power system-on- sive IoT perspective for embedded systems which, to
chips (SoC) enable portable battery-operated embed- the best of our knowledge, lacks in the existing surveys
ded devices, cloud computing and fog computing can like [1, 4, 5, 7, 8].
be used to ooad computations and services to the lo- Paper structure: in Section 2, a high-level overview
cal or global servers, providing additional resources for of IoT applications and devices is presented. Then, we
handling large-scale data or performing more complex provide a brief presentation on hardware and software
operations [6, 7]. characteristics of IoT in Section 3. The electronic design
Connectivity (wired or wireless) is what distinguishes automation (EDA) tools to support IoT are presented in
embedded IoT systems from conventional embedded Section 4. Wireless technologies and their challenges for
systems. In a broader sense and vision, IoT is a global connectivity of IoT devices are discussed in Section 5,
infrastructure of heterogeneous, networked embedded while Section 6 concludes the paper.
devices and objects [8]. Communication ability, and 2 Properties of Devices and Applications
in particular the Internet connectivity, lets devices and 2.1 Application Areas
smart objects (also known as machines) communicate
IoT can impact various application domains either by
ACM ISBN XX-XXX/XXX-XX-XX enabling new services, or by improving the efficiency of
ESWEEK16, October 2-7 2016, Pittsburgh, PA, USA. existing ones [12]. Among the possible applications, we
Smart conference
Smart glass Wearable/
room
smartwatch

EEG 72
cameras
Noise
location Level
Smart
cane Physical
PIR sensors Surveillance
Activity Crowdsourcing

Single device Single device Multiple device Multiple devices


Single application Multiple applications Single applications Multiple applications

Figure 1: IoT systems may exploit single/multiple devices to implement single/multiple applications

provide a review of their main categories (that cover a for predictive maintenance [5, 10].
wide range of dierent requirements, technologies, de- 2.2 Applications vs. Devices
velopment challenges) and futuristic applications. In- The combination of IoT applications and their under-
deed, IoT applications are not limited to these cate- lying hardware or device introduces some design chal-
gories, and a huge number of applications can be en- lenges which need to be address either at software ap-
visioned. However, their requirements, properties, and plication or at the hardware level. The relation between
design challenges have similarities with those presented the number of devices and number of provided service
in our categories. The main challenges and require- and applications can be classified into four categories:
ments are discussed in the following sections. One-to-One: One IoT device is used for a single ser-
Healthcare. IoT has shown a great potential for vice. For instance, an IoT-based healthcare monitor-
enabling and improving healthcare services [13]. IoT- ing device that captures real time biosignals [19, 20].
based healthcare systems enable long-term monitor- One-to-many: One single IoT device provides mul-
ing of personal health status in real-time anytime, tiple services. One example is a wearable device
anywhere. They acquire vital biosignals including like a smart watch that has several sensors and can
electrocardiogram (ECG) electrical signal of heart, keep track of users physical activity, heart rate, loca-
electroencephalogram (EEG) electrical signal of the tion, etc. [4]. Another example is a smart conference
brain, and electromyogram (EMG) electrical signal room which uses a single device for multiple applica-
of muscles, body motion, etc. The real-time data tions including detecting the start/end of a meeting,
can be stored, processed, or transmitted to a remote analyzing the environmental condition of the room
device (e.g. cloud server) for further processing and (e.g. temperature and luminance), and processing
diagnosis [14, 15]. Ultra-low power design and real- the acoustic signals to record the proceedings of the
time constraints are among the challenges for these meeting, etc. [21]. For this category, a decision that
applications. needs to be made by the designer is the management
Assisted Living. Assisted living aims at oering so- of shared resources. The solutions range from con-
lutions for helping (i) elderly, (ii) chronically ill, and servatively choosing the underlying hardware (pro-
(iii) disabled people [1]. For instance, a wearable IoT cessor, memory, wireless ratio, etc.) which support
device can leverage online city maps together with a the worst case accumulated usage, to dynamically
smart cane to detect and avoid obstacles, access build- managing and scheduling the hardware usage.
ings, navigating indoor and outdoor, etc. Many-to-one: In this class, spatially distributed de-
Smart Building and Home. IoT provides connectiv- vices provide a single service. For instance, dis-
ity for embedded devices which can enable applications tributed smart cameras are exploited for video
for reducing the costs, increasing personal comfort, and surveillance in [22]. This category usually has two
improving safety and security in buildings and homes properties that need to be considered by design-
[11]. ers to optimize the system: 1) high communication
Smart City. In a smart city, distributed IoT devices between devices and 2) large amount of redundancy.
equipped with dierent sensors are used to improve the Many-to-many: In some IoT applications multiple
transportation and traffic management, monitoring the IoT devices are shared between multiple applications
air quality (e.g. pollution, temperature, humidity, etc.), and services. Smart Citizen [23] consists of multiple
smart parking, smart lighting, and smart watering gar- IoT embedded devices that are geographically dis-
dens [16, 17]. tributed to gather information for the applications
Smart Industry. IoT-enabled solutions for automa- that report temperature, humidity, noise level, and
tion, control and monitoring may improve industry by air pollution. Shared WSNs belong to this category,
lowering operational and maintenance cost, and increas- too [21, 24].
ing quality of service [5], for industrial domains such as Figure 1 shows these categories with some examples.
supply chain management, transportation and logistics, Although shared IoT devices reduce the cost of hard-
and automotive [12, 18]. An example is remote moni- ware and maintenance, they introduce new challenges
toring of machinery (e.g. in plant, wind turbine, etc.) like binding, allocation, online and runtime resource
management, guaranteeing the timing constraints of ap- in embedded system design community [31], but there
plications that share a single device, etc. [11, 25, 26]. are some characteristics specific to IoT applications
3 Hardware & Software Architecture for IoT that can be exploited for further improvements in en-
The general operation stages of an IoT application ergy efficiency of memory in IoT embedded devices.
include 1) data acquisition, 2) data processing, 3) data For instance, many IoT applications inherently toler-
storage, and 4) data transmission. The first and last ate errors in data which opens up new possibilities for
stages exist on every application, while the processing hybrid memory architectures composed of an error-
and storage may or may not exist in some applications free portion (for more reliable data and operations)
(see Figure 2). and an error-prone one (for less important data). A
hybrid memory based on this property has been de-
signed for a low power biomedical signal processors in
Data Data Data Data
acquisition Processing Storage Transmission
[32]. For wearable IoT devices that need to have flex-
ible and curvilinear forms, flexible non-volatile mem-
Legends: ory (NVM) has been proposed [33].
Real-time raw data transmission Real-time on-board process Data transmission:
Raw data transmission On-board process
At HW level: Data transmission can be improved
Figure 2: General stages of IoT applications by integrating radio transceivers into SoCs, providing
3.1 Efficiency at Different Stages low power multi-radio chips, etc. Main existing tech-
Data acquisition: nologies, trends and challenges in connectivity and
At HW level: Low power integrated and on-chip sen- communication are discussed in Section 5.
sors with Micro-Electro-Mechanical Systems (MEMS) At SW level: In order to reduce the amount of data to
technology can reduce the energy consumption of be stored or transmitted, new data compression tech-
data acquisition. On-chip accelerometers, gyro- niques, especially for the streams of data, are needed
scopes, microphones and biosensors are some ex- [34].
amples. Figure 3 shows a general architecture of the main com-
At SW level: Energy efficient sensing schemes should ponents of an IoT SoC platform [35]. An IoT embedded
eectively exploit spatial and temporal character- device has many if not most of these components, e.g.
istics of the input data, in order to collect as less at least one RF component for the connectivity.
samples as possible while the required signal quality IoT Device
Power unit
is retained [7]. The reduced amount of input data ... battery
management harvester
(depends on number of samples and resolution) af-
fects the energy for transmission and storage, too. FFT Processing Unit RF On-chip
Compressed sensing (CS) [27] is a novel techniques FIR Accelerator sensors
Audio DSPs BLE
...

in which the signal can be reconstructed from much Video LTE


Accelerator MCU motion
fewer samples than Nyquist theory, at the cost of Security WiFi
...
gyro
accuracy loss. As long as the input data has the Off-chip AFE ZigBee

...
Nonvolatile
sparseness property, a smaller number of samples can sensors & ADC ... GPS
capture the required information, and CS can reduce DAC memory RFID
actuators
the volume of collected data without significant loss
of information. Figure 3: General architecture of an IoT embed-
Many IoT applications have the data sparsity prop- ded device
erty and can exploit the CS paradigm. In health 3.2 Different Computing Layers
monitoring applications and wireless body sensor net- An enormous amount of data, including streams of
work, CS has been investigated and studied exten- data, audio, or video, will be generated from IoT devices
sively [28]. which is also known as Big Data [36]. The problems as-
Data processing: sociated with emerging Big Data (e.g. massive storage
At HW level: Energy efficiency in a processing unit and huge processing power demand, high latency, etc.)
can be achieved by 1) ultra-low power processors [29] necessitates the migration of computation and process-
and 2) efficiently customized co-processors [30]. In ing to dierent underlying computing layers available in
[25], a heterogeneous dual-core processor is proposed the IoT chain [14, 26]. Figure 4 shows dierent process-
and fabricated based on the big.LITTLE architec- ing and computing layers starting from IoT embedded
ture. An ultra low power near-threshold processor device up to the Cloud servers.
alongside with a high performance processor in ad- The collected data can be processed on either IoT de-
dition to a task scheduling framework brings energy vice, or gateway, etc. Hence, for IoT applications that
efficiency for IoT applications. involve data processing, a major challenge is to decide
Data storage: where the computation should be done (i.e. computa-
At HW level: Energy reduction in memory has re- tion ooading) [26, 37]. The decision depends on many
ceived significant industrial and academic attention parameters and factors including the system objectives
have less latency compared to the cloud servers (due

Clouds
to their location and distribution) [14, 40]. An ECG
feature extraction for a healthcare monitoring appli-
cation is presented in [14] and shows the benefits of
the fog computing concept.
Available resources

Fogs
Cloud centric: [7, 18] Cloud computing provides a

Predictability
Latency

solution for handling Big data and processing them.

gateways
general specific It requires massive data storage volume, huge pro-
cessing resources to deliver a high quality of service

(Sensors/actuators)
(QoS) and to help decision making [5]. However,
as the number of IoT devices increases, and conse-

IoT devices
EEG ZigBee

quently, the amount of stream data increases, the


EMG ECG
SpO2 &
Motion (gyro & cloud computing solution faces problems and chal-
Accelerometer)
Blood lenges including the scalability, high energy cost, la-
Pressure
tency, bandwidth, and availability [13, 36].
Figure 4: Dierent computation layers. The Hybrid approach: Since each of the aforementioned
available resources (e.g. memory, processing choices have their advantages and disadvantages, so-
power) and networking latency increase from lutions that exploit a multi-layer and hybrid comput-
bottom to top. ing approach are more efficient. An example: clas-
(e.g. real-time requirement, energy efficiency, etc.), and sification methods, including Support Vector (SV)
system specifications (e.g. energy consumption for data machines, are widely used for anomaly detection or
processing and data transmission on IoT device, com- gesture recognition in personal healthcare monitoring
munication bandwidth, transmission delay, etc.). As applications [20]. A set of SVs are stored in memory
shown in Figure 4 dierent computing layers and plat- which will be used at runtime for classification. When
forms include: the number of SVs is large (i.e. needs large memory on
Device centric: The microcontroller in an IoT de- IoT device), an efficient solution is to store some SVs
vice can be exploited to perform the computation. on the gateway (e.g. smartphone), instead of increas-
The main challenges are the scarce resources on IoT ing the memory size at design time. In this solution,
devices (e.g. on-chip memory, processing capabilities) if a feature is far from the SVs that are stored on the
and to meet the low-power requirements. A key de- IoT device, it will be transmitted to the smartphone,
cision concerns whether to perform the computation and compared with other SVs.
on the IoT embedded device or to ooad it to other Such a hybrid approach enables the applications to
layers of computation. This decision making becomes increase their efficiency and meet their requirements
more challenging when the answer should be deter- by leveraging the advantages of each computing level
mined at runtime (i.e. depends on the operation, in- (e.g. larger memory, more computational resources,
put data, energy sources status, and other runtime lower latency, etc.). However, the main challenge to
parameters) [26]. address in a hybrid approach is to find the efficient
Gateway centric (e.g. smartphone): IoT gate- balance between local processing and computation of-
way devices which are used to settle the heterogene- floading, and to find the perfect timing for ooading
ity between dierent networks and Internet usually (in real-time applications).
have more computational power [37, 38] (e.g. ARM 3.3 Approximate vs. Exact Computing
Cortex-A and Cortex-M MCUs are proposed as gate- The emerging paradigm of approximate computing
way processor in ARM, Freescale and Texas Instru- leverages inherent resilience of applications and relaxes
ment solutions). Gateway devices like smartphones the requirement of exact equivalence between the spec-
can be used to perform data processing as proposed ification and implementation to gain more efficiency
in [39]. This scheme has been used for medical and [41, 42]. Most of IoT applications are interacting with
healthcare monitoring application in [19], where the the physical world with noisy input data [11]. There-
captured ECG signal is transmitted to the smart- fore, they are inherently dealing with approximation.
phone to be processed. The key challenge here is to For instance, the first stage of approximation happens
guarantee the availability and deadline constraints, in the Analog-to-Digital conversion which introduces a
which is difficult due to the unpredictability of the quantization error. Although these applications toler-
wireless communication and latency, especially when ate some errors, the final output or QoS should be in a
the number of IoT devices increases. certain range.
Fog centric: The concept of fog computing is the ex- Many applications can exploit the error tolerance
tension of the cloud computing paradigm, which can property to trade the output quality for computational
help the IoT domain to restrain the Big Data prob- eort (e.g. energy consumption, performance, etc.).
lem [6, 36]. Fogs provide more computational power Some open challenges exist in the domain of approx-
compared to IoT embedded and gateway devices and imate computing for IoT. The tolerable error can be
accepted and exploited at dierent hardware compo- EDA tools Design IP core
nents and dierent software parts:
Fast Fast
Data acquisition: The quality of input data, dur- Cheaper Low risk
ing the data acquisition, is determined by resolution More companies New services
Small companies E.g. IP evaluation
and sampling rate (or frame rate). For instance, the
ECG signal in [14] is capture at 360 samples per sec-
ond with 11-bit resolution. When the IoT application cloud
tolerates error, reducing the quality of input data is Figure 5: Trends in design tools & EDA for IoT
one way to take advantage of it to reduce the energy and tested) will be driving the IoT development, and
consumption or delay. we will witness an explosive growth in the IP compa-
Data processing: The approximation can be done nies [45].
also in underlying hardware by designing inexact The IoT specific characteristics are 1) interaction
hardware units for specific arithmetic operations with physical world (by means of sensors and actu-
(e.g. adders, multipliers, DCT, FFT, etc.) [42]. It ators) and 2) communication ability (intra and inter
can also be done at the software level by methods devices). Both of these properties involve with mixed-
like stage skipping [41]. signal and analog. Wireless radio, sensor ADC, analog
Data storage: The tolerable error can be exploited filters and amplifiers seem to be the most minimal re-
at the memory unit to reduce the size of required quirement of IoT platforms. This makes the design flow
memory, reduce the number of access to memory, or increasingly more complex, especially the verification
reduce its energy consumption. One example of ap- and debugging of mixed-signal SoCs [45, 46].
proximation in memory is presented in [32]. There are two complementary trends in EDA to ful-
Indeed, hybrid schemes, where multiple stages exploit fill the aforementioned IoT demands [45] (as shown in
approximation, are also possible. The main challenge Figure 5):
here is to decide 1) at which stage, and 2) how much
1. Design tools to support the growth of IoT devices
approximation should be applied in order to minimize
(i.e. scalable, cheap, fast designs): These tools pro-
the computational eort while meeting the QoS require-
vide traditional EDA services but at a lower cost,
ments.
higher scalability, shorter design time. For instance,
4 IoT Electronic Design Automation (EDA) IBM and SiCAD are oering a cloud service with
Tools IBM EDA tools running on high performance cloud
EDA tools need to address challenges in two aspects platforms that makes the modeling, verification, and
of IoT design: 1) the ever-increasing demand for design- simulations faster and more efficient. Similarly, Sil-
ing embedded devices, and 2) the growing complexity icon Cloud International (SCI) provides cloud-based
of IoT SoCs. workflow as a service for ASIC design, targeting IoT
The proliferation of IoT market leads to small design system developers.
companies with relatively specific and innovative prod- 2. Design tools for IoT specific core (e.g. analog or RF IP
ucts in a smaller scale environment which means the cores): It includes energy efficient and small IP cores
emergence of IoT companies with a system design (i.e. that provide wireless communication and analog front
not chip design) background [43]. Their main concerns ends for the IoT SoCs.
will be reduced design time and risks [44]. These con- With the increase in IP demand, some new cloud-based
cerns, in addition to the lack of chip design expertise, opportunities will arise. For instance, the costumer will
make using Intellectual Property (IP) cores a promising be able to evaluate the IP core online (without down-
solution to manage design complexity and integration loading it) by running simulations and checking the per-
risk. It is predicted that design IP cores (various hard- formance and power before purchasing it [47].
ware blocks that are already pre-designed, pre-verified Table 1 summarizes the tools and features that some

Table 1: EDA tools for IoT design


(a) IP based design (b) ASIC design tools
IP Cores Tanner IBM
Cadence Synopsys ARM Silvaco SCI
EDA Tools Mentor Graphics SiCAD
2G, 3G, LTE, WiFi RFID, BLE, Web-based
Radio BLE X X X
802.11b/g/n/ac/ad WiFi, 802.15.4 Cloud tools
noise, image, video, vision processor, X X AMS
Coprocessors NA
vector processing sensor fusion, CNN X X MEMS
Analog Front End ADC, DAC ADC, DAC ADC, DAC * AMS: Analog-Mixed Signal,
fingerprints, motion, MEMS: Microelectromechanical systems.
On-chip Sensors NA NA
noise level, gyroscopes
* CNN: convolutional neural network
EDA companies provide for IoT design. However, EDA Cellular network: Widespread mobile networks
tools have not caught up with other IoT trends like like 3G and LTE provide reliable high-speed connec-
approximate computing. tivity to the Internet. However, they have a high
5 Connectivity power consumption profile and they are not suitable
for M2M or local network communication.
5.1 Wireless Communication Technologies Low Power Wide Area Network (LPWAN)
Dierent wireless communication technologies can be [49]: These technologies are suited for low power
used for (i) connecting the IoT device as local networks, applications with very long rage transmission. They
and (ii) connecting these local networks (or individual support up to 10 Km distance between end-nodes and
IoT devices) to the Internet. gateway. However, it comes at the cost of very low
NFC [48]: It is a short-range wireless communica- data rate (<1 Kbps). Main technologies of LPWAN
tion technology that enables the data transmission include SigFox, LoRaWAN and Weightless, which
between devices in a close proximity to each other operate in sub-GHz bands. One of the challenges for
(20 cm). It has a tag that can contain small amount LPWAN is the lack of a globally available band for
of data. This tag can be read-only (similar to RFID LPWAN in sub-GHz.
tags for identification purposes) or can be re-writable Table 2 summarizes some characteristics of wireless
and be altered later by the device. technologies which are needed to be considered in the
Bluetooth: This technology witnesses an increas- design process of IoT devices. This table shows the typ-
ingly ubiquitous presence including in smartphones, ical value of these parameters. Indeed, most of them
tablets, laptops, headsets, etc. depend on the design constraints of the IoT devices.
1. Classic Bluetooth: It oers a high enough For example, by increasing/decreasing the transmission
throughput and bandwidth which makes it suitable power (and the size of antenna), the transmission range
for data stream applications (e.g. audio). However, can be further increased/decreased. Table 3 shows
it has several limitations including limited number the suitability of each wireless technology for dierent
of nodes in the network (up to seven slaves) or application domains.
topology. Each of these communication technologies has its ad-
2. Bluetooth Low Energy (BLE): It is also known vantages and disadvantages. For instance, Bluetooth,
as Bluetooth smart and is designed and enhanced WiFi, and ZigBee may face interference due to the co-
for short-rage, low bandwidth, and low latency IoT existence of other devices working at the same frequency
applications. The advantages of BLE over classic band (i.e. 2.4 GHz), especially with the increasing rate
Bluetooth include lower power consumption, lower of IoT devices. The interference can lead to severe drop
setup time, and supporting star topology with un- in data rates, which consequently may increase the en-
limited number of nodes. ergy consumption of IoT devices, reduce the QoS, and
3. Bluetooth 5.0 (BT v5): It increases the range result in missing the deadline in real-time applications
and doubles the speed of low energy connections (i.e. aecting other optimization goals of the system).
while increasing the capacity of broadcasts. On the other hand, NFC needs sender and receivers to
ZigBee: A small-size, low-cost, low-power wire- be close to each other.
less specification that can support dierent network Hybrid communication schemes seem to be the best
topologies (e.g. mesh, star, tree). It oers a wide fitted solutions for IoT applications. The emergence of
transmission range, depending on the output power. integrated transceivers which include multiple commu-
Although ZigBee has established in some industrial nication technologies on a single chip has opened doors
applications and WSN nodes, it faces some market for more efficient wireless communication. For instance,
barriers, especially with the emergence of attractive BLE is not intended for continuous data streaming ap-
alternatives like BLE that provides higher bandwidth plications, but it is highly efficient for sending small, dis-
at a lower energy consumption. crete data chunks (e.g. states, temperature, heart rate).
WiFi: Bluetooth classic and WiFi, on the other hand, oer
1. Conventional WiFi (IEEE 802.11 b/g/n): higher throughput and efficiency for streaming applica-
The main advantages are high bandwidth and tion with higher data rate demands (e.g. ECG monitor-
availability in urban districts. Its high energy con- ing, audio).
sumption makes it unsuitable for ultra-low-power 5.2 Timing of Communication
IoT devices. The timing of data transmission schemes in IoT appli-
2. Low-power WiFi (802.11 ah) or HaLow: cations can be classified into three dierent categories.
Compared to conventional WiFi, it is intended Continuous: The IoT devices send or receive data
to extend the range of transmission with less data continuously (e.g. real-time health monitoring).
rate, and to reduce the energy consumption for IoT Sporadic: The IoT device collects and stores the
applications. In addition, it will suer less inter- data and then transmits it whenever the connection
ference with existing wireless networks as it uses a is available.
dierent frequency band (i.e. 0.9 GHz). On-demand:
1. User driven: The IoT device can be requested by Light: This sensor is usually used for saving energy
the operator to send the collected data [50]. consumption by adjusting the lighting (e.g. smart-
2. Event driven: The communication is done once a phones, smart homes, smart cities).
specific event happens. Chemical Sensors: These sensors are mainly used
For designing an efficient IoT system, the timing of for measuring the air quality (e.g. CO, NO2, SH2, and
data transmission matters, especially for managing low CO2) in smart city application, environment monitor-
power modes (deep sleep, standby, active). For in- ing, or smart factories [23].
stance, if the data transmission is sporadic, a predictor Location: These sensors are mainly based on GPS
based on learning techniques can be used to dynamically technology (seldom work indoors). Smart industry
manage the low power modes of the wireless transceiver. and transportation use this sensor for tracking and
localizing the objects.
5.3 Bandwidth & Data Rate of IoT Sensors
Imaging: Besides normal imaging sensors, infrared
In this section, we provide a comprehensive overview sensors are emerging in IoT market to capture the
of the main sensor applications in IoT. Then we de- temperature dierences of the objects. The feature is
rive the typical data generation rate of dierent sensors useful for security applications to detect intruders or
according to the reported application scenarios. The re- getting thermal image of home or objects to detect
quired wireless transmission bandwidth and the appro- insulation or possible leaks.
priate technology is annotated, too. Then we estimate Acoustic: Analog or digital microphones are used
the required processing power to perform the typical for security and surveillance, and noise pollution for
operations on the captured data, and we report the ap- smart cities [23].
propriate IoT hardware core to support it. Ultra Violet (UV): It measures the strength of UV
Ambient/Object Temperature, Humidity: Dif- radiation for healthcare, wellness, and smart city ap-
ferent applications including smart homes, smart plications. It also indicates the strength of suns ex-
cities, smart industry, etc. use these sensors. posure for smart farming and smart agriculture.
Accelerometers and Gyroscopes: These sensors Ultrasonic: It is useful to detect the obstacles and
are widely used in industrial machinery, medical and get the distance. Assisted-living and smart industry
fitness devices, as well as wearable devices for mon- can benefit from this sensor to help visually impaired
itoring physical activity, fall detection, navigation, people or preventing the moving robots to collide,
or for structural health monitoring of machinery or respectively.
buildings [51]. Health Monitoring Sensors: As mentioned in Sec-
Magnetometer: It usually comes along side with tion 2.1, healthcare applications of IoT [13, 52] in-
accelerometers and gyroscopes and is used for finding clude, but are not limited to:
the direction for navigation.
Table 2: Communication technologies for IoT applications, and their properties
HaLow
LP WiFi Cellular network
NFC Bluetooth BLE BT v5 ZigBee 802.11 LPWAN
802.11ah
b/g/n 3G LTE
indoor <20 m <70 m <700 m
Range <0.2 m 1100 m 100 m <300 m <10 Km >5 Km >5 Km
outdoor <1500 m <230 m <1000 m
Bit rate
0.424 13 1 2 0.25 >1 0.1540 <0.05 0.17 75300
[Mpbs]
Throughput
0.22 1.5 0.30 1.5 0.15 2-50 >0.1 <0.05 NA
[Mbps]
freq. [GHz] 0.014 2.42.5 2.42.5 2.4 2.4 2.4/5 0.9 sub-GHz 0.81.9 2.1
Network star, star, tree,
p2p scatternet NA star star star NA
topology scatternet mesh

Table 3: Suitability of communication technologies for IoT application domains


Application domains Local Network
Healthcare Smart Cities Smart Building Automotive Industry (M2M)
NFC medium high low very low very high medium
BLE very high low low very low low high
wireless tech.

ZigBee, BT v5 medium high very high low high high


WiFi b/g/n low high medium medium low high
HaLow high very high high high high very high
LPWAN low very high high high very high high
Cellular networks
low high high high medium very low
(3G, LTE, etc.)
WiFi & 802.11 ah
Suitable Wireless Bluetooth
Technology: ZigBee
LPWAN BLE
Resp
Health HR
Monitoring EMG
[20, 53] EEG
ECG
Security Audio HD
[55,56] Video SD HD
Video LD
Smart City
[55,56] Image
Acoustic
Magnetometer
Physical
Gyroscope
Activity
Accelerometer
Structural Vibration
Monitoring [51] Velocity
Humidity
Temperature
1 10
10bps 100
100bps 1000
1Kbps 10000
10Kbps 100000
100Kbps 1000000
1Mbps 10000000
10Mbps
Rate of Data Generation

Figure 6: Data rate generation by dierent sensors in typical IoT applications


Heart Rate: To detect the heart rate (and conse- the required frequency to process the data at the de-
quently heart rate variability). rived data rates.
ECG: To measure the electrical activity of the heart Figure 8 shows the minimum required frequency for
which conveys essential information about the sta- a microcontroller to transmit the unprocessed data to
tus of heart and the function of its muscular con- the Internet (through the gateway). We obtained those
tractions [20]. numbers under the assumption that the microcontroller
EMG: To measure the electrical signal causes by needs to encrypt the data and add error detection
muscular activity [50] for gesture recognition, de- codes to it before transmission. We used Advanced En-
tection of neuromuscular diseases, etc. [20]. cryption Standard (AES) and cyclic redundancy check
EEG: To capture the electrical voltages which rep- (CRC) for this purpose, implement them and measured
resent the brain activity. the average execution time and number of cycles to
Blood Pressure perform these operations on one byte of captured data.
Respiration Rate Then, using the derived typical data rate, we estimated
SpO2: The arterial oxygen saturation or the amount the required CPU cycles to transmit the data.
of oxygen dissolved in blood. The number of CPU cycles and execution time for the
Skin Conductivity: To measure the conductivity typical operations (e.g. FFT, FIR, AES, CRC) are ob-
of skin to detect psychological or physiological tained from our experiments on the Atmel Atmega328
arousal, or the moisture level of the skin [53]. microcontroller which is used in IoT platforms including
RF radio modules: Although RF modules are pri- Arduino boards. Table 4 summarizes the measurements
marily used for communication, they can be used as for those operations.
localization sensors. The strength of received signal Table 4: Typical data processing operations in
can be used for indoor localization and navigation IoT applications and their exec. time (per Byte)
[54]. Operation Exe. time [s] # of cycles Code Size [B]
5.4 Analysis & Insight FFT (256 p) 18 586 3850
In Figure 6, we illustrate the typical data rate of dif- FIR 1492 23872 1750
ferent IoT sensors in dierent applications. We derived Enc 18.25 292
AES 3666
those numbers based on the typical resolution of cap- Dec 22.75 364
tured data and typical sampling rates in dierent case CRC 3.75 60 500
studies and applications [55, 56]. 6 Conclusions
In Figure 7, we estimated the required processing ca- The emergence of IoT has added a new dimension
pability to perform the basic operations and processing to the embedded systems by enabling communication
tasks on the captured signals of dierent sensors in dif- between devices and connectivity to the Internet. The
ferent IoT applications. For instance, to process the existing challenges in designing conventional embedded
EEG sensors on the IoT device, we first need to sub- systems, including energy efficient hardware and soft-
divide the signal to dierent bands (e.g. Delta, Theta, ware, efficient memory architecture and management,
etc.) by filters. Then, dierent bands are transformed to real-time constraints, etc., need to be addressed by con-
frequency domain using Fast Fourier Transform (FFT). sidering new properties and requirements of IoT includ-
We calculated the average number of CPU cycles to ing connectivity. Moreover, new research problems arise
perform those operations per sample and then obtained due to specific IoT requirements including the connec-
Resp
Health HR
EMG
Monitoring
EEG
ECG
Video HD onboard processing


Security Audio HD
Video
Video LD
Smart
Image
City Acoustic
Physical Magnetometer
Gyroscope
Activity
Accelerometer
Vibration
Structural
Vibration
Monitoring Velocity
Humidity
Temperature
1.E+03
1K 10K
1.E+04 1.E+05
100K 1.E+06
1M 10M
1.E+07 100M
1.E+08 1.E+09
1G 1.E+10
Frequency (Hz)
Suitable Power outlet
Energy Rechargable Li-ion battery
source: Coin-cell battery
Energy Harvesters

Intel Quark
Cortex-M0+

Cortex-M4

Cortex-M7

Cortex-A8

Cortex-A9
Microchip

MSP430
Typical

PIC
Processing
core:

Figure 7: The number of cycles (i.e. required frequency) to fully process the IoT sensors, and their
expected power source

Resp
HR
Health EMG Raw data (Enc+CRC)
Monitoring EEG
ECG

Video HD

Security Audio HD
Video
Video LD
Smart
Image
City Acoustic
Magnetometer
Physical
Gyroscope
Activity
Accelerometer
Vibration
Structural
Vibration
Monitoring
Velocity
Humidity
Temperature
10
10 100
100 1K
1000 10K
10000 100K
100000 1M
1000000 10M
10000000 100M
100000000 1G
1E+09
Frequency (Hz)
Figure 8: The number of cycles (i.e. required frequency) to encrypt and transmit the captured data
from IoT sensors
tion of IoT devices, large number of devices, coexistence cations and challenges in technology and standardization.
of connected devices with wireless radios in the same Wireless Personal Communications, 58(1):4969, 2011.
[3] B. Guo, D. Zhang, Z. Yu, et al. From the Internet of Things
frequency band (i.e. interference problem), Big Data, to embedded intelligence. World Wide Web, 16(4), 2013.
etc. [4] D. Miorandi and S. Sicari and F. De Pellegrini et al. Internet
We presented an overview of the technological enabler of things: Vision, applications and research challenges. Ad
of IoT development from the embedded design perspec- Hoc Networks, 10(7):14971516, 2012.
[5] I. Lee and K. Lee. The Internet of Things (IoT): Applica-
tive. We categorized IoT applications and devices based tions, investments, and challenges for enterprises. Business
on dierent criteria and parameters, and highlighted the Horizons, 2015.
associated properties with each category. The suitabil- [6] F. Bonomi and R. Milito and J. Zhu et al. Fog computing
and its role in the Internet of Things. In MCC workshop on
ity of dierent technologies for IoT is investigated, too. Mobile cloud computing, pp. 1316, 2012.
References [7] J. Gubbi and R. Buyya and S. Marusic et al. Internet of
Things (IoT): A vision, architectural elements, and future di-
[1] L. Atzori and A. Iera and G. Morabito. The Internet of
rections. Future Generation Computer Systems, 29(7), 2013.
Things: A survey. Computer networks, 54(15):27872805,
[8] A. Gluhak, S. Krco, M. Nati, et al. A survey on facilities for
2010.
experimental internet of things research. IEEE Communi-
[2] D. Bandyopadhyay and J. Sen. Internet of things: Appli-
cations Magazine, 49(11):5867, 2011. [32] D. Bortolotti, H. Mamaghanian, A. Bartolini, et al. Approx-
[9] G. Wu, S. Talwar, and K. Johnsson, et al. M2M: From mobile imate compressed sensing: ultra-low power biosignal pro-
to embedded internet. IEEE Communications Magazine, cessing via aggressive voltage scaling on a hybrid memory
49(4):3643, 2011. multi-core processor. In ISLPED, pp. 4550, 2014.
[10] L. Da Xu and W. He and S. Li. Internet of things in indus- [33] M. T. Ghoneim and M. M. Hussain. Review on physically
tries: A survey. IEEE Transactions on Industrial Informat- flexible nonvolatile memory for internet of everything elec-
ics, 10(4):22332243, 2014. tronics. Electronics, 4(3):424479, 2015.
[11] J. Stankovic et al. Research directions for the Internet of [34] A. Pande and E. Baik and P. Mohapatra. Efficient health
Things. IEEE Internet of Things Journal, 1(1):39, 2014. data compression on mobile devices. In ACM MobiHoc work-
[12] C. Perera and A. Zaslavsky and P. Christen et al. Context shop on Pervasive wireless healthcare, pp. 2530, 2013.
aware computing for the Internet of Things: A survey. IEEE [35] D. Kelf. IoT: A Return to Our Favorite EDA Re-
Communications Surveys & Tutorials, 16(1):414454, 2014. quirements. Online: www.eetimes.com/author.asp?doc id=
[13] M. Hassanalieragh, A. Page, T. Soyata, et al. Health moni- 1322009, 2014.
toring and management using Internet-of-Things (IoT) sens- [36] B. Zhang, N. Mor, J. Kolb, et al. The cloud is not enough:
ing with cloud-based processing: Opportunities and chal- saving iot from the cloud. In USENIX Conference on Hot
lenges. In International Conference on Services Computing Topics in Cloud Computing, pp. 2121, 2015.
(SCC), pp. 285292, 2015. [37] C. Perera, P. P. Jayaraman, and A. Zaslavsky, et al. Mosden:
[14] T. N. Gia, M. J. A.-M. Rahmani, and T. Westerlund, et al. An Internet of Things middleware for resource constrained
Fog computing in healthcare Internet-of-Things: A case mobile devices. In Hawaii International Conference on Sys-
study on ECG feature extraction. In Intl Conf. on Com- tem Sciences (HICSS), pp. 10531062, 2014.
puter and Information Technology (CIT), pp. 356363, 2015. [38] S. K. Datta and C. Bonnet and N. Nikaein. An IoT gateway
[15] M. U. Ahmed, M. Bjorkman, and A. Causevic, et al. An centric architecture to provide novel m2m services. In World
overview on the internet of things for health monitoring sys- Forum on Internet of Things (WF-IoT), pp. 514519, 2014.
tems. In IoT Technologies for HealthCare, 2015. [39] T. Zachariah, N. Klugman, B. Campbell, et al. The Internet
[16] CityPulse: Real-time IoT stream processing and large-scale of Things has a gateway problem. In Mobile Computing
data analytics for smart city applications. Online www. Systems and Applications (HotMobile), pp. 2732, 2015.
ict-citypulse.eu. [40] A. Al-Fuqaha, M. Guizani, and M. Mohammadi, et al. Inter-
[17] R. Tonjes, P. Barnaghi, M. Ali, et al. Real time iot stream net of things: a survey on enabling technologies, protocols
processing and large-scale data analytics for smart city ap- and applications. IEEE Communications Surveys & Tuto-
plications. In European Conference on Networks and Com- rials, 2015.
munications (EUCNC), 2014. [41] V. K. Chippa and S. T. Chakradhar and K. Roy et al. Analy-
[18] N. Kaur and S. K. Sood. An energy-efficient architecture for sis and characterization of inherent application resilience for
the Internet of Things (IoT). IEEE Systems Journal, pp. approximate computing. In DAC, 2013.
110, 2015. [42] J. Han and M. Orshansky. Approximate computing: An
[19] F. Samie and L. Bauer and J. Henkel. An approximate com- emerging paradigm for energy-efficient design. In European
pressor for wearable biomedical healthcare monitoring sys- Test Symposium (ETS), pp. 16, 2013.
tems. In CODES+ISSS, pp. 133142, 2015. [43] P. Singer. Meeting the IoT Design Challenge.
[20] S. Benatti, F. Casamassima, B. Milosevic, et al. A versatile Online: http://semimd.com/blog/2015/11/02/
embedded platform for EMG acquisition and gesture recogni- meeting-the-iot-design-challenge/, November 2015.
tion. IEEE trans. on biomedical circuits and systems, 2015. [44] R. Quinnell. ASICs for the IoT Cross the Horizon. Online:
[21] F. Samie and L. Bauer and C.-M. Hsieh et al. Online binding www.open-silicon.com/asics-iot-cross-horizon/, June 2015.
of applications to multiple clock domains in shared fpga- [45] G. Moretti. Internet of Things (IoT) and EDA. On-
based systems. In DATE, pp. 2530, 2015. line: www.chipdesignmag.com/sld/blog/2014/04/08/
[22] S.-Y. Chien, W.-K. Chan, Y.-H. Tseng, et al. Distributed internet-of-things-iot-and-eda/.
computing in IoT: System-on-a-chip for smart cameras as an [46] G. Qu and L. Yuan. Design THINGS for the Internet of
example. In ASP-DAC, pp. 130135, 2015. Things - An EDA perspective. In ICCAD, pp. 411416, 2014.
[23] Smartcitizen. Online: https://smartcitizen.me. [47] B. Bailey. EDAs Clouded Future. Online:
[24] I. Leontiadis and C. Efstratiou and C. Mascolo et al. Sen- semiengineering.com/edas-clouded-future/, June 2015.
share: transforming sensor networks into multi-application [48] V. Coskun and B. Ozdenizci and K. Ok. A survey on near
sensing infrastructures. In Wireless Sensor Networks, pp. field communication (NFC) technology. Wireless personal
6581, 2012. communications, 71(3):22592294, 2013.
[25] Z. Wang, Y. Liu, Y. Sun, et al. An energy-efficient heteroge- [49] . Link Labs. A comprehensive look at low power, wide area
neous dual-core processor for Internet of Things. In ISCAS, networks. Online: http://info.link-labs.com/lpwan, 2016.
pp. 23012304, 2015. [50] V. Shnayder, B.-r. Chen, and K. Lorincz, et al. Sensor net-
[26] S. Kim. Nested game-based computation ooading scheme works for medical care. In SenSys, pp. 314314, 2005.
for mobile cloud IoT systems. EURASIP Journal on Wire- [51] S. Kim. Wireless sensor networks for structural health mon-
less Communications and Networking, 2015(1):111, 2015. itoring. Msc, University of California at Berkeley, 2005.
[27] S. Li and L. Da Xu and X. Wang. Compressed sensing signal [52] L. Catarinucci, D. De Donno, L. Mainetti, et al. An iot-aware
and data acquisition in wireless sensor networks and Internet architecture for smart healthcare systems. IEEE Internet of
of Things. IEEE Transactions on Industrial Informatics, Things Journal, 2012.
9(4):21772186, 2013. [53] S. Coyle, K.-T. Lau, N. Moyna, et al. BIOTEX-Biosens-
[28] S. Qaisar, R. M. Bilal, and W. Iqbal, et al. Compressive ing textiles for personalised healthcare management. IEEE
sensing: From theory to applications, a survey. Journal of Trans. on Info. Tech. in Biomedicine, 14(2):364370, 2010.
Communications and Networks, pp. 443456, 2013. [54] F. Palumbo and P. Barsocchi and S. Chessa et al. A stigmer-
[29] K. Ma, Y. Zheng, S. Li, et al. Architecture exploration for gic approach to indoor localization using bluetooth low en-
ambient energy harvesting nonvolatile processors. In HPCA, ergy beacons. In Advanced Video and Signal Based Surveil-
pp. 526537, 2015. lance (AVSS), pp. 16, 2015.
[30] J. Kwong and A. P. Chandrakasan. An energy-efficient bio- [55] Understanding bitrates in video files. On-
medical signal processing platform. IEEE Journal of Solid- line: help.encoding.com/knowledge-base/article/
State Circuits, 46(7):17421753, 2011. understanding-bitrates-in-video-files, 2013.
[31] M. Ueki, K. Akeuchi, T. Yamamoto, et al. Low-power em- [56] G. Siantikos and D. Sgouropoulos and T. Giannakopoulos
bedded ReRAM technology for IoT applications. In Sympo- et al. Fusing multiple audio sensors for acoustic event detec-
sium on VLSI Circuits, pp. 108109, 2015. tion. In ISPA, 2015.

View publication stats

You might also like