Download as pdf or txt
Download as pdf or txt
You are on page 1of 2

IC DESIGN

Understand statistical static


timing analysis
By Rakesh Chadha the fast process models typically
and J. Bhasker correspond to the -3 corner con-
eSilicon dition for the inter-die variations.

Traditionally static timing analysis Local variations


(STA) is used to verify if a CMOS The local process variations, also
digital design can meet the tar- called intra-die device varia-
get speed at various process and tions, refer to process parameter
interconnect corners. In practice, variations which can differently
the worst-case slow or best-case affect devices on a given die.
fast process and operating corner The variations modeled here are
Figure 1: Variations in a global parameter.
conditions typically used dur- intended to capture the random
ing the STA correspond to the process variations within the die.
extreme 3 corners. This forces This means that a local paramete
overdesign, leaving a lot of mar- rmay have different values on the
gin on the table in terms of chip same die. For example, different
power, area and performance. As INV cell instances on a die may
a result, most of the manufac- see different local process param-
tured parts can operate at higher eter values. This can cause differ-
speed and dissipate much less ent instances of the same INV
power than the value supported cell to have different delay values
by the package design. even if other parameters such as
Statistical static timing analysis input slew and output loading Figure 2: Delay variations due to global and local variations.
(SSTA) helps address this problem, are identical. An illustration of
allowing the design team to make the variations in the INV cell delay combinations of variations in timing models are described in
tradeoffs in terms of performance caused by global and local varia- the interconnect space and thus terms of mean and standard de-
and the roll-off at the process ex- tions is depicted in Figure 2. models variations which may not viations with respect to process
tremes. An overview of the SSTA is Even with deterministic STA, be captured by analyzing only at parameters (global and local).
presented in this article. OCV (On-Chip Variations) analysis the specified interconnect cor- The interconnect resistances
is used to account for variations in ners. For example, it is possible and capacitances are described
Global variations timing due to local process, power that the launch path of a clock in terms of mean and standard
The global process variations, supply and temperature varia- tree is in METAL4 whereas the deviations with respect to inter-
also called inter-die device varia- tions. The statistical timing models capture path of the clock tree is connect parameters. The delays
tions, refer to the variations in utilized for SSTA normally include in METAL2. Timing analysis at the of each timing arc (cell as well as
the process parameters which the local process variations, thus traditional interconnect corners interconnect) are represented by
impact all devices on a die simi- the OCV analysis using statistical considers various corners which mean and standard deviations
larly. The models for the global timing models should not include vary all metals together and with respect to various param-
process variations are intended the local process variation in the thus can not model the scenario eters. Every delay is represented
to capture the variations from die OCV setting. where the METAL4 is at a cor- by its mean and standard devia-
to die. As an illustration, consider ner which results in max delay tions (with respect to indepen-
the variations of a global param- Variations in interconnect and the METAL2 is at the corner dent process and interconnect
eter value (say G_p1) shown in There are various interconnect which results in min delay. Such a parameters modeled statistically).
Figure 1. In practice, the G_p1 corners which represent the ex- combination corresponds to the Apart from delay, the variations
parameter may correspond to tremes in parameter variations worst-case scenario for the setup in the pin capacitance values at
device threshold for a standard of each metal layer affecting paths and can only be captured the cell inputs are also modeled
PMOS device. The parameter the interconnect resistance and by modeling the interconnect statistically. The statistical static
G_p1 being global, the PMOS capacitance values. These pa- variations statistically. timing analysis (SSTA) procedure
devices in all cell instances of a rameter variations are generally combines the delays of the tim-
die will correspond to the same the thicknesses of the metal, di- What is SSTA? ing arcs to obtain the path delay
value of G_p1. electric thickness, and the metal The modeling of variations de- which is also expressed statisti-
In the deterministic (that is, etch which affects the width and scribed earlier is feasible if the cally (with mean and standard
non-statistical) STA, the slow pro- spacing of the metal traces in timing for the cell and the inter- deviations). The SSTA maps the
cess models typically correspond various metal layers. The statisti- connect parasitics are modeled standard deviations with respect
to the +3 corner condition and cal approach models all possible statistically. This implies that the to the independent process

 eetindia.com | EE Times-India
and interconnect parameters
to obtain the overall standard
deviation of the path delay. For
example, consider the path delay
from two timing arcs as shown
in Figure 3. Since each delay
component has its variations, the
variations are combined differ-
ently depending upon whether
these are correlated or uncor-
related. If the variations are from Figure 3: Path delay comprised of variations in components.
the same source (such as caused
by G_p1 which track each other), the distribution correspond to -/+
the of the path delay is simply 2.576 of the distribution.
equal to (1 + 2). However, if Based upon the path delays,
the variations are uncorrelated, the SSTA reports the mean, stan-
the of the path delay is equal to dard deviation and the effective
sqrt(12 + 22) which is smaller minimum / maximum values
than (1 + 2). In practice, the (based upon the coverage of the
variations modeled fall in both distribution) of slack for each path
categoriescorrelated as well whereby the passing or failing can
as uncorrelated and thus the be determined based upon the
contributions from these types of required statistical confidence.
variations need to be combined Figure 4: Path slack distribution.
appropriately. Statistical timing libraries
The clock path delays for In the SSTA approach, the cell tion (nominal - 1, the other factured parts will have a timing
launch and capture clock are also libraries used in a design provide parameters being at nominal violation.
expressed statistically in the same timing models at various environ- value. With the statistical models for
manner. Based upon the data mental conditions. For example, the cells and interconnect, the sta-
and clock path delays, the slack the analysis at max Vdd and low SSTA results tistical timing approach analyzes
is obtained as a statistical variable temperature corner utilizes librar- The results from statistical analy- the design at corner environment
with its nominal value as well as ies which are characterized at this sis provide path slack in terms of conditions and explores the space
standard deviation. Assuming condition but the process param- its mean and corner values. The due to process and interconnect
normal distribution, effective eters are modeled statistically. For SSTA reports indicate whether parameter variations. For example,
minimum and maximum values N process parameters, a statistical the mean as well as the statistical a statistical analysis at worst-case
corresponding to (mean +/ - can timing library characterized at extremes of the path slack meet VT (Voltage and Temperature)
be obtained. The (mean -/+ 3 power supply of 1.32V and -40C the requirements. would explore the entire global
corresponds to coverage of 99.73 may include the following: An example of the path slack process and interconnect space.
per cent of the distribution which Timing models with nominal distribution is illustrated in Figure Another statistical analysis at
means that only 0.135 per cent of process parameters, plus the 4. The path slack has a mean value the best-case VT (Voltage and
the resulting distribution is smaller following with respect to of +0.72ns with 0.28ns standard Temperature) would also explore
than the (mean - 3) value; similarly each of the process param- deviation. Assuming -/+3 cov- the entire process and intercon-
only 0.135 per cent of the distribu- eters. erage, the effective minimum nect space. These analyses can
tion is larger than this (mean + 3) Timing models with respect value has a violation by 0.12ns be contrasted with the traditional
value. The designer can choose to to one parameter with varia- - path slack minimum is -0.12ns. corner analysis at worst-case (or
cover smaller (or larger) proportion tion (nominal + 1, the other If +/- 2.576 coverage is selected, best-case) PVT each of which
of the distribution based upon the parameters being at nominal the statistical distribution meets explore a single point of PVT and
statistical signoff being smaller (or value. the requirement (no negative interconnect.
larger) than the 3. For example, Timing models with respect slack). The +/- 2.576 coverage im-
99 per cent of the coverage of to one parameter with varia- plies that only 0.5% of the manu-

 eetindia.com | EE Times-India

You might also like