Imp Must Study

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 97

U11IC FILE COPY

M National Deense
Defence nationale

Q
00

AN IFCLUTTER SIGNAL GENERATOR FOR


THE DREO EW ENGAGEMENT SIMULATION FACILITY
by

Geoffrey A. Wardle DTIC


ELECTE
MAY 14 l 9
?U

D BON~Q SqT.7Eit r
APProved for public rejeoel
Dl~tibution UnLimited

DEFENCE RESEARCH ESTABLISHMENT OTTAWA


REPORT NO. 956

December 19M6
Canada" Ottawa

87 5 13 234
I National Detense
Defence nationale

AN IFCLUTTER SIGNAL GENERATOR FOR


THE DREO EW ENGAGEMENT SIMULATION FACILITY
by

Geoffrey A. Wardle
Radar CountermeasuresSection
Electronic Warfare Division

!.

DEFENCE RESEARCH ESTABLISHMENT OTTAWA


REPORT NO. 956

PCN December 1986


041LD12 Ottawa
ACKNOWLEDGEMENTS

The author expresses his gratitude to both Prof. B. Syrett of Carleton


University and Dr. W. K. McRitchie of DREO. Their encouragement, suggestions
and criticisms were of great value. Also, the suggestions of Mr. J. Nielsen
regarding the hardware design are gratefully acknowledged, as is his
implementation of the 0/1800 SPDT circuit.

Accesoi For
NTiS
OTIC TAB
CRA&I
i
%
U a c,-3. :i-c
d _]
B . t... ... .........
...............
.iB y ..............................
........

Di-t ib,tO:

Di-i

S- iii -
I iN
ABSTRACT

This report describes the implementation of an intermediate frequency


(IF) radar clutter generator. The generator provides signals for the
monopulse tracking radar which forms part of the Electronic Warfare Engagement
Simulation Facility at the Defence Research Establishment Ottawa. Land
clutter signals with log-normal, Weibull and exponential spatial amplitude
distributions are produced, as well as exponential sea clutter signals.
Fluctuating clutter from wind blown scatterers is modelled using narrowband
amplitude modulation. Clutter induced angle tracking errors are also
represented. The report describes the major clutter mechanisms relevant to
noncoherent radars in low grazing angle scenarios. The hardware and equations
used in modelling are described, and the report presents envelope detected
waveform plots and histograms. This work has applications in accurate
modelling of radar performance in low-altitude engagements

SOMMAIRE

Le present rapport dcrit l'implantation d'un g~nrateur de clutter radar


en fr~quences interm~dialres (FI). Le ggn~rateur produit des signaux destines
au radar de poursuite monopulsd qui fait partie int6grante de l'installation
de simulation des engagements de guerre glectronique du Centre de recherches
pour la defense Ottawa. Le g~n~rateur produit des signaux de clutter de terre
selon une distributin normale logarithmique une distribution de Weibull et une
distribution d'amplitude spatiale exponentielle, ainsi que des signaux de
clutter de mer exponentiels. Le clutter variable dO aux diffuseurs
transport~s par le vent est mod~lis6 par modulation d'amplitude en bande
ftroite. Les erreurs angulaires de poursuite sont aussi reprfsent~es. Le
rapport d~crit les principaux processus de clutter des radars non coh~rents
selon des scenarios de faibles angles d'incidence. Le texte dcrit le
materiel et les equations de moddlisation et contient les histogrammes et
graphiques des formes d'ondes d~tect~es par enveloppe. Le r~sultats de ces
travaux peuvent 6tre appliques A la modglisation fidgle de la performance
radar dans le cadre d'engagements faible altitude.

i -- V -
TABLE OF CONTENTS

Page

ACKNOWLEDGEMENTS....................
.. .. ......
. . ... .. .. . ....

ABSTRACT/RESUME .........................

TABLE OF CONTENTS. ........................... vii

LIST OF TABLES. ............................ xi

LIST OF FIGURES .. .......................... xiii

LIST OF IMPORTANT SYMBOLS. ....................... xix

GLOSSARY ................................ xxv

1.0 INTR.ODUCTION ..............................

1.1 The DREO EW Engagement Simulation Facility. .. ........... 1


1.2 Need for a Clutter Simulation .. .................. 4
1.3 RF Transmission Versus IF Injection .. ............... 5

2.0 CLUTTER. ...............................

2.1 Radar Cross Section of Distributed Targets .. ............ 8


2.2 Land Clutter Generation Requirements .. ............... 14
2.3 Sea Clutter Generation Requirements. ................ 17

3.0 CLUTTER SIGNAL GENERATION. ..................... 21

3.1 Hardware Overview. ......................... 21


3.2 IF Components .. ................... . . 24
3.3 Clutter Controller

3.3.1 Antenna Gain, E andA el Modulation. ............. 32


3.3.2 Doppler Modulation. ..................... 32

4.0 CLUTTER MODELS .. ......................... 37

4.1 Introduction .. ........................... 37


4.2 Detailed Land Clutter Model . .. .. .. .. .. .. .. .... 37
4.3 Generic Land Clutter Models. .................... 44

-vii-
TABLE OF CONTENTS (cant.)

Page

4.3.1 General .. .. ......... .............. 44


4.3.2 Log-normal Model .. .................... 46
4.3.3 Weibuli Model. .. ....................... 46
4.3.4 Exponential Model. .. ................... 48

4.4 Sea Clutter Model .. ....................... 48

5.0 EXPERIMENTAL RESULTS. .. ..................... 52

6.0 CONCLUSION. ............................ 62

REFERENCES ............................... 62

APPENDIX A: CLUTTER CONTROLLER MEMORY RELATED CIRCUITRY .. ..... A-1

APPENDIX B: DOPPLER OFFSET RELATIONSHIPS .. .............. B-1

-ix-
LIST OF TABLES

Page

TABLE 1. ATTENUATOR SPECIFICATIONS .. ................ 27

TABLE 2. PARAMETERS DEFINING TERRAIN REGIONS .. ........... 39

TABLE 3. GROUND BASED RADAR PARAMETERS .. .............. 40

TABLE 4. TRS COMPONENT GAINS .. ................... 42

TABLE 5. PARAMETER VALUES USED IN a~ied EQUATIONS. ......... 51

TABLE 6. MISSILE BORNE RADAR PARAMETERS. .............. 50

TABLE 7. RUN MODE TIMING DATA .. ................. A-8

TABLE 8. SEA CLUTTER DOPPLER OFFSETS FOR SEA-STATES 1 TO 5. . B-i


LIST OF FIGURES

Page

FIGURE 1 THE DREO ELECTRONIC WARFARE ENGAGEMENT


SIMULATION FACILITY ......... .................. 2

FIGURE 2 THREAT RADAR SIMULATOR BLOCK DIAGRAM ..... .......... 3

FIGURE 3 ANECHOIC CHAMBER ANTENNA ARRAY


DIMENSIONS (AS VIEWED FROM RADAR) ..... ........... 6

FIGURE 4 TRS RECEIVER DIAGRAM ......... .................. 7

FIGURE 5a) REFLECTION FROM A POINT TARGET ...... ............. 10

FIGURE 5b) REFLECTIONS FROM A DISTRIBUTED TARGET .... ......... 10

FIGURE 6a) SIDE VIEW OF LOW GRAZING ANGLE GEOMETRY .. ........ . 12

FIGURE 6b) PLAN VIEW OF LOW GRAZING ANGLE GEOMETRY .. ........ . 12

FIGURE 7 COMMON DISTRIBUTIONS USED IN CLUTTER MODELLING ....... 15

FIGURE 8 LAND CLUTTER VARIATION WITH PULSEWIDTH ........... ... 16

FIGURE 9 CLUTTER SIGNAL GENERATION SYSTEM .... ............ ... 22

FIGURE 10 IF COMPONENTS ........ ..................... .. 25

FIGURE lla) ZEM-2 SCHEMATIC ....... .................... ... 26

FIGURE lib) EQUIVALENT CIRCUIT WHEN USED AS AN ATTENUATOR. ..... ... 26

FIGURE 12 ZMAS-3 ATTENUATION MEASUREMENTS ... ............ ... 28

FIGURE 13 ZEM-2 ATTENUATION MEASUREMENTS .... ............ . 28

FIGURE 14 CLEAN SPECTRUM PRODUCED BY ZEM-2


CASCADED WITH K & L TUBULAR FILTER ... ........... ... 29

FIGURE 15 APG-502 MONOPULSE ANTENNA MEASUREMENTS


WITH APPROXIMATING FUNCTION .... .............. ... 31

FIGURE 16 MEMORY SUBSECTIONS ADDRESSED BY RADAR COORDINATES . . . 33

- xiii -

~ ~ .~, .*
LIST OF FIGURES (cont.)

Page

FIGURE 17 DOPPLER MODULATION ATTENUATOR CONTROL CIRCUITRY . ... 35

FIGURE 18a) DOPPLER MODULATION (TIME DOMAIN).... ............ ... 36

FIGURE 18b) DOPPLER MODULATION (FREQUENCY DOMAIN) .. ......... ... 36

FIGURE 19 RADAR SEARCH SECTOR SHOWING TERRAIN TYPE DEFINITIONS.. 39

FIGURE 20 PLOT OF ANTENNA GAIN EQUATION .... ............. ... 45

FIGURE 21 EQUATIONS USED TO MODEL a 0 med GRAZING


ANGLE DEPENDENCE ........ .................... ... 51

FIGURE 22a) SEA SURFACE ARRAY COVERAGE ..... ............... ... 53

FIGURE 22b) INTERMEDIATE RESOLUTION CELL..... .............. ... 53

FIGURE 23a) LOG-NORMAL CLUTTER AMPLITUDE HISTOGRAM ........... .. 54

FIGURE 23b) EXPONENTIAL CLUTTER AMPLITUDE HISTOGRAM .......... .. 54

FIGURE 23c) WEIBULL CLUTTER AMPLITUDE HISTOGRAM ... ............ 55

FIGURE 2 4 a) GENERIC LAND CLUTTER--LOG-NORMAL MODEL ............ .. 57

FIGURE 24b) GENERIC LAND CLUTTER--LOG-NORMAL MODEL ............. 57

FIGURE 25a) GENERIC LAND CLUTTER--WEIBULL MODEL ... ............ 58

FIGURE 25b) GENERIC LAND CLUTTER--WEIBULL MODEL ... ............ 58

FIGURE 26a) GENERIC LAND CLUTTER--EXPONENTIAL MODEL ............ 59

FIGURE 26b) GENERIC LAND CLUTTER--EXPONENTIAL MODEl ............... 59

FIGURE 27a) DETAILED LAND CLUTTER ...... ................. ... 60

FIGURE 27b) DETAILED LAND CLUTTER ...... ................. ... 60

- xv -

V
LIST OF FIGURES (cont.)

Page

FIGURE 28a) SEA CLUTTER ........ ...................... . 61

FIGURE 28b) SEA CLUTTER ........ ...................... . 61

FIGURE 29 CONTROLLER INPUT AND OUTPUT DATA PATHS ............. A-2

FIGURE 30 CLUTTER CONTROLLER INPUT LATCHING ARRANGEMENT .. ..... A-3

FIGURE 31 CLUTTER CONTROLLER MODE CONTROL LOGIC .. ......... .. A-4

FIGURE 32 CLUTTER CONTROLLER RANGE COUNTER CIRCUITRY ... ....... A-6

FIGURE 33 CLUTTER CONTROLLER ADDRESS LINE LATCHES


AND BUFFERS ........ ...................... ... A-7

FIGURE 34 ..
CLUTTER CONTROLLER--RUN MODE TIMING DIAGRAM ........ A-8
FIGURE 35 CLUTTER CONTROLLER A/Ds USED FOR MEMORY

ADDRESSING ......... ....................... ... A-10

FIGURE 36 CLUTTER CONTROLLER E search MEMORY ... ........... .. A-Il

FIGURE 37 CLUTTER CONTROLLER E track, Efa/search,


2 fa/track AND ROM MEMORIES ..... .............. .. A-12

FIGURE 38 CLUTTER CONTROLLER A EL AND ANTENNA


GAIN MEMORIES ........ ..................... ... A-13

FIGURE 39 CLUTTER CONTROLLER OUTPUT D/As .... ............. .. A-14

- xvii -

jj ~ ~ ~ i-...xWu
F ~ N
LIST OF IMPORTANT SYMBOLS

A - element of surface area

Ac - physical area of clutter resolution cell

Ar - receive antenna effective aperture area

C - conversion factor relating Pdel to Pr

8
c - the speed of light, equal to 3.0x10 m/s

DIFF - difference between Ain,ss and


max,ss

fclut - RF clutter signal used in TRS receiver experiment

fd - doppler frequency

fdpv - doppler shift due to platform velocity

fdss - doppler shift due to sea-state

ft - transmit frequency

Sftgt - RF target signal used in TRS receiver experiment.

Gt - transmit antenna gain

G~l( )- approximating function for 1el relative to T versus


normalized clutter off-axis angle

G(Gn) - antenna gain expressed as a function of off-axis angle

h - antenna height

Pdel - power delivered by clutter generator to TRS receiver

Pr - received power

Pt - peak transmitted power

PwPe - received power for (respectively) Weibull and exponential


models

Pwmed,- median received power for (respectively)


Pemed Weibull and exponential models

- xix -

%% *
LIST OF IMPORTANT SYMBOLS (cont)

PwnPen - normalized received power for (respectively) Weibull and


exponential models

R,Rmin,/ - general case, minimum, and maximum


Rmax range, respectively.

Re - effective earth radius. Re= 8 50 0 km, which is 4/3 the real


value.

t - time

V - platform velocity

v - detector output voltage

vmed - median detector output voltage

vn - normalized detector output voltage

W0 - eight bit control word for the antenna gain D/A

W- eight bit control word for the Z D/A

Weibull parameter

,A - monopulse difference signal (general case)

Aaz,Ael - monopulse azimuth and elevation difference signal,


respectively

X - radar transmit wavelength

9- clutter off-axis angle normalized to (ebel/6.0), the ratio


of the simulation elevation beamwidth to the TRS's elevation
beamwidth.

9baz - antenna azimuth beamwidth

'bel - antenna elevation beamwidth

ed - depression angle

-xxi-
LIST OF IMPORTANT SYMBOLS (cont)

Oel - antenna elevation look angle

en - clutter off-axis elevation angle

- gfazing angle, defined as the angle between the local


horizontal at the reflecting surface and the radar beam
direction

0 - target radar cross-section

- radar differential cross-section of a clutter resolution cell

o average value of uO
s - maximum published value of o for a given sea-state

a0ed - median value of ao

0in,ss - minimum published value of aO for a given sea-state

- monopulse sum signal

T - pulsewidth

-xxiii -

0
GLOSSARY

A/D analog-to-digital converter


AM amplitude modulation
BPF band-pass filter
CFAR constant false alarm rate
CW continuous wave
D/A digital-to-analog converter
ECCM electronic counter-countermeasure
ECM electronic countermeasure
EWESF Electronic Warfare Engagement Simulation Facility
FIR finite impulse response
IF intermediate frequency
LNA low noise amplifier
LO local oscillator
MTI moving target indicator
pdf probability density function
PRF pulse repetition frequency
PRI pulse repetition interval
RAM random access memory
RF radio frequency
ROM read only memory
SPDT single pole double throw
STC sensitivity time control
TRS Threat Radar Simulator
TTL transistor transistor logic
T1T travelling wave tube
VCXO voltage controlled crystal oscillator

- xxv -

IN~ Z%1
1.0 INTRODUCTION

1.1 DREO EW Engagement Simulation Facility

One of the primary objectives of the Radar Countermeasures Section of


DREO's Electronic Warfare Division is to investigate new electronic
countermeasure (ECM) techniques. Also of interest is the effectiveness
assessment of current ECM equipment and techniques. To aid in meeting these
objectives a hardware EW Engagement Simulation Facility (EWESF) has been
developed with which radar engagement scenarios can be realistically modelled
in real time. The EWESF consists of a Threat Radar Simulator (TRS), microwave
anechoic chamber, and target/jammer generation equipment, as shown in Fig. 1.
Target and chaff returns plus jamming waveforms are generated under the
control of the ECM Simulator computer. A timing pulse from the radar
indicates zero range. Delay circuitry is used to generate the low level
return pulses at the correct range. If appropriate, actual jamming hardware
can be incorporated into the loop. Signals are radiated at RF through the
anechoic chamber via three arrays of dipole antennas to the TFS at the other
end of the chamber. By monitoring the performance of the radar simulator, the
effectiveness of the ECM can be assessed.

The TRS is a complete tracking radar receiver which tracks target echoes
in azimuth, elevation and range. A high power transmitter is not
required--instead the low power return signals plus the local oscillator are
derived from a common synthesizer using a phase locked loop arrangement.
Rather than replicating individual threat radars with dedicated hardware, the
distinguishing characteristics of a number of threat systems can be
incorporated. This is facilitated by modular design and use of digital,
programmable circuitry. The TRS can be configured to model a wide range of
terminal threat systems such as active missile seekers, and fire control
radars for air interceptors, surface-to-air missiles, and anti-aircraft
artillery.

Fig. 2 is a block diagram of the TRS. The radar simulator employs a


three channel monopulse antenna and positioner. The three channels are
multiplexed onto two downconversion channels, detected, and processed to
produce a sum signal (M) for range tracking and display and difference signals
(Aaz and Ael) for angle tracking. Monopulse antennae typically have four
horn feeds which result in four squinted beams [i]. Radiation arriving along
the axis of symmetry of the antenna produces equal amplitudes in the beams,
while off-axis radiation causes an imbalance. From imbalances in horn pairs,
azimuth and elevation difference signals are derived. The sum of the signals
in the four horns is the Z signal. The sum signal can be digitized and
processed using MTI (moving target indicator) and CFAR (constant false alarm
rate) techniques. The digital output can be range tracked and also converted
to an analog signal for display.
ANECHOIC
CHAMBER

ARRAY
CONTROL

TARGET ECM DISPLAY


JAMMER SIMULATOR
H COMPUTER TERMINAL

FIGURE 1: THE DREO ELECTRONIC WARFARE ENGAGEMENT


SIMULATION FACILITY

K\

,z'r 3~~ Y'


AG
TARGET RF RF/L

TA-

TARGE

MARKER
LO

AONTLENN 3- ON SIGNAL

A NT A C N E TR C N E T R DEMUX.

HAND
\\

POSITION F.8. HOS ECM


IANALOG
SIMULATOR
.4DIOMPUTE
COMPUTER C M U E
RATE F..

I FIGURE 2: THREAT RADAR SIMULATOR BLOCK DIAGRAM

, " ,If
111.11
11111 = ..
.,-~
=I I
-4-

1.2 Need For a Clutter Simulation

Typically, fire control radars must be capable of detecting and tracking


targets while receiving unwanted reflections (clutter) from the surrounding
land or sea surface. To cope with clutter, a variety of processing schemes
have been developed. These include adaptive thresholding, coherent and
noncoherent moving target indication (MTI), and pulse doppler processing.
While each of these techniques is highly effective, radar performance is still
degraded by clutter, particularly when low elevation angle targets are
present. At low angles clutter returns are often much greater than the target
return, causing a severely degraded probability of detection. If the target
is detected and track is initiated, the range gate can transfer lockon to the
clutter. Also, clutter existing in the range gate can cause an angle error
signal that can interfere with target angle tracking. Any radar engagement
simulation that realistically models radar performance at low angles must
therefore include clutter.

Additional motivation for this work stemmed from the inclusion in the TRS
of noncoherent MTI. This processing scheme uses a finite impulse response
(FIR) filter to cancel out non-fluctuating returns. Because a moving target
has a doppler shift, a beat frequency develops in the radar receiver when its
echo is received coincidentally with non-doppler shifted clutter. Hence the
target fluctuates and is passed through the filter, whereas the clutter is
cancelled. Because this scheme relies on clutter as a reference signal, it
will actually cancel moving target returns when clutter is not also received.
In order to make use of the TRS's MTI capability, a clutter simulation was
therefore required.

A third motivating factor for a clutter simulation was the desirability


of gaining insight into how clutter affects the numerous processes of a
monopulse radar. These processes include the derivation of angle error
signals from the RF signals incident on the monopulse antenna, the computation
of a detection threshold, range tracking, and auto acquisition or
re-acquisition. This insight allows one a greater appreciation of the
problems of a radar designer, the typical solutions or "fixes", and the
penalties incurred by these fixes when the radar operates in an environment
different than that for which the fix was intended. This insight is
invaluable in radar electronic countermeasure (ECM) research, where exact
signal processing arrangements of hostile radars are generally unknown.
Accurate simulation of 'iese radars depends heavily on the application of the
same radar principles that were applied in the design of the hostile radar.

The project goal is to design and implement a clutter signal generator


for use in the EWESF. Rather than radiate RF clutter signals in the anechoic
chamber, a simpler yet more versatile option--the Injection of signals into
-5-

the TRS receiver at IF--is discussed. The measurements that validated this
approach are described. Principal clutter mechanisms are identified. These
mechanisms are relationships between environmental or radar parameters (ie.
windspeed or polarization) and clutter characteristics, (ie. amplitude
probability density function or spectral width). The effect of these
characteristics on various configurations of the TRS is assessed, and those
essential for a clutter simulation are identified. Specifications for the
generator are stipulated. The final hardware design is documented, as is its
rationale. Models for land, sea, and generic clutter are described in
detail. Finally, plots of envelope detected output waveforms are presented,
as are amplitude histograms.

1.3 RF Transmission Versus IF Injection

Initially, dedication of one of the anechoic chamber dipole arrays to the


generation of clutter echoes appeared to be the obvious approach to
implementing clutter. An advantage to this RF transmission approach was that
it would have allowed target and clutter signals to combine in the monopulse
antenna, allowing observation of the effect of clutter on angle tracking
performance. There were, however, some disadvantages.

One disadvantage was the difficulty in modelling clutter's elevation


angle of arrival variation with range. The EWESF feed structure applies a
common signal to all dipole elements in an array. Individual elements can be
turned on or off under computer control but only at a maximum rate of 30 Hz.
High speed switching of the array dipole elements would have been required to
replicate a range (time) dependence of elevation angle of arrival. This would
have required additional computing capacity and software. Even if high speed
switching had been feasible, the angle of arrival could only have been
represented crudely. Dipole separation in each of the arrays is 1.10.

A further disadvantage of RF transmission was the narrow azimuth sector


over which clutter could be made available. Fig. 3 indicates the angular
coverage of the three overlapping dipole arrays installed in the anechoic
chamber. While these angular ranges are considered sufficient for target
generation, they are inadequate for the simulation of clutter seen by typical
radars scanning over large azimuth sectors. It was desired that clutter be
made available over the entire 86 azimuth search sector of the TRS antenna.

To transmit clutter returns at RF, one array would have to be dedicated


to this purpose, preferably the 8x8 or 16x16. The dedication of either to
clutter genetdtion would have severely limited the EWESF's ability to model
multiple target scenarios.

Because of the problems associated with RF transmission, the feasibility


of injecting IF clutter signals into the TRS receiver was investigated. The
approach is illustrated in Fig. 4, which shows the TRS receiver with power
combiners inserted to couple in two clutter signals, one for each receiver

KX W- -r f
6-

17.8
Z&

16-16 -
w
AZIMUTH

FIGURE 3: ANEFCHOIC CHAMBER ANTENNA ARRAY DIMENSIONS (AS VIEWED FROM RADAR)

A
P"
l lJ 1 1 ll I I . 1
~~~ II I
7 -

00
a:

0~

zz

-4 -4
m 0 w

4-4
Q)
4J

c4J

u0 :
-J "

M M: C6

w WCOm
a) Q
channel. Two obvious advantages were that an array would not be required and
that the clutter simulation could be made to extend over the full 86' azimuth
search sector. An apparent disadvantage was that the effect of the clutter on
the monopulse angle tracking process would not be represented. However, it
was felt that this could be overcome by developing hardware that produced an
amplitude imbalance between the two IF clutter signals proportional to
the el component that real clutter would induce into the receiver. The
remaining objection was that any effects occurring in the single pole double
throw (SPDT) PIN switch, low noise amplifiers (LNAs), hybrid coupler, mixers
and preamplifiers that precede the IF section would not be represented.
Measurements were then carried out to ensure that these effects were
negligible.

The SPDT PIN switch, low noise amplifiers and hybrid coupler were not
expected to cause any measurable effects provided LNA saturation did not
occur. An experiment was designed to identify possible mixer and preamplifier
effects. Receiver outputs were measured for two conditions: 1) two
simultaneous RF inputs (target and clutter) applied to the PIN attenuator, and
2) one RF input to the PIN attenuator and one IF input to the power combiner
at the preamp output. All signals were continuous wave. Comparisons revealed
no differences in receiver outputs over the range of signal power and doppler
frequencies likely to be encountered. (IF and video output spectra were
examined.) The exception was that two continuous wave equal power RF inputs
fclut and ftgt separated by a simulated doppler frequency fd of a few
kHz or tens of kHz caused spurious components at fclut+nfd and
ftgt-nfd. The spurs were visible only when input power levels were within
5 B of the maximum allowable level, which is defined here as the level
causing the preamp to operate at its 1 dB compression point. As the spurs
were 50 dB less than the desired signals, they were regarded as
inconsequential. The spurs were likely third order intermodulation products,
as they did not exist when only one RF input was present.

The measurements indicated that the receiver would produce comparable


outputs whether the clutter signal was delivered by the antenna at RF or
injected at IF. Development of an IF clutter generator was therefore feasible.

2.0 CLUTTER

2.1 Radar Cross Section of Distributed Targets

Two targets separated by less than the 3 dB antenna beamwidth in angle or


by less than a pulsewidth in range cannot be resolved by a noncoherent radar
such as the TRS. In typical clutter models for noncoherent radars the
environment is modelled using a grid (in polar coordinates) of resolution

I I II r -,%-W,
P r-.-. N
- 9 -

cells with these dimensions. Objects small relative to the size of a


resolution cell can be defined as point targets and objects large relative to
a cell can be defined as distributed targets, as in [2]. The land or sea
surface surrounding the radar can be regarded as one massive distributed
target whose echo can be modelled on a cell by cell basis.

While a point target reflects a pulse back to the radar as illustrated in


Fig. 5a), an extended target reflects power in such a way that individual
pulses are not generally visible. In Fig. 5b) the signal at t=T is a
summation of power reflected from the portion of the distributed target lying
between RM4IN and RMAX and antenna beamwidth limits. This resolution cell
causes a power density at the radar antenna in a similar manner to a point
target, and can therefore be assigned a radar cross section. Skolnik [31
defines the radar cross section of a target as "the (fictional) area
intercepting that amount of power which, when scattered equally in all
directions, produces an echo at the radar equal to that from the target; or in
other terms,

- power reflected toward source/unit solid angle

incident power density/4-

lim 4TR2 ErJ2


E.

where R=distance between radar and target, Er=reflected field strength at


radar, and Ei=strength of incident field at the target. Once a value of a
has been assigned received signal power Pr can be computed using the radar
range equation:

Pr PtG, A (2)
r -tt r2
(4-R2)

where Pt watts are transmitted from an antenna of gain Gt and effective


aperture area Ar.

As indicated above, the resolution cell's reflectivity determines its


cross section. If the land or sea reflectivity is assumed constant in the
cell vicinity, Z becomes directly proportional to cell area, which is
determined by the radar's pulsewidth and antenna beamwidth. In [4],a 0 is
defined as the differential scattering cross section, and is a coefficient
independent of these parameters. Use of 00 implies that clutter return is
contributed to by a large number of scattering elements whose phases are
-10-

W (D NOISE

wo
t 0 t =T t T+ r

FIGURE 5a): REFLECTION FROM A POINT TARGET

Obaz PLAN VIEW

RADAR Mi -7-~

RESOLUTION CELL

oJa
cc >

FIGURE 5b): REFLECTIONS FROM A DISTRIBUTED TARGET


Here: RMIN(c/2)(T-T) and RAX(c/2)T.
c=3.0 x 10 m/s, T and T are in seconds and
~MIN
ad RAX are in metres. ea r
antenna azimuth beamwidth.
- 11 -

independent. If a region illuminated at a given instant by a radar contains n


scattering elements the radar equation can be written as:

n Pti Gti Ari'i


P (4'R)2

Pti GtiA ri i/ Ai)-Ai


(4 2 (3)

where nAi is an element of surface area and where Pti, Gti, Ar are
radar parameters appropriate for an element at the location of A Ai ando i
is the radar cross-section of the ith scatterer. The quantity (ai/A Ai )
is the incremental scattering cross-section for element i. The average value
of this quantity can be represented by Jo. Average power is given by:

-
= i r
PtiGtiAri i (4)
" r (4 iR2)2

In the limit we can write:

P 1 PrGtArOdA (5)
(47) 2)

illuminated area

which is a valid relationship if the illuminated area is large enough to


contain many independent scatterers [3].*

The term grazing angle is commonly used to denote the angle between the
local horizontal at the reflecting surface and the radar beam direction [5,
p.3 0]. The low grazing angle case encountered by a surface based radar or
sea-skimming missile seeker was of primary interest to the author. In this
case the area of the illuminated resolution cell increases with range. This
effect is illustrated in Fig. 6b), where the far resolution cell has

*Over small illuminated areas, such as those of dimensions comparable to


individual scatterers, the concept of an average value of (a /AAi) is
meaningless.

IIJl1 I 11 IF .1 WV~'.
-:fH .,
- 12-

TO RADAR

N. Cr
T .T,
0

r.
1' REFLECTING
A SURFACE

L~ssec

IGUPE 6a): SIDE VIEW OF LOW4 GRAZING ANGLE GEOMETRY


is pulsewidtl:., d, is grazing angple.

Obz R sinl 0 baz FAR


4'RADAR R RESOLUTION
CELL
INEA

RESOLUTION CELL

FIGURE 6b): PLAN VIEW OF Low GRAZING ANGLE GFOMETRY


R~ Is rangep to centre of cell, ~~'I aa
antennai az.imuth heamwidthi.
- 13 -

approximately double the area of the near resolution cell. From the figure,
the area of each cell is ( e' sec )(R sin ebaz), where T is the
pulsewidth, i is the grazing angle, R is the range to the centre of the cell,
and e baz is the radar antenna azimuth beamwidth. This increase in
illuminated area with range results in the following radar range equation [31:

P PtG22sineba(cT/2)sec i oO (6)
3
(41 )3R

This relationship is valid to the radar horizon for land clutter, and to
the range at which the critical angle occurs [4] for sea clutter. (Beyond the
critical angle clutter power decreases much more quickly than R - 3 , as
discussed in section 2.3.)

Because of its noiselike properties, clutter lends itself to description


using statistical terminology. Clutter can be regarded as an RF signal
described by a sequence of instantaneous power Pr values. To characterize
it the sequence can be viewed as having been generated by a random process.
Clutter can then be characterized by a probability density function (pdf) with
mean and standard deviation. Real clutter can be recorded and then analysed
to determine what random process would produce a signal with similar
characteristics. Equation (6) indicates Pr has a a* dependency. Because it
is independent of radar parameters, clutter models in the literature generally
refer to the distribution ofa * [6]. In this report 7 0 will denote the
distribution mean and a* will denote a specific value corresponding to a given
resolution cell.

A Gaussian random process can be used to model physical phenomena in


which the observed random variable at a particular instant of time is the
result of a large number of individual random events [7]. The mathematical
justification for this is the central limit theorem. The electromagnetic
field produced at the antenna by the clutter return in a particular resolution
cell is the vector sum of independent scatterers. If the scatterers are each
of equal magnitude but of phase randomly distributed over 0 to 2 Tr,both in
phase and quadrature components of the composite signal will have Gaussian
distributions. The voltage of such a signal has a Rayleigh shaped
distribution and it's power is exponentially distributed [21. Hence to
specify for modelling purposes the return power corresponding to the
resolution cell, if no information about the cell (such as the number or size
of scatterers) is known a priori, a random process governed by an exponential
distribution can be used to generate a*. Knowing the area of the cell
equation (6) will provide a value of received power Pr" If we define the
clutter power in a discrete manner with one value for each cell, this
procedure can be repeated for all cells to produce a simulated clutter power
envelope. The envelope will have an exponential spatial amplitude
distribution.
- 14! -

2.2 Land Clutter Generation Requirements

The Rayleigh (exponential power) land clutter model has been employed
widely in MITI analyses, and it is applicable for grazing angles above 5
degrees [8]. However, for lower grazing angles encountered by ground based
radars the Weibull [9,10,111 and the log-normal [9,5] distributions have been
used. The three distributions are shown in Fig. 7. The log-normal
distribution has the longest tail and is therefore the most severe of the
three, ie. it most greatly limits the radar's ability to detect and track
targets. The deviation from Rayleigh statistics has been attributed to
shadowing and the presence of occasional strong reflectors [2]. Both these
factors become increasingly important as the transmit pulsewidth is narrowed
and terrain features are resolved. Because clutter was required for radar
pulsewidths ranging from 0.2 to 2.25 ;s, the clutter generator had to be
capable of producing all three clutter types.

The land surrounding a typical ground-based radar is composed of patches


of different terrain types, such as forested areas separated by farmland.
Within a patch, , varies from cell to cell in a manner determined by a
0

particular distribution.* Different patches may be described by different


distributions, or, as in the model described in chapter 4, by a common
distribution with an individual mean and standard deviation for each patch.
Clutter returns from terrain of varied composition may be described as
nonhomogeneous. Homogeneous clutter returns are returns from the same terrain
type, such as trees or grass, and have a unique o" and standard deviation.
These should not be confused with spatially uniform clutter returns, which
have zero standard deviation 1121.

Ground clutter commonly has gaps where little or no signal is present.


This is caused by shadowing due to prominent terrain features such as hills or
man-made structures. Shadowing results in groups of resolution cells with
little or no incident energy. These consequently reflect negligible energy
hack to the radar. An ability to represent shadowed areas was required for
the clutter generator.

An important property of ground clutter is that it's spatial


characteristics are dependent on the radar's pulsewidth. This is evident in
Fig. 8, where individual patches and shadowed areas become resolved as the
width decreases. In a fire control radar the pulsewidth is
commonly narrowed as the system changes from the search to the track mode, in
order to Increase range resolution. The increased resolution aids in accurate
weapons delivery and also reduces target masking by clutter. A capability of
separate clutter waveforms for search and track modes was a generator
requirement. An ability to produce finely structured waveforms with narrow
peaks and troughs was also required, particularly for narrow pulsewidth
cases. A survey of available memory and D/A devices revealed that a 0.2 js
waveform update period was a realistic design goal.

*Wl,.re patches are extremely small (only a few cells) it mav he unclear
what the approprIate distribution is. However, the terrain comprised of these
patches can be assigned a single pdf.

"A
-16-

1 kmn (a) 3.2 psec PULSE 10 kmn

w
a
D-
a.

1 km (b) 0.8 MAsec PULSE 10 km

1 kmn (c) 0.2 Msec PULSE 10 kmn

FIGURE 8: LAND CLUTTER VARIATION WITH PULSF1WIDT11


Reproduced from [51.
-15-

P(vn) 1 1n )17)

2
LOG-NORMAL v
VIF 1 \(Vmd

(St. deviation where a = standard deviation of IncP


of 0= 5dB)

Vt'

P(v n axp-12---
In2(8
Vx

WE [IIU LL L -'d

2. 5)2.Z

* 3.5
Vt'

- Equation same as Weilbull


EXPONENTIAL,
=1. 0)

FIGURE 7: COMMON DISTRIBUTIONS USED IN CLUTTER MODELLING


- 4 vn is normalized detector output voltage=v/vmed,
where vmed is the median voltage, defined here as
1 V. A square law detector is assumed, where vkPr.
- 17 -

Above discussions have dealt with the spatial amplitude characteristics


of the clutter signal. When clutter contains wind-blown scatterers such as
trees, the composite return from a resolution cell will fluctuate due to the
vector addition of reflected signals, some of which are doppler shifted. This
fluctuation limits MTI clutter cancellation. For a given target locatioa and
known value of F* clutter fluctuation directly affects the local false alarm
rate and probability of detection [131. Ground clutter is generally assumed
to have a Gaussian shaped doppler spectrum, with a standard deviation of a few
Hz or tens of Hz. For windspeeds of 2 to 50 knots [51 presents values of
spectrum standard deviation ranging from 0.01 to 0.6 m/s. Assuming a Gaussian
spectrum, the standard deviation will equal 0.42 times the 3 dB spectral width
in velocity units. Using this and the standard doppler equation with transmit
frequency ft = 9.0 GHz* gives values of spectral width ranging from 1.4 to
87 Hz. An amplitude modulator with variable bandwidth and a spectral shape
approximating Gaussian became a further requirement oi the clutter generator.

A typical ground based radar scans a large volume of space, and can vary
its antenna position between a few degrees below horizontal (maximum clutter)
and straight up (minimum clutter). A way of attenuating the clutter waveforms
as a function of the TRS antenna look angle was a further requirement of the
generator. A 45 dB dynamic range was considered adequate for this function.

Second-time around clutter echoes are echoes from reflecting surfaces


beyond the maximum unambiguous range of the radar. The radar antenna height
determines the range to the radar horizon. Clutter echoes from beyond this
range will not occur. If the pulse repetition frequency (PRF) is high enough
that the maximum unambiguous range is less than the clutter horizon's range,
second-time around clutter will be received. For example, assume a range to
the radar horizon of 30 km, and a maximum unambiguous range of 15 km
(corresponding to a PRF of 10 kHz). Returns from reflecting surfaces from 15
to 30 km will be superimposed on those from 0 to 15 km. For staggered PRF
MTI radars these echoes are decorrelated and hence do not completely cancel in
the FIR filter. The resultant clutter residue limits radar performance
somewhat, but because of sensitivity time control (STC) and the greater range
its effect is considered minimal. Hence a capability of generating
second-time around clutter echoes was not included in the clutter generator.

2.3 Sea Clutter Generation Requirements

Even more so than terrain clutter, sea clutter characteristics are


heavily dependent on a large number of surface and radar parameters. Sea
clutter authors discuss at great length the effect of frequency, polarization,

*This value, while somewhat arbitrary, was chosen because the TRS
operates at X-band.

1111111~~~, . .j 111 .
- 18 -

look angle, pulsewidth, antenna beamwidth, frequency agility, presence of


whitecaps, wave velocity, water particle velocity, average wave height and
windspeed on sea clutter characteristics. Fortunately the latter five
parameters can be represented (albeit crudely) by a single parameter called
sea-state, defined by Nathanson as ".. .a single number that grossly summarizes
the degree of agitation of the sea and the characteristics of its surface.
The relationship between wave height and wind velocity or sea state is not
clearly defined;..."[5,D 231]. 13ut accurate modelling of sea echo over even a
small range of parameter values is a formidable problem. The single most
important requirement of the clutter generator was that it be software
programmable so that more detailed models than those developed by the author
could be implemented later as the need arose. However, a reasonably detailed
study of published data did allow a good first order model to be developed.

There is general agreement in the literature that sea clutter at medium


grazing angles (above 10 degrees) for relatively low resolution radars obeys
the central limit theorem and has Rayleigh distributed amplitude statistics
[5,14]. Nathanson [51 indicates this applies at low grazing angles in the 6
to 9 GHz range to radars with pulsewidths greater than 0.25 Ws and beamwidths
greater than or equal to 10. Hence the Rayleigh voltage/exponential power
model was used in the sea clutter model described in chapter 4, where the
radar modelled has relatively low resolution. At low grazing angles, if
resolution is increased the amplitude distribution changes, and the clutter as
seen on an A-scope takes on a "spiky" appearance [14]. This is a combination
of two factors: 1) at low grazing angles there is a higher probability that a
given wave crest will be shadowed by other crests, hence a lower density of
reflecting waves In the resolution cell [15], and 2) for higher resolution
radars the wave structure may begin to be resolved [4]. This spikiness can be
equated to a lengthened tail of the amplitude distribution. The deviation
from the Rayleigh distribution also increases with sea-state. An explanation
for this is that the average distance between wave crests is longer, causing a
lower density of reflectors in a given resolution cell [151.

The distribution is also dependent on whether the radar transmits


horizontally or vertically polarized energy. Horizontally polarized clutter
is spikier than that of vertical polarization for two cases: 1) the
pulsewidth is short enough that individual waves are resolved, and 2) the
pulsewidth is large enough that more than one wave is included [4]. For
case 2), the spikiness has been attributed in [4] to an interference effect
from the energy reflected from the individual, unresolved scatterers.

Much effort has been expended attempting to determine the exact


distribution for a specific sea-state and set of radar parameters. Among the
models proposed are the log-normal, Weibull, contaminated-normal, log-Weibull
and K-distributions [14]. It was considered desirable that the generator be
capable of any of these distributions.

* %q% .. V
- 19 -

Skolnik [4] and Nathanson [5] both indicate a non-linear increase in 7


with grazing angle. The total variation of U* with grazing angle can be more
than 30 dB at X-band. V also increases with sea-state, as can be seen in the
tabulated data in [5]. Inclusion of the V dependency on grazing angle and
sea-state was considered essential for the clutter generator. V is higher
for vertical than for horizontal polarization [16], but the author was unable
to find a generally agreed relationship between the two cases over the wide
range of surface and radar parameter values. Also, the spatial extent of the
large echoes has been observed to be smaller for horizontal than for vertical
polarization [16]. To limit the scope of the project only horizontally
polarized radar clutter was modelled, ie. the sea clutter model was based on
published data pertaining to horizontally polarized radars only. Other
polarizations may be included at a later date.

Three scattering regions have been identified in the literature. At


large grazing angles (near vertical incidence) the echo is large, apparently
due to facet-like surfaces oriented perpendicular to the radar. This is
referred to as the quasi-specular region [3]. As these returns exist only at
extremely close ranges they are not included in the chapter 4 model. At low
grazing angles (those below the "critical angle"[4]) V decreases quickly
versus decreasing p.This is called the interference region since direct and
scattered signals are out of phase producing destructive interference. As
this region is not well defined in graphically presented X-band data in [3] it
has also not been included In the sea clutter model. The remaining angular
region, the plateau or diffuse region, has as principle scatterers those
components of the sea that have dimensions comparable to transmit
wavelength X. The model has been based on published data corresponding to
this region.

Sea clutter has a spectrum that results from the distribution of radial
velocities of the scatterers [5], plus constructive and destructive
interference from the scatterers [17]. This spectrum is commonly assumed to
be Gaussian shaped*. The return from a given resolution cell decorrelates
with time. 10 ms is given in [181 and [4] as a decorrelation time for low
resolution radar. For a 1 kHz PRF radar returns would be correlated from
pulse-to-pulse but would decorrelate over 10 pulses. (For high resolution
radars the decorrelation time is much greater than 10 ms [18]). The existence
of this effect dictated that a decorrelating amplitude modulator with variable
characteristics be included in the clutter generator design. Nathanson [5]
reports an almost linear dependence of the 3 dB spectral bandwidth on

*Asymmetrical spectra have been observed when white caps are present.
This asymmetry appears to increase with increasing surface roughness [19].
Because an amplitude modulation scheme was used, all spectra produced by the
clutter generator are symmetrical. This limitation is considered minor.
- 20 -

sea-state. For sea-states of 1 to 5 he presents experimental values ranging


from 0.1 to 2.9 m/s, which would at ft - 9.0 GHz translate to 6 to 176 Hz.
Generation of an AM spectrum with a variable bandwidth became a further design
goal.

Note that relatively slow fluctuations in clutter due to 1) variations in


the amplitude or slope of individual ocean waves passing through the
illuminated area, and 2) long-term modifications to the sea [171 were not
included as generator capabilities. As these fluctuations occur over a large
number of radar pulse repetition intervals (PRIs) their effect is considered
secondary.

Clutter can be pulse-to-pulse decorrelated through the use of


pulse-to-pulse frequency agility. A change in transmit frequency of the
inverse of the pulsewidth is sufficient to cause successive returns from a
given cell to be independent [14], while having no effect on point targets.
This is because the return from a distributed target is the vector addition of
returns from independent scatterers with random phases.* Hence the amplitude
of a single cell return will fluctuate in a noise like manner. Integration
over a number of successive PRIs can then raise the signal-to-clutter ratio
[201. Frequency agility is a common radar technique not only because of its
ability to improve detection capability in sea clutter, but as an electronic
counter-countermeasure (ELCM), and as a technique used to reduce glint.
(Glint is wander of the apparent target angular position about its phase
centre.) For these reasons it was desired that the clutter generator be
capable of generating signals that were independent from PRI-to-PRI.

Sea clutter as viewed from a moving platform (such as a sea skimming


missile) will have a mean doppler frequency fd that is, for low grazing
angles, approximately equal to 2V/X, where V is platform velocity and A is
transmit wavelength. Even for stationary platforms, a non-zero mean doppler
will exist. [5] reports this shift for horizontal polarization as being
dependent upon both wind speed and wave height. For vertical polarization the
shift is primarily dependent on wave height. The mean doppler of horizontally
polarized clutter is reported as being two to four times that of vertically
polarized clutter. A further generator requirement was that a mean doppler

*High resolution radars resolve the wave structure and hence see
individual scatterers. Presumably this is why the technique is reported as
ineffective for high resolution radars in [20] and for a 0.1 ius pulsewidth
radar in [18].

'11,11 11401W~
- 21 -

shift proportional to sea-state and platform velocity could be given to the


signals. Note that the mean doppler is also dependent on the azimuth look
angle, being maximum when the radar looks upwind and being reduced by a cosine
factor [7] for other look angles. This cosine variation was omitted from the
generator to limit its complexity.

As the radar scans in azimuth, it illuminates different sectors of the


sea surface. In [151 returns from adjacent sectors separated by two or more
sea-wavelengths are considered independent. The 5.360 azimuth beamwidth used
in the chapter 4 model defines sectors that would normally encompass several
sea wavelengths. Hence adjacent resolution cells are considered
independent. This assumption may not be valid for close ranges combined with
sea-state 5, where sea wavelengths can be as much as 260 ft. [5].

There are likely to be a number of additional sea clutter trends reported


in the literature that were not allowed for in the clutter generator design.
Generally a trend will be observed by an author but no mathematical
description will be proposed. In any event limited time and resources
dictated excluding these. One is likely to be the deviation from Rayleigh
statistics that increases with increasing sea state. This is due to the
average distance between wavecrests increasing, causing a lowered density of
reflectors in a given resolution cell [151. Another is that 7" is larger in
the upwind or downwind direction than crosswind [161.

Note that as discussed in section 2.2, second time around clutter echoes
are not generated.

3.0 CLUTTER SIGNAL GENERATION

3.1 Hardware Overview

In the previous chapter the requirements for a clutter generation system


were identified. This chapter describes the actual implementation of the
generation system. Sufficient detail is presented to allow an appreciation of
the design, its key features, and the measurements performed during the design
process. Additional material of a more detailed nature can be found in
Appendix A.

The hardware consists of an IF component arrangement to perform amplitude


modulation, plus a controller. The overall system is described here, followed
by a detailed description of the IF components and a two part description of
the controller. Detailed information on the memory based circuitry of the
$controller appears in Appendix A.

v As shown in Fig. 9, the clutter signal originates from a 60 MHz voltage


controlled crystal oscillator (VCXO). This is controlled by the stabilizer
circuit, which is capable of phase locking the VCXO to the 60 MHz signal
used in generating the target RF signals. Once phase locking has been
accomplished a doppler offset can be requested by the host computer and
-22 -

VCXO 60 MI-z REFERENCE


STABILIZER DOPPLER (FROM HOST COMPUTER)

10 MHz CLOCK
AZ. ANT. POS'N

1-EL. ANT. POS'N ADDRESSING


INPUT DATA, (FROM
HOST COMPUTER)f

CONTROLLER SEARCH/TRACK
FREQ. AGILITY
_PIN SW. AZ J
fCONTROL

FIUR 9GCTER- GEEA CSGINSSE

60M z P
-23-

achieved by offsetting the VCXO tuning voltage. The ability to accurately


control the target-to-clutter difference frequency is necessary for scenarios
involving the TRS's MTI processor.

Four attenuators are used to modulate the 60 MHz signal. The attenuator
control currents are produced by the clutter controller based on data loaded
into its 100 k bytes of RAM before the beginning of an engagement scenario.
Memory addresses are generated using counters and digitized elevation and
azimuth antenna position voltages. The addressing process is controlled by
the decoding of various TTL lines from the TRS and host computer.

The antenna gain attenuator reduces the clutter signal levels when the
reflecting surface is not illuminated by the main antenna lobe. The generator
was designed primarily for the low grazing angle clutter that is seen by
radars with antenna heights in the 5 to 50 m range. Because the reflecting
surface typically subtends a small range of depression angles, a detailed
representation of antenna gain versus range (time) is not required. The
clutter's range extent is subdivided and a depression angle is calculated for
each interval. This angle is used to compute the antenna gain, and hence the
appropriate attenuator setting, for the interval. The antenna gain has a 54
dB dynamic range. Control is via a memory chip addressed by a range counter
and a digitized version of the radar's elevation look angle voltage.

After scaling for antenna gain the signal is modulated with a variable
bandwidth pseudo-random noise waveform. This replicates clutter fluctuation
due to wind-blown scatterers such as trees or ocean waves, and can be disabled
via a front panel switch for non-fluctuating clutter. The Z attenuator then
sets the signal amplitude according to the software based model of G. Signal
amplitude is redefined each 200 ns, allowing the fine structure of narrow
pulsewidth clutter to be replicated. This attenuator has a 56 dB dynamic
range.

After amplification and filtering to remove harmonics of 60 MHz, the


signal is split into two channels. The arrangement following the power
splitter was designed to replicate the process occurring in the TRS receiver,
where Z and A components are added and subtracted in a hybrid coupler to
produce Z+A and Z-A 121). The upper channel (as shown) carries the
clutter component. Because in search mode, the TRS does not produce A, the
lower channel is effectively disconnected causing identical hybrid outputs.
In track mode, the lower channel produces a signal proportional to Ael, the
elevation difference component. This component is combined with E in the 1800
hybrid to produce ' + 'el and X - Ael signals. The phase of Ael is
determined by a diode/transformer/amplifier arrangement represented in Fig. 9
as a single pole double throw (SPDT) switch. Control of this equivalent
switch and the /.el attenuator is provided by a memory chip addressed by
range and elevation angle. 'el is in phase with Z for scatterers above
boresight, and 1800 out of phase with Z for those below boresight, as in the
TRS. A manual phase adjuster in the upper channel was used to obtain correct
phasing at the hybrid inputs.

I..
- 24 -

The Aaz component that clutter would induce in a monopulse receiver has
not been represented. It is felt that this component would be minimal for
radars on the ground, on ships, and on non-spinning missiles, where clutter
power in the left and right pairs of antenna horns would be approximately
equal. Because A in the TRS alternates between 'az and 'I on successive
PRIs, during every other PRI the *'el attenuator is set to maximum
attenuation and the biases are removed from the SPDT diodes. This gives a
combined loss of approximately 100 dB in the lower channel, so that Lz is
effectively zero.

3.2 IF Conponents

Individual IF components are identified in Fig. 10. The MinicircuiLs


ZMAS-3 and ZEM-2 were selected for use as attenuators. While the ZMAS-3 is
marketed as an electronic attenuator, the ZEM-2 is a mixer. The schematic
diagrams for both devices are identical except for port labels. Mixers can be
used as attenuators by applying a control current to the IF port and the input
signal to the RF port, with the output appearing at the LO port [22].
Applying a current to the IF port partially forward biases two of the four
mixer diodes, causing a controllable amount of applied power to arrive at the
LO port. The schematic and an equivalent circuit for the ZEM-2 when operated
in this fashion appear in Fig. 11. For the Z attenuator a 20 ns response time
was desired, so that the signal level could be redefined every 200 ns with a
10% transition time. A 60 dB d- -ic range was also a goal. Because a PIN
diode attenuator with these specifications was unavailable* the ZEM-2 was
chosen for use as the Z attenuator. It was also convenient to use a ZEM-2 for
the doppler modulation attenuator.

The antenna gain and Ael attenuators did not require a fast response
time but did require the ability to operate with input power levels above +1
dBm. The ZEM-2s were unsuitable as their attenuation range was reduced at
power levels above this. Hence ZMAS-3s were chosen for the remaining
attenuators. Specifications for both devices are found in table 1.

*A Lorch DA601NL digital attenuator was evaluated, but was expensive


($3000 U.S.) and exhibited spike shaped switching transients. Other
commercial attenuators did not meet the combined speed, range and price
requirements.
-25-

o 0
-11 Ai

~I. I-

CL 2

*~ <4

-2 z
CI

p~Jv
Si. i

-4.. - 6

@I FIGURE lla): ZEM-2 SCHEMATIC DIAGRAM


" -- From Minicircuits catalogue.

,;p

SS.:-

. ;,FIGURE lib): EQUIVALENT CIRCUIT WHEN USED AS AN ATTENUJATOR

_ % . .
". ". % - .. = ". " . ..- '5.S 5 5.S . . " .. " - '. % . " , , ' .-.
- 27 -

TABLE 1: Attenuator Specifications

Specification: ZMAS-3 ZEM-2

Dynamic Range (Measured) 54 dB 56 dB


Max. Input Power +30 dBm +17 dBm
Control Freq. Range DC-50 kHz DC-1000 MHz
at IF port
Input Freq. Range 1-200 MHz 10-1000 MHz
at RF port
Cost (1-4 items) $66.95 U.S. $59.95 U.S.

One problem common to both attenuators was nonlinearity of the


attenuation versus control current transfer function. The nonlinearity is
illustrated in Figs. 12 and 13. These measurements were taken using the
clutter controller D/A circuits, which produce current varying linearly with
input control word. The non-linearities, though severe, were compensated for
in the controlling software. The non-linearities also created severe
harmonics, but these were removed by a K & L tubular bandpass filter having a
47 MHz centre frequency and a 32 MHz 3 dB bandwidth. The filtered output is
shown in Fig. 14.

Because the 1 dB compression point of the TRS preamplifiers is 0 dBm,


this defined the desired maximum output level of the clutter generator. The
+7 dBm VCXO output level became -5 dBm after passing through the three
cascaded attenuators (Fig. 10) even with minimum attenuation settings. A
Minicircuits ZFL-2000 20 dB amplifier provided the needed boost in power
levels.

As was mentioned previously, the two channel arrangement in Fig. 10


replicates the process occurring in the TRS receiver. Analysis of
measurements in [211 revealed Ael in the TRS receiver could be expressed as
a function of Z and elevation look angle. Hence by splitting the clutter Z
signal and modulating one channel with the same function, a el clutter
component could be produced. Measurements were carried out on the TRS to
determine what the function was. A CW 9.0 GHz source was connected to the
16x16 antenna array through a TWT amplifier and variable attenuator. The
antenna's azimuth drive gear was locked at 0' so that the antenna could be
moved in elevation only. The most direct approach would have been to
measure 7 and * el at the hybrid coupler inputs (see Fig. 4). However, due
to the careful phasing of these signals and the difficulty of accessing
antenna mounted circuitry, this was not done. Instead an HP 436A power meter
and HP 8481A power sensor were connected to the hybrid coupler outputs once a
spectrum analyzer confirmed that a clean 9.0 GHz signal was present.

Two sets of measurements were made. For set #1, the centre two dipoles
in the top 16 x 16 array row were switched on. The antenna was then moved

1IN, , 11Pi -rr 1 .1


-28-

-49

-2332825
225 5

10
-~39

-3

-6
2 243 2W 123 245 25 255
WAF IPUTf W= HE

FIGURE 12: ZM-3 ATTENUATION MEASUREMENTS


-29-

hp RF -IUIL2 m ATTENl 10 JIM

START0 HmSTOP SOIL.5 MN.


V2MzVOW
RE I MHz SWIP 225

FIGURE 14: CLEAUN SPECTRUM PRODUCED BY ZEm-2


CASCADED WITH K & L TUBULAR FILTER
- 30 -

from boresight to pointing angles below the "target" dipoles. Antenna


movement was done manually using its vernier as the position indicator. At
each pointing angle, the amplitude of the Z+A channel was measured for: a)
PIN switch off so E+A = Z, and b) PIN switch on so Z +A =Z +A el. The
procedure was repeated for set #2 except that the centre two dipoles in the
bottom 16 x 16 array row were used and the Z -A channel was measured. The
antenna was moved from boresight to pointing angles above the "target".
E +Ael was stronger than E for targets above boresight, and E -A el was
stronger than Z for targets below boresight, confirming the expected phase
relationships documented in [21].

A simple program was written to compute the level of the Ael hybrid
input relative to the Z input, assuming that perfect addition and subtraction
of the signal vectors had taken place in the hybrid coupler. The plot of the
converted measurement data appearing in Fig. 15 has the expected monopulse
difference curve shape.

An approximating equation:


15.5-sin( VL" /8)-l1 for -4<,<4
G'e(O)= 15.5sin(n/2+(S-4)'/32)-l1 for 404l2 (9)
L-15.5sin(-TT/2+(4+9')1/32)-ll for -49,4-12O

which also appears in Fig. 15 provides a good characterization of the TRS


receiver angle tracking function. Here e' = e86.0/ebel, which scales the
curve's horizontal axis for radars with elevation beamwidths different than
the TRS's 6.0'. On is the off axis angle of the clutter. The required
tel attenuation (in dB) is defined by:

ELATTN = IGe,1 (")-5 for -12<'<12o


(10)
= 54 dB elsewhere

The memory chip for this attenuator is addressed by a range counter plus
elevation look angle in a similar manner to the antenna gain memory chip.

As indicated in the Fig. 15 plot, Ael exceeds F by as much as 4.5 dB.


For this reason the lower channel in Fig. 10 has a gain of approximately this
amount relative to the upper channel.

Because the ZMAS-3 has an insertion phase of 1520 at 60 MHz (as measured
on a network analyzer) an additional phase shift was required in the upper
channel to ensure correct vector addition and subtraction. To calibrate the
manually adjustable phase shifter, a program was run on the host computer
which set the t!el attenuator so that equal signal levels existed at the

r, 0, 011K 11111 L w
-31

0
'-2

-4

-12 . . . . .
-12-10-0-0-4-2 0 2 4 6 S 10 12
lOn, CLUTTER OFF AXIS ANGLE
(dogr...)

FIGURE 15: APG-502 MONOPULSE ANTENNA MEASUREMENTS WITH APPROXIMATING FUNCTION


The approximating equation uses ebel=6 . 0 *.
- 32 -

hybrid inputs. The phase shifter was adjusted until minimum signal existed at
the Z +A output with 1800 channel phase selected. After completion of the
phasing the E+A channel exhibited levels of -27 dBm and +7 dBm for 180 and 00
channel phases, respectively. (The inverse of these results was observed on
the Z-A channel.) Network analyzer measurements showed that the ZMAS-3's
insertion phase does not vary with control current, so proper phases exist at
all signal levels.

3.3 Clutter Controller

3.3.1 Antenna Gain, Z and Ael Modulation

The antenna gain, Z, and Ael attenuator control currents are


produced by D/A converters driven by control words read from memory.
These control words are generated by software that is run before a
scenario begins on the host PDP 11/44 computer. The algorithms used in
generating these words are described in chapter 4.

The memory sections that are addressed by spatial coordinates


appear in Fig. 16. All sections shown have 1024 of locations in range,
which at 200 ns (30m) per location allows clutter to be simulated out to
30 km, if desired. The Esearch memory covers the entire 860 azimuth
search range of the radar antenna. The Etrack memory covers a sector
slightly greater than that of the 16xl6 array. (The radar is not
expected to enter the track mode outside the 16 x 16 array angular
limits.) The antenna gain and Ael memories have equal dimensions and
cover a 200 range of elevation look angles. At look angles above 200
both attenuators are set for maximum attenuation.

Not shown in Fig. 16 are the Zfa/search and Efa/track memories


used for frequency agility clutter. Like the othr Z memories these have
1024 of locations in the range dimension, but the 1 k byte rows are
addressed sequentially using the frequency agility counter, which is
clocked every PRI. As each row contains values that are statistically
independent, the effect is to produce pulse-to-pulse uncorrelated
clutter. Eight rows exist for search and eight for track modes. Hence
the values repeat after eight PRI.

In addition to the 100 k bytes of RAM, an 8 k ROM chip addressed


by range (1024 locations) and azimuth look angle (8 locations) has been
included. The ROM can be programmed to produce a simplified clutter
waveform for use in testing and maintenance. The ROM values control only
the Z attenuator, so the antenna gain and Ael mechanisms are not
represented in the ROM mode.

3.3.2 Doppler Modulation

Resolution cells containing windblown trees or ocean waves reflect


clutter signals that fluctuate in amplitude from PRI to PRI, as was
discussed in chapter 2. In order to represent this effect the doppler
-33-

64 ROWS
-430 +430

30km AZIMUTH

.-
COLUMS 0 kmRANGE

Y-SEARCH SUBSECTION

-10.70 16 ROWS +10.70

-~'"30 km AZIMUTH

tAG
Y-TRACK SUBSECTION 1k-

COLUMNS
km
A)I

16 +150
-COLUMNS 1 1 LVTO

ANTENNA GAIN
AND 'AEL SUBSECTIONS t ROWS
5 RANGE

0km 30 km

FIGURE 16: MEMORY SUBSECTIONS ADDRESSED BY RADAR COORLINATES


- 34 -

modulation attenuator was included. This attenuator is driven by a


pseudo-random noise waveform that is passed through a variable filter and
amplifier. The amplitude, DC offset, and spectral width of the control
waveform are all front panel controllable. Additionally, the attenuator
can be disabled for non-fluctuating clutter via a front panel switch.
Disabling the attenuator sets the control current to a steady value.

In chapter 2, values of 3 dB spectral width ranging from 1.4 to


87 Hz for land clutter and 6 to 176 Hz for sea clutter were discussed.
To produce an amplitude modulated signal with these spectral widths, low
pass filtering of a noise modulation signal with relatively constant
energy over the DC to 200 Hz region is carried out.

To implement a spectrum which has an exact Gaussian shape an


extremely high order filter is required. However, a good representation
can be realized by a workable order number filter. The approach taken
here is to cascade biquadratic and Butterworth filter stages. The
biquadratic filter has a low-pass notch frequency response, and the notch
can be tuned to produce various spectral widths. Use of a switched
capacitor version allows pole and zero locations to be moved by varying
the frequency of an applied clock signal [23]. The Butterworth filter's
low-pass response provides additional attenuation at frequencies above
the notch. It also compensates for the switched capacitor filter's high
gain at multiples of the clock frequency, and it attenuates any clock
feedthrough.

The hardware that generates the control waveform for the doppler
attenuator appears in Fig. 17. Two 8 bit serial shift registers are
cleared on power up by a one-shot and then clocked at 2 kHz. Feedback
gates produce an exclusive-NOR feedback function. Four bits from each
shift register are summed together, with each bit weighted differently.
The two sets of 4 bits are then subtracted at the op amp. This
arrangement produces a pseudo random noise waveiorm which is applied to
the MFI0 switched capacitor filter. The MFI0 is clocked by a voltage
controlled oscillator whose control voltage is determined by a front
panel switch setting. Settings produce 2,4,6,8 and 10 kHz clocks which
result in MF10 cutoff frequencies of 20,40,60,80 and 100 Hz. Further
filtering is performed by a Cermetek CH1290 active filter chip. It is
used here in the low pass configuration with a cutoff frequency of
100 Hz. The filter output is summed with an adjustable DC offset using
one of the CH1290's on chip op amps. Both offset and summer gain are
front panel adjustable, allowing the user to vary the percentage of
amplitude modulation. For non-fluctuating clutter, -15V is added to the
summer via a FET switch. This causes the summing op amp to saturate,
producing a steady control current for the doppler modulation attenuator.

Time and frequency domain measurements of the doppler modulation


circuitry appear In Figs. 18a) and 18b). A fluctuating envelope such as
that produced by wind-blown scatterers can be seen in Fig. 18a). The
corresponding spectrum in Fig. 18b) has a shape that is approximately
Gaussian.
-35-

(b CMdC

- z
u > UJM Z
IJOHS-3NOcc > 'i
> --- 0
U.i c
- 0

'-4

> C , 00, 0 -404

> CU

> ~uU

CCO

0
0 0
:3 11 0

W)-102
If-> 0

'-' It 0
-36-

FIGURE l8a): DOPPLER MODULATION (TIME DOMAIN)


A steady 60 MHz signal was applied to the
doppler modulation attenuator, which was set
for a 200 Hz bandwidthi. Vertical scale is
0.2 V/division; horizontal is 20 ms/division.

.9F don ATTEN 10 do

Is do/

CENTER 59.QSOUUS MHS IPAWas6 ki~


RS HW15M vow to NX ;00.m

FIGURE 18b): DOPPLER MODULATION (FREQUENCY DOMAIN)


Spectrum is of the signal appearing in Fig. 18a)

1 r .. , 'Il ..
- 37 -

4.0 CLUTTER MODELS

4.1 Introduction

The previous chapter described the hardware comprising the clutter


generation system. The characteristics of the generated clutter signals are
largely determined by the control words loaded into the controller's memory.
These control words are generated and downloaded by software that runs on the
host PDP 11/44 computer. Algorithms used by the software are described in
this chapter. Derivations are included where appropriate.

All software was written using the host computer's Fortran-77, with the
exception of two routines used to load the clutter data onto the DRIlc
interface, which were written in MACRO. Instead of storing clutter values on
the system disk, each value is downloaded to the clutter generator immediately
after it is generated. By not storing the data use of large portions of host
computer memory is prevented. Model parameters can easily be checked by
viewing the source file before execution. Also, new clutter waveforms can be
quickly generated through the modification and execution of a single program.
This proved to be a major advantage during the debugging stages of both the
software and hardware. Generation and downloading of clutter data takes
approximately 1.5 minutes for Weibull and exponential models and 4 minutes for
the log-normal models.

Five separate clutter models will now be described: "detailed" land


clutter, three varieties of generic land clutter, and sea clutter.

4.2 Detailed Land Clutter Model

The detailed land clutter model is not site specific. Instead the model
contains a mix of farmland, forest, grassland and hills that might typically
be illuminated by a radar operating in central Europe. While the model is
considered sufficiently detailed to replicate the effect of typical land
clutter on the TRS subsystems, secondary clutter mechanisms such as the
dependence of a* on transmit frequency, polarization, grazing angle, moisture
content of vegetation, and time of year have not been included.

In the model, a* is log-normally distributed. This is consistent with


the majority of the clutter literature pertaining to low grazing angle
scenarios [12]. From [3], the pdf for a* is:

) =n
p(exp [ (11)

where 10 is the differential radar cross section, med is the median value
of q o, and r is the standard deviation of In . Received power Pr is
proportional to o, and if a square law detector characteristic is

V, %
- 38-

assumed (output voltage v=kPr with k=l) the pdf of the detector output can
be written as:

p(v) 1 exp e (12)


. -v Vie d

where Vmed is the median value of v and a remains the standard deviation of
Ina'. (This equation is plotted in Fig. 7.) The log-normality means that ao,
when expressed in dB, has a normal (Gaussian) distribution:

p ( O) 1 0 -0 (13)-
exp - OO(3
,J!Z~t.day.) Ll t. dev)l

where all parameters are expressed in dB. To generate a random number with a
Gaussian distribution, the technique used is to add 12 independent, uniformly
distributed random numbers:

12
6
12=uk- (14)
k=1

where uk is uniformly distributed between 0 and 1 [2]. This produces a


distribution with zero mean and unity variance. g is then multiplied by the
standard deviation in dB and admed (dB) is added, scaling and shifting the
distribution so that it is no longer "standardized" (24]. For the highest
standard deviation used In the model (10 dB for farmland) ao values have a 120
dB range. As the 7 attenuator has a dynamic range of approximately 60 dB, the
tails of the distribution are not fully represented. However, 60 dB
represents a deviation of three standard deviations on either side of the
mean, so 99.74% of the random values are correctly represented for the worst
case clutter. Values falling outside the 60 dB range are set equal to the
closest limit in software.

The values for uk are generated using the Fortran-77 pseudo-random


number generator available on the host PDP 11/44 computer. An initial value
or "seed" must be specified--hence use of the same seed each time clutter is
generated allows complete reproducibility of results.

Thu lo,-normal pdf was used for all re ,ions. As shown in Fig. 19 and table 2
tli terrain has arbitrarily been divided into regions of different terrain types.

%' %~ Sb %%
- 39 -

PDF 6

~~SHADOWED
/ , ..... . > PDF5
.. .... !PDF 4

SHADOWED --- PDF 3

13kin -aPDF2
p--PDF 1

RADAR

SITE

FIGURE 19: RADAR SEARCH SECTOR SHOWING TERRAIN TYPE DEFINITIONS.

TABLE 2: Parameters Defining Terrain Regions


J

st. deviation
PDF TERRAIN . of '.3

1 farmland -33 10.0


2 forest -27 7.5
3 grassland -35 2.5
4 farmland -33 10.0
5 grassland -35 2.5
6 forest -27 7.5

444%

ww#-.6 %j
-40-

Different regions were assigned different means and standard deviations based
on published data. Data in [8] indicates standard deviations of 7.5 dB for
woods and 10 dB for farmland are appropriate for = 10, at X band. [12]
suggests a standard deviation of 2.5 dB is appropriate for homogeneous clutter
such as grass. [25] reports values of 7 = -27 and -33 dB for wooded hills
and farmland, respectively, again for ,4 10 at X band. [26] proposes a 7' of
-35 dB for grass at X band.

The radar parameters used in both the detailed and generic land clutter
models are found in table 3.

TABLE 3: Ground Based Radar Parameters

PARAMETER VALUE

search pulsewidth 0.6 s


track pulsewidth 0.2 .s
elevation beamwidth ebel 1.00
azimuth beamwidth ebaz 1.340
antenna height h above 10. Om
mean terrain level
antenna gain GA 40 dB
transmitter peak power Pt 200kW
transmitter wavelength .033m

Pulsewilths and the antenna azimuth beamwidth were chosen for computational
<-" convenience. All parameters are typical for noncoherent monopulse tracking

radars, but no specific radar was modelled.

Shadowed areas are implemented by setting the attenuator control word


- to 255 for all memory addresses corresponding to the shadowed region. 255
sets the attenuator to maximum attenuation. As shown in Fig. 19, shadowed
areas exist in two separate regions running across the radar viewing sector.
-%.P This simulates the effect long ranges of hills would have on the clutter.
Note that most clutter models will include some shadowed cells as well as some
that are highly reflective, depending on the shape of the distribution
function. But large shadowed regions and other major terrain features can
only he implemented by explicitly declaring them in the model.

ON.

9. .. a.4*. ...---.... *--..-.-.-. .-

%w %p,.W
- 41 -

The relationship:

Rmax = (2Reh) 0 " 5 (15)

was used to determine the maximum range of the clutter. This formula
represents the earth as a smooth sphere with an effective radius equal to 4/3
the actual value. Hence Re = 8500 km. Re accounts for clutter that is
received from beyond the geometric horizon because of the curved propagation
path. Beyond Rmax, which was 13,038 m in the model, the E attenuator was
set for maximum attenuation.

Because of the small grazing angles involved, p is assumed zero and the
physical area of each resolution cell is approximated by:

Ac = (Tc/2)Rsin ebaz (16)

where R is range. Note that the physical area of each range cell is
proportional to R. Hence cells at 10 km have ten times the physical area, and
may have ten times as many scatterers, as those at 1 km. To understand the
effect of cell size on pdf, recall that in statistical estimation a sample
mean can be defined as:

x= x ... +xn (17)


n

where xi= xl,...xnj are random variables. R itself is then a random


variable. It can be shown 127, p. 2461 that:

2
m i = Tn3 and a = 02 (18)
x T1

where n and a are the mean and variance of the random variable x i . The
power received from a large cell can therefore be regarded as equivalent to
the summation of power from n individual smaller cells each of 1/n the large

I .. Ok.
- 42 -

cell's area. o for the large cell could be computed by averaging n


sub-cell a's. Instead of doing this, the model standard deviation is made
proportional to i//V (R in km), and the usual statistical procedure is
followed to generate o = for the large cell. Hence published standard
deviation values upon which the model is based are modified at ranges other
than 1 km. While 1 km is an arbitrary range, few published clutter
measurements indicate the range (or cell size) at which the data was taken.
The goal was to replicate the effect increasing cell size has on the nature of
the clutter--the software can be easily modified if new clutter data is
published that indicates an inaccuracy in the model.

The received power Pr is calculated using a logarithmic form of


equation (6):

P= ptA 2
G(c2/2) ln (19)
3
(4)3R

Pr (dB) = Pt (dB) + 2 GA (dB) + 201ogA + 101og(sinbazCT/2)

+7o (dB) - I01og(4') - 301ogR (20)

Because the clutter signals are delivered to power combiners at the preamp
outputs rather than to the antenna feeds, Pr must be scaled to produce
Pdel, the appropriate deliverable power. Pdel is computed taking into
account the losses and gains of the various TRS components shown in table 4.

TABLE 4: TRS Component Gains

TRS RECEIVER COMPONENT GAIN/LOSS


(+/- dB)

low noise amplifier +40.0


hybrid coupler -3.4
flexible cable -1.6
PIN attenuator insertion loss -2.5
PIN attenuator, R1000m -60.0
PIN attenuator, 1000m<RS30000m 10logR 4 -180.0
mixer conversion loss -7.0
preamp +30.0

A conversion factor C is defined as:

-4 .5 for R<lO00m
C(dB) - - (21)
I1ogR4-124.5 for IOOO<R(30,OOOu

. _ ,-.,Au,
- 43 -

Hence:

Pdel(dBm) = Pr(dBm) + C(dB) (22)

From Pdel the required D/A control word WE is calculated using the
linearizing attenuator equations.

Search mode resolution cells are three times larger than the track mode
cells, due to the larger pulsewidth. It was desired that there be a
correlation between search and track mode clutter at a given range and azimuth
angle. To achieve this the entire 860 search sector is divided up into track
resolution cells, and each one is assigned a a0. For a given search cell, a*
is computed by averaging the a~s from the corresponding three track cells.

Although at least one author (121 indicates a possible advantage to the


use of frequency agility when encountering ground clutter, it does not appear
to be a popular practice, except as an ECCM. Frequency agile clutter was not
simulated in the land case.

To implement a variation in Pdel with antenna elevation look angle, the


-- . terrain or ocean surface is approximated by a flat plane. At range intervals
of 192 0 m depression angle 9d is computed. (The 1920 figure arises from the
use of 16 memory locations to cover the entire available 30 km range.) From

d = sin-l(h/R+R/2Re) (23)

where R is range:

= (n+1/2)1920m, O~n<15 (24)

the clutter off-axis elevation angle, is calculated as:

n 0 el Od (25)

where -el is antenna elevation look angle. eel is positive in the upwards
rdirection, while 0 d is positive below the horizontal. On is calculated for
128 values of %I over -5 to +15. For each On antenna gain is calculated
using an equation borrowed from [26]:
- 44 -

cos u/2
2
for luJ42 and Iu-4 >.Oo'
l-u

G( ,) = 1
2
for Iq >2 (26)
1-u

(1.4855-.7u ) for Iu-lk.OO1

where u = 2.3779 O/Ebel. This function is plotted in Fig. 20. The


required attenuation is defined by:

AGATTN = -10 log (G(On)) 2 (27)

From AGATTN the antenna gain D/A control word is computed using the equations
given in chapter 3. This procedure results in an antenna gain memory
containing 16 sets of 128 D/A control words, each set being addressed by a
unique ed, and addressing within the set being through 0 el-

The relationships described in this section for calculation of Remax,


C, Pdel and AGATTN were also used in the remaining models.

4.3 Generic Land Clutter Models

4.3.1 General

Three "generic" land clutter models--those employing log-normal,


Weibull, and exponential pdfs--were developed to allow qualitative
comparisons between clutter types. The models are generic in that they
do not correspond to any particular terrain type, nor do they contain any
major terrain features such as shadowed zones that would bear a
resemblance to a specific site. Because clutter pdf has a direct effect
on constant false alarm rate performance the generic models can also be
used in the evaluation of various CFAR algorithms.

To facilitate comparisons between the three generic models, all


were given a o med = -36 dB. This value is a compromise between the high
and low resolution values of -38 dB and -34 dB, respectively, appearing
in [28]. A compromise is necessary as the TRS has a relatively narrow
pulsewidth but wide beamwidth.

The radar parameters for these models are the ones used for the
detailed land clutter model.
-45-

*o-5

~-15
-20
- 25
~ 38
-35
-48
-45

12-8 --4:2 S S 1012


24
On, CLUTTER OFF AXIS ANGLE

FIGURE 20: PLOT OF ANTENNA GAIN EQUATION


- 46 -

4.3.2 Log-normal Model

This model uses the same procedure to produce o values as is


described in section 4.1. Unlike the detailed model standard deviation
is not a function of range, but has a constant value of 5 dB. While (101
refers to values of 8 and 12 dB as being appropriate for terrain, these
caused much of the clutter to exceed the detector's 30 dB dynamic range,
which in turn prevented the production of meaningful amplitude histograms.

4.3.3 Weibull Model

The Weibull pdf can be written as:

P~n a(1n2) VL. exp -1n2(&3 (28)

* where v is the voltage out of the envelope detector, and vn is v


normalized to vmed, the median detector voltage. By varying a the
shape of the pdf can be made to approach either the log-normal or
exponential pdfs. To calculate the Weibull pdf for received power Pw,
it is assumed that the detector has a square-law characteristic, so that
v=kPw. Letting k-l the equation becomes:

2 (29)
~(P!Q= frl( exp[-1n f EWj

We can then write:

)P- fwl )(a


Pwe 0 Pwied ) Ij j(
Pwmed ;_ed(30

Let Pw'Pwmed = Pwn, the normalized received power, and y =Pwna


so dy - aPwnI-ldPwn. Now:
(L

a =
P(Pwn < a) - P(y < a ) j (In2)exp[(-1n2)y~dy (31)

" -exp[(-ln2)y ] (32)

* 1-.xp[(-In2)aa ] (33)

am%

m%
- 47 -

Therefore:

F(Pwn) - 1-exp[(-1n2)Pw n 2. (34)

To generate random numbers with the correct distribution, the


inverse method [21 is used. Let u = F(Pwn), where u is a uniformly
distributed random variable between 0 and 1. A solution for Pwn is
required for a given value of u, ie.:

1
P n - F- (u) (35)

Hence 1-exp[(-1n2)Pwn] = u (36)

exp[(-ln2)Pwn] = 1-U (37)


2
(-1n )Pw -- In(1-u) (38)

Pwn =iln(1-u) it in~u] c'~

Equation (39) can be used to generate normalized values of


received power PIn. Pwn is not by itself sufficient for use in
the clutter signal generation process, as it does not have a range or
cell area dependence. An expression with these dependencies is
required for the median power Pwmed" Such an expression can be
derived using the radar range equation and the median clutter
parameter OGmed. It is convenient to consider the case of
Pwn = 1:

PW 0 PwnPwmed a Pwmed (40)

As Pw will be used to represent the radar's received clutter power


Pr:

Pr P wmd (41)

and from the radar range enuation:

22 sin c-/2) - (42)


r A 'baz(
(4-0 R33
- 48 -

we obtain:
0
Pwmed =K med
(43)
R3

where K is calculated using the radar parameters given in table 3.


0
Omed is defined here as -36 dB. Equation (43) has the required
range and cell area (pulsewidth) dependence, and it is used with
equations (39) and (40) to produce the clutter power values.*

\n a value of 2.5 was chosen for the Weibull land clutter model
based on published values in [291 pertaining to measurements made on
cultivated land at X-band with e baz- 1 .4 degrees, grazing angles in the
0.7 to 5.00 range, and T = 0.17 s. a values corresponding to the
model's radar parameters were not found in the literature.

4.3.4 Exponential Model

The exponential land clutter model is identical to the Weibull


model except that a = 1.0.

4.4 Sea Clutter Model

Unlike land clutter, sea clutter does not contain large shadowed areas.
Small shadowed regions caused by prominent wave crests are evenly distributed
throughout the sea surface. Because of this, and the uniformity of the
reflecting surface, there is no need to describe sea clutter in physical
coordinates, as the effect of the distributed shadowed areas can be
represented by statistical means [2]. However, in the clutter generation
hardware (which is common to all models), the memory addressing is based on
physical coordinates of range and azimuth. Hence a modelling procedure
similar to that used for the land cases is followed for sea clutter. The sea
surface is divided into clutter resolution cells of dimensions determined by
the radar azimuth beamwidth and track pulsewidth. Received power for the
cells is generated statistically. Unlike the land clutter model, in which
different regions contain different =s and standard deviations, the entire
sea surface is represented with the same pdf parameters. Search resolution
cells are based on weighted averages of the corresponding track cells.

*For the Tsearch memory, an average is taken of the three Pwn values
applying to sub-cells within the resolution cell. This average is multiplied
by Pwmed to produce Pr"

. .
- 49 -

The model uses an exponential distribution for received power Pe- The
radar being modelled for the sea case has an azimuth beamwidth of 5.360 and
transmit pulsewidths of 1 and 2 .is. The Rayleigh voltage/exponential power
sea clutter model has been suggested in [5] as appropriate except for
pulsewidths less than 0.5 vis. For higher resolution radars the log-normal pdf
is often suggested [201, but consensus in the literature seems to be that this
exaggerates the tails [3]. The r, Weibull, and K distributions, which lie
between the exponential and log-normal pdfs, have all been suggested for sea
clutter. Note that sometimes differences between the various pdfs is
comparable to experimental error [30]. Because of the lack of published pdf
parameters appropriate for the sea-states, pulsewidths and beamwidths of
interest, the exponential pdf was chosen. The Weibull pdf can be implemented
with a one line software modification, and other pdfs can also be implemented
if this proves desirable in the future.

The procedure used here for generating Pe is identical to that


described in 4.3.2 for Weibull clutter, except that a has been set equal
to 1. This produces the density function:

P(Pen ) = n2 exp[-In2 P _] (44)


Pemed

where Pen is normalized received power, equal to Pe (received power)


divided by Pemed (median received power). Mean and variance are I/in2 and
(i/in2) 2 , respectively (from [28] with 6=i/ln2). Values of Pen are
generated using:

Pen = ln(l-u) - lnu (45)


-in2 - -in2

where u is uniformly distributed between 0 and 1. Pe is calculated as:

Pe = PenPemed (46)

where:
2
P P G sin2)
emed t A n baz(C/ med
(4) 3
(47)

Ka 00
(48)
med

RN 3

.%. N %.~ I' IVP N


- 50
5 -

Values for amed are based on published o0 values appearing in [5].


These values have been plotted in Fig. 21. The wide variation of a* with
grazing angle and sea-state has been incorporated into the simulation,
through use of the equation:

iin, ss + DIFFsin({-0.1)T/6
for O.1<(<3.0

led (dB)= 1a inss for 4,<O.10 (49)



CGax,ss for ,>3.0

where c min,ss is the minimum published value for a given sea-state and
0max,ss is the maximum value. (See table 5.) DIFF is defined by:

DIFF = o0max,ss - O0 min,ss (50)

Equations for o'med versus , and sea-state are plotted in Fig. 21.
Note that a large portion of the clutter falls in the p <0.1 degree range,
where data were unavailable.

The radar parameters for the sea clutter model are listed in table 6.
These have been chosen to represent a generic anti-ship missile seeker and are
also computationally convenient.

TABLE 6: Missile Borne Radar Parameters

PARAMETER VALUE

search pulsewidth 2.0 Is


track pulsewidth 1.0 Ws
elevation beamwidth ebel 5.360
azimuth beamwidth ebaz 5.360
antenna height h 13.0 m
antenna gain GA 30 dB
transmitter peak power Pt 50 kW
transmitter wavelength .033 m
-38 SEA-STATE 5

-36
-42
-48 SEA-STATE 1
0
-54
med
-60
-66
-72
i ~~-78
9
B 1 2 3

GRAZING ANGLE

FIGURE 21: EQUATIONS USED TO MODEL amed GRAZING ANGLE DEPENDENCE


Based on published data from [5].

TABLE 5: Parameter Values Used in umed Equations


(Values are derived from data in [5]
pertaining to horizontally polarized radars.)
0 0

Sea-state amin(dB) omax(dB) DIFF(dB)

1 -71 -48 23
2 -61 -42 19
3 -53 -39 14
4 -48 -35 13
5 -42 -32 10
- 52 -

The sea surface is defined as three arrays of clutter resolution cells,


as illustrated in Fig. 22a). The cell dimensions are determined by azimuth
beamwidth and radar pulsewidth. Each resolution cell is assigned a Pe
value. Because adjacent clutter resolution cells are statistically
independent, an intermediate cell scheme was devised to produce gradual
decorrelation at intermediate range and azimuth angles. The scheme is
illustrated in Fig. 22b), where an intermediate cell (shown as shaded)
overlaps four clutter resolution cells. Pe for the intermediate cell is a
weighted average of the Pes in the four clutter cells. W, corresponding to
the intermediate cell Pe is placed in the memory location indicated. Each
of the other memory locations has its ovn intermediate cell, consisting of
sea-surface preceding it in range and centred about it in azimuth angle, as
shown in the figure. A further advantage of the scheme is that it allows
correlation between search and track mode clutter in the "track sector".

The VCXO control hardware was not operational at time of writing, but
equations to be used for doppler offsets have been included in Appendix B.
The clutter will be doppler shifted by an amount proportional to windspeed
plus platform velocity.

To implement the decorrelating effect of frequency agility, two dedicated


memories--the 'fa/track and Zfa/search memories were included in the
generator design, as described in Chapter 3. When the TRS is in its frequency
agile mode, the appropriate memory is activated, and a different 1 k row of
memory is read on successive PRIs. This produces clutter that during a given
PRI has the proper correlation in range but from PRI to PRI is decorrelated.

A range dependency of standard deviation was not implemented in the sea


clutter model. The cumbersome relationship between the standard deviation and
the Weibull parameter i is a disadvantage to using the Weibull pdf that
complicates scaling with cell area [301.

5.0 EXPERIMENTAL RESULTS

To verify correct operation of the completed clutter signal generation


system, measurements were performed on the TRS "linear sum" signal, which is a
summation of the linear detector outputs. Measurements were made using a Data
Precision model Data 6000 digital oscilloscope with a model 620 plug-in, and a
Hewlett Packard plotter. These measurements appear in Figs. 23 to 28.

To prove that the generator was producing signals with the desired
spatial pdfs, measurements were made using the log-normal, Weibull and
exponential generic land clutter models. Amplitude histograms were plotted
for each of the three waveform types. Histograms produced by the Data 6000
appear in Fig. 23, which also contains computer plots of the actual pdf
equations. The histograms approximate the equations in all three cases. Note
that in order to obtain meaningful plots, the software for the three generic
models was temporarily modified to eliminate the mean power variation with
range that would otherwise have distorted their shapes.
-53-

860

300m x 5360 56 INm


RESOLUTION CELLS
CELLS
q

-I..I

FIGURE 22a): SEA SURFACE ARRAY COVERAGE

MEMORY
LOCATION CONTAINING
WIL

SRANGE

AZIMUTH

--- CLUTTER
RESOLUTION
CELL
-MEMORY

~ -~LOCAIONINTERMEDIATE
BOUNDARY ~CL

FIGURE 22b): INTERMEDIATE RESOLUTION CELL


- 54 -

'L t.

a I 3

3"*' 8.5
0. 0.0 0*4 0'"

VOLTS Vn

FIGURE 23a): LOG-NORMAL CLUTTER AMPLITUDE HISTOGRAM


Pdf curve is from Fig. 7.

a..5

. eA h

. . . .. . .. . . . . , ,
0 I 23b): EXPONENTIAL . g.,. . . .HISTOGRAM
. . . . AMPLITUDE
. . ,CLUTTER
.t,o . ,,
, ,FIGURE .
-"2" '.Pdf curve is from Fig. 7.

%.%
-55-

VOLTS V

FIGURE 23c): WEIBULL CLUTTER AMPLITUDE HISTOGRAM


Pdf curve is from Fig. 7.
- 56 -

Amplitude versus time plots appear in Figs. 24 through 28. These show
the envelope detected clutter waveforms as they would appear to an operator
using the TRS A scope display. Fig. 24 shows the generic land clutter
waveforms for the log-normal case. The model uses a standard deviation of 5
dB. This clutter is relatively severe, ie. it contains a large number of
spikes that could easily be declared as targets, either by automatic
thresholding circuitry or by an operator. The Weibull waveforms appear in
Fig. 25. As expected, the Weibull clutter is intermediate in severity [3],
with the exponential clutter appearing in Fig. 26 being the least severe of
the three models. All plots show a mean power increase in proportion to range
caused by the TRS sensitivity time control's R4 variation of receiver gain.
A decrease in mean power caused by narrowing of the pulsewidth is also
evident, as is the resultant increase in range resolution.

The detailed land clutter waveforms appear in Fig. 27. These waveforms
were generated using the log-normal procedure of chapter 4. Parameters
describing each terrain region appear in Table 2. The clutter in each region
appears to have the required mean and standard deviation. Some clipping at
the farther ranges occurs for the 0.6 is case as the 30 dB dynamic range of
the linear detectors is exceeded.

The sea clutter waveforms appear in Fig. 28. These have exponential
pdfs. The waveforms have less high frequency content than the generic
exponential clutter due to the wider pulsewidths used. Changing the sea-state
number and rerunning the software produces clutter with a similar envelope but
with a different mean power.

Frequency agility clutter was viewed on an analog oscilloscope. As


expected, a number of uncorrelated waveforms were superimposed on the scope.

The generation system was interfaced with the TRS, and clutter signals
were viewed on the A scope. Signals reached maximum amplitude when the
antenna was at an elevation look angle slightly below the horizontal, as was
expected. Moving the antenna in azimuth caused different waveforms to be
observed as adjacent rows of memory were addressed. These waveforms appeared
to be uncorrelated for land clutter, as expected because of the narrow
beamwidth. For the sea clutter model, waveforms from adjacent memory rows had
a high degree of correlation, due to the wider beamwidth.

Finally, the effect of the clutter on the TRS's angle tracking was
investigated. The range gate was observed to lock up on portions of the
clutter exceeding the detection threshold. Upon entering the track mode the
TRS antenna was driven to and held at a position slightly below the
horizontal. To verify that the antenna was actually angle tracking the
clutter in the elevation plane, its pointing angle was manually altered. It
was found that strong drive torque existed, and after release the antenna
quickly resumed its original position.
-57-

VOLTS

0. aoXM CL.00004 0. 000"6 0.00000 0.00010

SECONDS

FIGURE 24a): GENERIC LAND CLUTTER-LOG-NORMAL MODEL


For 0.6 w s pulsewidth. Clipping is caused by
clutter spikes exceeding the 30 dB dynamic
range of the linear detectors.

VOLTS

0. 0.0 2004 0000 IL00 0 a

SECONDS

FIGURE 24b): GENERIC LAND CLUTTER--LOG-NORMAL MODEL


For 0.2 is pulsewidth. Both plots correspond
to the same azimuth sector.

wf
%r
-58-

VOLTS

o. aNan a. 001n M.alan . anna.13 0.m


=1

SECONDS

FIGURE 25a): GENERIC LAND CLUTTER--WEIBULL MODEL


For 0.6 ws pulsewidth.

VOLTSt

a.00
. ana.6 IL na=ia

SECONDS

FIGURE 25b): GENERIC LAND CLUTTER--WEIBULL MODEL


For 0.2 ws pulsewidth. Both plots
correspond to the same azimuth sector.
-59

a. ma. a~u ~ 0. caga a.aa . 0=10

SECONDS

FIGURE 26a): GENERIC LAND CLUTTER--EXPONENTIAL MODEL


For 0.6 lie pulsewidth.

VOLTS

SECONDS

FIGURE 26b): GENERIC LAND CLUTTER--EXPONENTIA.L MODEL


For 0.2 is pulsewidth. Both plots
correspond to the same azimuth sector.

or It-w 1I,
-60-

VOLTS'

SECONDS

FIGURE 27a): DETAILED LAND CLUTTER


For 0.6 ws pulsewidth.
Note differing terrain regions
and shadowed zones.

VOLTS'

SECONDS

FIGURE 27b): DETAILED LAND CLUTTER


For 0.2 us pulse width.
Both plots correspond to
the same azimuth sector.
-61-

VOLTS{

06C

SECONDS

FIGURE 28a): SEA CLUTTER


For 2.0 ws pulsewidth.

VOLTS

III Cocoa 0. co C 002

SECONDS

FIGURE 28b): SEA CLUTTER


For 1.0 ijs pulsewidth.
Both plots correspond
to the same azimuth sector.
- 62 -

6.0 CONCLUSION

The purpose of this project was to implement a clutter simulation for


DREO's Electronic Warfare Engagement Simulation Facility. To this end, the
relevant radar theory for distributed targets was developed. Clutter
characteristics relevant to noncoherent radars in low grazing angle scenarios
were investigated. Hardware was developed to generate signals with those
characteristics. Based on published data, relationships were derived relating
clutter characteristics to radar and environmental parameters. These
relationships, plus algorithms for the generation of random variables with
log-normal, Weibull and exponential pdfs were combined in computer programs
for control of the generation hardware. Validation of the resultant signals
was achieved through the recording of correctly shaped amplitude histograms.

Five clutter models have been implemented: a "detailed" land clutter


model using the log-normal pdf, log-normal, Weibull and exponential generic
land clutter models, and an exponential sea clutter model. In all models
power varies with elevation look angle, and statistically independent signals
are produced for adjacent sectors. Search and track mode waveforms are
correlated so that surface features become more resolved when the pulsewidth
is narrowed. The radar horizon is determined by antenna height, and mean
clutter power is determined by the radar range equation parameters. A
variation of pdf standard deviation with cell size (hence range) has been
implemented in the detailed land model. Decorrelated returns for frequency
agile radars have been implemented in the sea clutter model. The hardware is
capable of producing clutter that is doppler shifted according to sea-state
and platform velocity. All waveforms can be given a controllable fluctuation
to replicate the effect of wind-blown scatterers.

One achievement of particular importance is the generator's high


resolution capability. Defining signal amplitude at 200 ns intervals allows
the fine structure associated with narrow pulsewidth clutter to be
replicated. Also of importance is the realism achieved by the inclusion of
angle track errors in the clutter simulation. At time of writing, this
feature is thought to be novel in an IF clutter generator.
For scenarios involving MTI, it was found that a valid beat note can be
produced in a radar receiver if clutter is injected into the receiver at the
IF, with the target(s) at RF. The penalty in simulation fidelity is
negligible except for extremely high power level cases, where third order
mixer intermodulation products will not exist in the simulation.

Future work could be directed at upgrading the models to overcome the


more notable deficiencies. Upgrades are facilitated by the generator's
programmability. Some upgrades will only become possible once new clutter
data becomes available. The models do not include a dependence of o med on
transmit frequency or polarization, as modelling formulae were based only on

J%
- 63 -

X-band, horizontally polarized data. For the land case, terrain zones could
be defined in a more realistic manner, and the effect of moisture content on
vegetation reflectivity could be included. For the sea case, a dependence of
both a med and doppler shift on the azimuth look angle relative to up/downwind
could be included, as could the effect of polarization and sea-state on
spatial amplitude distribution. A variation in pdf standard deviation with
cell area could be added, as could signal fluctuation due to long term
modifications to the sea surface. By modification of the memory addressing
scheme, resolution cells could be made to move towards zero range for moving
platform scenarios.

Other work might include software upgrades so that radar and model
parameters could be entered by the user at run time. A graphics terminal
would facilitate easy terrain zone definition as opposed to the present "hard
coded" scheme. The present work could also be adapted to higher altitude
scenarios. Additional work could centre on the inclusion of angle tracking
effects due to multipath. Work could also be done to facilitate the inclusion
of actual recorded clutter data in the generator memory.
- 64 -

7.0 REFERENCES

[I] S.M. Sherman, Monopulse Principles and Techniques, Artech House Inc.,
Dedham, Mass., 1984.

[2] R. L. Mitchell, Radar Signal Simulation, Artech House Inc., Dedham,


Mass., 1976.

[3] M. I. Skolnik, Introduction to Radar Systems, McGraw-Hill Book Company,


Toronto, 1980.

[4] M. I. Skolnik, Radar Handbook, McGraw-Hill Book Company, Toronto, 1970.

[51 F. E. Nathanson, Radar Design Principles, McGraw-Hill Book Company, New


York, 1969.

[61 F. E. Nathanson, J. P. Reilly, "Clutter Statistics Which Affect Radar


Performance Analysis", IEEE Transaction on Aerospace and Electronic
Systems, Vol. AES-3, No. 6, Nov. 1967.

[7] S. Haykin, Communication Systems, John Wiley & Sons, Toronto, 1978.

[8] D. C.Schleher, MTI Radar, Artech House, Inc., Dedham, Mass., 1978.

[9] G. B. Goldstein, "False-Alarm Regulation in Log-Normal and Weibull


Clutter", IEEE Transactions on Aerospace and Electronic Systems, Vol.
AES-9, No. 1, Jan. 1973.

[10] J. L. Ekstrom, "The Detection of Steady Targets in Weibull Clutter",


IEE, 1973. (Reprinted in Radars Volume 5 by D. K. Barton).

[111 M. Sekine, "Suppression of Weibull-Distributed Clutters Using a


Cell-Averaging LOG/CFAR Receiver", IEEE Trans. on Aerospace and
Electronic Systems, Vol. AES-14, No. 5, pp. 823-826, Sept. 1978.

[12] T. H. Einstein, "Effect of Frequency-Averaging on Estimation of Clutter


Statistics Used in Setting CFAR Detection Thresholds", Project Report
TT-60, M. I. T. Lincoln Laboratory, 9 Nov 1982.

[13] F. E. Nathanson, "The Environment", Technology Service Corporation


course notes, 1977.

[14] K. D. Ward, S. Watts, "Radar Clutter in Airborne MaritIme


Reconnaissance Systems", Military Microwaves '84 International Conf.
Proc., pp. 222-228, Oct. 1984.


i , .%' * j Alm%,.. ,. , : %W~,, .
. .,. 11 IN. . . . ... .,. . ..
- 65 -

[151 G. Lind, B. Bergkvist, "A Method to Calculate the Density of Sea


Clutter Echoes at Low Grazing Angles", IEEE International Radar Conf.
Proc., pp. 230-234, 1975.

[16] Tagsea Program Final Report, Volume 1, Clutter Models, prepared by


Raytheon Company for General Dynamics, 27 Aug. 1976.

[17] M. W. Long, Radar Reflectivity of Land and Sea, Artech House, Dedham,
Mass., 1983.

[18] G. V. Trunk, "Detection of Targets in Non-Rayleigh Sea Clutter", IEEE


EASCON'71 Conf. Proc., pp. 239-245.

[19] B. L. Hicks, et al, "The Spectrum of X-Band Radiation Backscattered


from the Sea Surface", Journal of Geophysical Research, Vol. 65, No. 3,
March 1960.

[20] M. Yuhai, "Frequency Agility Radar", translated by Foreign Technology


Division, Wright Patterson AFB, 6 Dec. 1982.

[21] W. K. McRitchie, "Threat Radar Simulator Receiver", DREO Technical Note


85-15, May 1985.

[221 "17 Most Asked Questions About Mixerst", Minicircuits Q & A No. 1,
Brooklyn, N.Y., 1981.

[23] M. A. Copeland, "Signal Processing Electronics", Carleton University


course notes, Sept.-Dec. 1983.

[24] I. Miller, J. E. Freund, Probability and Statistics for Engineers,


Prentice-Hall, Inc., Englewood Cliffs, N.J., 1977.

[25] D. K. Barton., "Land Clutter Models for Radar Design and Analysis",
Proc. of IEEE, Vol. 73, No. 2, pp. 198-204, Feb. 1985.

[26] S. P. Zehner, M. T. Tuley, "Development and Validation of Multipath and


Clutter Models for Tac Zinger in Low Altitude Scenarios", Georgia
Institute of Technology under contract to USAF, Atlanta, Georgia, March
1979.

[27] A. Papoulis, Probability, Random Variables, and Stochastic Processes,


McGraw-Hill Book Company, Toronto, 1965.

[28] J. W. Henn et al, "Land Clutter Study: Low Grazing Angles


(Backscattering)", lEE Radar-82 Int. Conf. Proc., pp. 380-384, Oct.
1982.
- 66 -

[29] H. E. King et al, "Terrain Backscatter Measurements at 40 to 90 GHz",


IEEE Trans. on Antennas and Propagation, Vol. AP-18, No. 6, Nov. 1970.

[30] E. Jakeman, P. N. Pusey, "Statistics of Non-Rayleigh Microwave Sea


Echo", IEEE Radar-77 Int. Conf. Proc., pp. 105-109, Oct. 1977.

[31] ADC-0800 8-Bit A/D Converter Specification Sheets, National


Semiconductor.

I,

%a +I ~b p .L" - .F . ---J - -' .'-". .* '" --" . .--- +" .n


APPENDIX A

CLUTTER CONTROLLER MEMORY RELATED CIRCUITRY

The purpose of this appendix is to describe the memory related circuitry


that comprises the majority of the clutter controller. While schematics have
been included, the intent is to illustrate system architecture and key
features rather than provide detailed documentation.

The controller has two operating modes: LOAD and RUN. During LOAD,
addresses and data arrive at the controller from the host PDP 11/44 computer
via a DRIlc parallel interface. This interface drives all memory device
address and data lines. During RUN, address lines are driven by counters and
A/D converters and the data bus is partitioned into three separate buses, one
for each D/A. The input/output data bus scheme is illustrated in Fig. 29.

Communication via the DRllc is strictly one-way, ie. no handshaking


exists. This speeds the LOAD operation and simplifies the hardware required.
To verify proper memory loading the resultant clutter signals can be checked
manually using an oscilloscope to ensure they have the right characteristics.
Also, test programs have been developed to test individual memory subsections
with their respective D/As and attenuators. For example, a square wave bit
pattern can be written into the Tmemory and the presence of a 60 MHz
"clutter" signal with a 2.5 MHz square wave modulation will confirm correct
operation.

Some of the key memory control circuitry appears in Fig. 30. Buffered
DRIlc lines supply both addresses and data. The LOAD procedure is to place a
memory address on the lower 12 bits, which are latched as commanded by CRS1.
Then the 8 bit data word corresponding to the address is placed on the lower
bits, and a chip selection code plus two control signals are placed on 6 of
the upper bits. These signals are not latched but are applied simultaneously
to the memory chips and control circuitry along with the latched address. The
tri-state buffers in Fig. 30 allow all three I/0 buses to be driven from the
DRllc in LOAD and to be separated in RUN. The input latches are tri-stated in
RUN to prevent the DRllc from driving address lines in that mode.

The various memory subsections are activated in RUN mode through the
decoding of control signals using an arrangement of gates. The antenna gain
memory is always active (except in ROM), the 'el subsection operates during
alternate track mode PRIs, and the various subsections are activated according
to the frequency agility and search/track TTL lines. Logic for control of the
memories appears in Fig. 31.
-A-2-

JANT GAIN oL-Jj


MEMORY 0/-A]
LOAD/WUDN

INPUT
DATAPISWA
us FROM

i' I .S POT SWITCH


. L CONTROL

ROM/

l
%. 'SERH.TRAC~ TEST 0/A

MQMO I MEMORY RMMO8Y 3

;,:+
SER
"'.'

5', FIGURE 29: CONTROLLER INPUT AND OUTPUT DATA PATHS

% % N

"-'",
A-3

COOOOO(IONE)
IZ
>
LQZ 30A

-OL-.
coccoooo

OZ T
z
a-

Ca 0 999aNE)
L2Z 30A > >
ol 10
zc z

u3a003(3 z 04
Nu CY 0
(6 QUM< 0 z- KD V V U)
0 z
E-4

wo
ww
(n Ocn T
tg co CL7, Z 0
C Uu b z cq
m z >

cn
T Go
U)

cn cq

0
> z
W
m L) 3111VN3
<
z

MT
03

% zo,

I&
>

z Ix
Ov

Y
AAA
-A-4 -

MUM

NI cn fn

220
am- 0~ (3. m 9.
0

0 FL) L)C
00 0 0
4 4 04
t It
o 0 0
InI IlinIn
cc I

w III ) 0 111

0 0 z
ll u - 0
0

0 m

U, -J In W) 4KE

4 .(

l< -r 00

0 04 2

m In

- 00 >.
0.-.
0-1k
. a-
z 0

N
4n

UAJ)
0:
-A-5-

The range counter appears in Fig. 32. Three down counters are loaded
with the number 1111111111 at the start of each PRI.

Counting takes 200lis, allowing clutter to be generated for radar


horizons up to 30 km. This can be doubled using the front panel RADAR HORIZON
extension switch, which causes the 1 k of memory addresses to be sequenced
through twice. Note that use of the switch results in clutter in the 30-60 km
range that is identical to that in the 0-30 km range. While being somewhat
rudimentary it does allow a basic capability for airborne radar clutter
simulation, should this be required in the future.

Because the ROM chip has a slower access time than the RAM chips, the
range counter is clocked at one-half its usual speed when ROM based clutter is
selected. Clock signals are derived from an external 10 MHz clock via a
counter. Besides a one-shot for triggering the A/Ds, Fig. 32 contains a
decoder for the most significant azimuth antenna A/D position bits. These
bits select individual RAM chips by activating their CE2 lines. NAND gates
drive these lines high in LOAD so that CEIs can be used for control.

The range counter output bits do not drive the address lines of the
memory chips directly. Instead, the output bits are applied to the D-type
latches appearing in Fig. 33. The range counter outputs are latched when they
are certain to be valid. Latching prevents transitional range counter outputs
--such as those that occur during a most significant bit transition--from
causing incorrect memory addressing. Also, tri-stating the latches during
LOAD prevents the range counter from driving the memory address lines in that
mode.

Because the -memory is read each 200 ns, careful timing of all
circuitry is required, as is illustrated in Fig. 34. The RC CLK signal is
used to clock the E D/A. After the range counter's outputs become stable, the
RC CLK signal goes high. This causes the latches to pass the outputs through
to the memory address lines. When RC CLK goes low the address is latched.
The TC556 Memory device sees the address for 200 ns. After 120 ns it produces
valid output data. Some time later the rising edge of RC CLK is used to
trigger the TDC1016 high speed T D/A. When ROM based clutter is selected the
one-shot clocks the TDCI016.

The TC5518 memory devices used in the antenna gain and Ael
subsections have as their range address the four most significant bits from
the latch. The D/As driven by the TC5518s convert continuously rather than
being clocked as is the TDCI016. While transitional memory outputs are
converted they have no effect on the clutter signals as the ZMAS-3 devices
cannot respond quickly enough to them.

*~ ~ il N~. % r. \, *--~',
- A-6-

CYU

0wcc(

-u
~UOUUUOUL
w<__

la L

ai >
0?

I- L

WOO
C0 -
0 cc-14
uN

> (0 Z
'00,u
co-

-o D oc
0
CI
-A-7-

* N N N

060
00-

1-im. Z

ccn

00

0
z

C? .,

N CQ
MCC En

0~

WJ cc W 0 0 0 u co <
u'
- A-8-

UNCERTAIN
RANGE
COUNTER STABLE RANGE
OUTPUTS COUNTER OUTPUTS

5 16HZR C CLIK

(ADDRESS LATCH
ENABLE 0 INPUT)....................... li

ANY OUTPUT OF
74LS373 ADDRESS LATCH

VALID ADORES&_.4W
FOR 200 mS

TC5SSG DATA OUT NKON VALID NON VALID

I I

I I

FIGURE 34: CLUTTER CONTROLLER -- RUN MODE TIMING DIAGRAM

TABLE 7: Run Mode Timing Data

PARAMETER VALUE

T1 6 ns max. for 74FOO


T~2 36 ns max. from enable to any Q for 4LS373
T3 25 ns typically for 74LS193
T4 11.3 ns max. for low to high plus high to
low transitions with 74F00
tOH 20 ns min. output data hold time
tACC 120 ns max. address access time
tDS 20 ns max. data turn-on delay
tSET 30 ns max. settling time

6ME -
-A-9-

Fig. 33 also contains the frequency agility address counter. This is


clocked by the A/D SOC (start of conversion) line to increment the A1 0 to
A1 2 address lines of the Zfa/search and Efa/track memories before the
beginning of each PRI. This causes a different row of 1 k memory locations to
be read out each PRI, in order to generate uncorrelated clutter returns. The
tri-state buffers in the figure connect AI0 -A1 2 to the frequency agility
counter in RUN and the DR11C input latches in LOAD.

As described earlier, digitized versions of the TRS antenna elevation and


azimuth position voltages are used in memory addressing. Azimuth and
elevation A/Ds appear in Fig. 35. Each A/D employs an op amp at the input to
perform scaling and offset of the position voltages. Accurate + 5V reference
voltages for the A/D resistor networks are provided by the arrangement
comprised of a zener diode, resistors, and two op amps [311. Conversion is
triggered by the A/D SOC signal generated by a dual one-shot (IC 3 Fig. 32).
This signal is timed so that the A/Ds change outputs during the dead time
between the radar horizon and the start of the next PRI. This prevents
address lines from changing during memory reading. Note that the A/Ds are
tr-stated in LOAD to allow the DRllc input latches to drive the memory
address lines.

The actual memory devices appear in Figs. 36 to 38. The E memory is


entirely made up of 8k x 8 Toshiba TC5565PL-12s, which have a 120 ns access
time. The Ael and antenna gain memories are 2kx8 Toshiba TC5518BPLs with a
200 ns access time. All RAMs are the static type so no refresh circuitry is
required.

In Fig. 38 the tri-state buffers allow the TC5518 address bits A0 to


A6 to be driven by the elevation antenna position A/D in RUN and the DRllc
input latches in LOAD. NAND gates on the antenna gain memory output provide a
means of setting the antenna gain attenuator to minimum attenuation when the
ROM is activated. Similarly, the 74116 latch with clear on the Ael memory
outputs provides a way of setting the Ael attenuator to maximum attenuation
during alternate PRIs and when the ROM is activated.

The three D/As appear in Fig. 39. D/As I and 2 are low cost DAC-08HQ
devices featuring an 85 ns output current settling time and continuous
conversion. They are used to produce digitally controlled currents for
driving the antenna gain and el attenuators. D/A 3 is a video speed
converter capable of 20 Mega samples per second. While ten input bits exist,
the chip version used here is specified as linear over only S bits, as
required for this application. The TDC1016 produces an voltage output. As a
current output with a 0 to 6 mA range was required, a voltage-to-current
circuit was constructed using a high slew rate op amp and transistor.

* q ' 9.~ "W" , '


-A-10 -

0--

SnO

I's

.~cp ccj0

- 0011 n.

*
oL
~ * IL>
In w

N0

U- C

00
> > 9

>z

NU. C. F-'

It ' 0 *k 0~

X >0
~0
Sn *1C)

U.

R.0~ C0 2 1L.U

00
->O
Sn CD
09

ag 1 v
w 0WW
0 >.
-A-11

- u In 0

0
7

ch 9 0

M/ 66660,60-0,ooo

0 m/ 6666

* 1_*3 C-so 3

i'30 ?I

URvo
-* >- IV Z b'I'% ~ -~-
-A-12-

> Cc,

6
6odoo-C
----- 66co

uz
22 s-I

rxaQ

LLLL I II

0 t
jui E-4
-A-13-

uiu0

00

-- -J X

000000000 K-1 2N

Ua 0
CIA z

0 z

I-- I-A

00

2
17-~
~ ~ ~aVI/nb~ ~ .
N:
(A
NCp NlZ

U)L Lo/)9

0 aJ

0-0

cc
-A-14-

z CY

N)
idn -o 0

0 u
z 0.

0z.

m >

w.m

CID

0.0S'* . C
APPENDIX B

DOPPLER OFFSET RELATIONSHIPS

The motion of waves produces a non-zero mean of the clutter doppler


spectrum. This offset is greatest looking up or downwind [51, and increases
with windspeed. While the variation with azimuth look angle is not included
here, the clutter is given a doppler offset, defined as fdss, that is a
function of sea-state and hence windspeed. Table 8 shows simulation doppler
shift fdss for sea-states 1 to 5. Doppler values were assigned based on
graphically presented data in [51 but fidelity was limited by the 30 Hz
resolution of the VCXO control hardware.

TABLE 8: Sea Clutter Doppler Offsets


for Sea-States 1 to 5

SEA-STATE MEAN DOPPLER SHIFT SIMULATION


FOR H. POL'N DOPPLER fdss(Hz)
From [5] (Hz)

1 0-106 60
2 106-121 120
3 121-141 120
4 141-152 150
5 152-164 150

Clutter doppler shift is also proportional to platform velocity. Because


of the low altitude of the radar (h-12m) platform velocity and slant range
rate are assumed equal. Slant range is the distance from the radar antenna to
the clutter reflecting surface. The doppler shift fdpv due to platform
velocity V is calculated using the standard relationship:

fdpv = 2V/ X (51)

where platform velocity is input by the user. The total clutter doppler shift
fd is given by:

fd= fdpv + fdss (52)

,%J

V% %
UINC LASS IF lED

DOCUMENT CONTROL DATA R A0

I r
I3 , t #IIit I I11
IV I ?A%). ol lo 111 00 1 sf ! - w 4 4V Io l

AN4 IF ClUTTER SIGNAL GENERATOR FOR THE DRE0 E14 ENGAGE1XZNT SIMLATION FACILITY

DREO Report _____________________________

WARDLE, Geoffrey A.
f u.' [)l
*Y1"1411 to T.
ro' NO OF PAI;f.. I tN o rO qfi's

041LD12 DREO Report 956


Ciii (rOrTIIAIAt NO~ '1111O)TI*L " D CUMENTI P41711.11
fAiy tith.m #,u.'4wr lthl Ild hr

tit 1)1% *tlU It'N Sf A tEMEN17

"-Unlimited
I!iI)PPILFV'ENIAlY NOrES 12 SWO.N30111ING. ACTIVIIY4

This report describes the implementation of an initermediate frequency


(17) radar clutter generator. The generator provides signals for the
monopulse trackint, radar which forms part of the Electronic Warfare Eng~alemeni
Simulation Facility at the Defence Research Eetablishment Ottawa. Land
clutter ai-,nals with log,-normal, !1eibull and exponential spatial amplitude
distributions are produced, as well as exponential sea clutter sictnals.
Fluctuating clutter from wind blown scatterers is modelled using narrowband
amplitude modulation. Clutter Induced angle trackinp, errors are also
repraecnted. The report describes the major clutter mechanism* relevant to
noncoherent radars in low 3razin, angple scenarios. The hardware and equationi
used in nodelling arc described, and the report presents envelope detected
w.aveform plots and histograms. This work has applIcations in accurate
iodellin;, of rndar performance in low-nltftude engn~ements.
-84-

UNCLASSIFIED

KEY WORDS

GEAR monopulse temporal


cross section MTI threat
distribution polarization tracking
Eiradar Weibull
exponential random process
PrAzing anacle reflectivity
intermediate frequency scatterers
jamming sea clutter
land clutter sea-state
loa,-normal simulation
misgile seeker spatial
model spectrum

INSTRUCTIONS

I ORlW( INA I INi "AC II V IT Y FiI 1i, -11,11mil


,Itiilgiii WIIIP 01). 0.)1 Hi If .10UMliNI NYt#Kll'.LHIM), 11 fill- thirienifii IIas 1% 1
Iiir'101Iu f111i tvliiel!If aiiiuqiitI ., Wh rute'utu'iiii ls to.-M ieu lIV thu osiuq.1utu
clh

0(,'UlE NT i(~l VVCAASI FICATION Etiiiw thi' ovint


siCIII V clal
C Iitc onllof0 the doumn
Cili~ mtludi~l4 ipe.l war ning 10. 0DISTIBUT ION SrA r EKIENT Eminta'i p limiltitons an
* Ig'ri0h, ni'ver lipplic.iibl. turth.,r du-seinuniin of fill! elocienoiuut. other thanf show. givillosqtl
lIv silicitill, cissioc.ifitift. tviescil %iJIIaid Vttiliitst such as
'11. GRO UP E ti'I si-curivy r ils-ic'il ti~n grou nsfumber. T he three
Ill 1LI i 'I* let.
.104111 1.
IiAptf i' i t D"fl Sectil ty A eguinat tolls ~ l1) M 011mcouet
''OiaiIuu'rf ignfilat,',e inls otio ol thus
inc. gowni Items th,'it tloIgowe, rkiwoinsntaftout csintii
* ;. D~0CCIME NI TI 1TLh E fitoili io"irli idocifui'uit title! III III
i t ull i '., Ir i i'.! al l I.Ie h tiiil,s' nilttillow . 1I .t 1.71 *'Asiim tre0'." asset d -sl'i
.u nagstgfs of this htkwon,.n
I :iili i'~.:ipl.titleu iciioi ,, I 1111,1 withiout i,1iiI It still ,ithowiu vo..2I..sit st aqismoval front

11. SUPPLEMENTAIIY NOTES ~


M[if aikltioosi cispatsidortlef
4, 0ESCRIPT IVL NOT ES ELiet th., ctttl , ul il.,cumont, e.ij. neirs.

alt", 'l i' I typt' of dlowuili, ieqi i. 1111.Iiiii p gi u 12. SPONSOHI N(i A c ri Y Ente h'e ii,, Oti fiite (imatmi-ios

%pti
i: I 'tii iiiij p.'ritil is cn i'i 'il d ilu llsu'u Intiilu'h ;1u111ii' ,

A url,4ORif!I . Eow totIhi' ildiili' (ifi alhoe I't. illiuien~i (iiii (i 1 A4S I Il A-C I titg' st ., t itIivitfh st Igiu'S- ."lotI ,togiI
1h. Iictillwi I 'Eu im list int',fit %Ii n,.og, nittli Iinifl U h
1U7111 tlgi
%.. lotu. '4lwi l, I.u.-Il ttiilsh 1 ilay *ghir .11w
If mphliliy, shouw ritill TIhe Inlifi' III lte pincitipaiI ,inii is IIu eiewhsgev m thi' Iwhgodvgi Il.' itiocieunsg'n timll. It is, hw"Ihtl
.iiiIII''il ulll n i u~itie i yT'nt . deiiur .11stt' h.if tile .gt.h I slt c o i i etil ncgsS% 1w tonctaw
tie~d. Each ljiuugtuiih tit Iis ifulC %hgall tinlts wish ins
itg
100CUM~1E NT DATE isti tIhie do.le (Itimith11, yI'ii (if tisdicilotpet 1) the' ';':io.'Iv %Jwiilowtfuetwsi thebnoctin
0.111d~lpov.1iloIt titlifll5i on f the- doct.hitiil
11laiIiihi nti . if the aifiN~th tuiilg'i% It do' Imtitlinit ititiolt o%tuitcl,5'Aftell)
veprewunitg'd ,,, InFSI, tat, 11. i iti. ,Ai III,
i,. to) 'A1. NUMBE 14 OF PAGF S Thet, 11.1 Imi1W colil sJihil
Ifol.lw itrml~l oispoi liilln lifii lt ifiii, I If., iMelli ii, t lumll i T he lo'ssqtlh kui t e,l t. se I shoicu Is in'Iicle'ul tog 20 sing hl 'wI

It, *qt t.1II H OP It E FEPENCES Is ii


F ~i thi' festaiIl iiiit ofl 14 K E Y WIOS'J Isisy wittit- Iter1wligietjIy mewmsnstl tii O
tw
f I-I'i". 014.1 11 iglli dtctiniiii short lihu~weu'i #hit ll~i1't'u wviIouitni'sct MAuli Iqw heitcil
in Caltaisigicug fils' life ,ugci't ',, %M)VIit'Js til I", es't tN1 vs
IOIL(; T Oh PA
,it N I N U~t111 It IIIa.liitiao
lull, I.
ile!~ that wi ','elmoill t!ltI uuonu' imm1% 1-1,114 Mtittu', MItut Ie
.141111i ,ite i.v . gi'1 I ll'vorluji i
111 ill i' 4
ielus fi
ii totuil t 1 in 1,.1 1tgiluierg'n liwig eIi' ,t"guiule I',us i wc' 11eiItir y swomeiu 1IIus

I Ii.dhwh It, 11w.. lfill I Iilgl


W WII-hl I141s $0u.l 1.1i1141 I"I tt 1%4 .%kiiiiI1% tllV
g,0 feell 1l . Ihs, titleliigu
0-'~g I-ilihig41ile

fil'I" rlt' -II'l'gI I I I ii i

Best Available Cowpyw hill 1gouW.

You might also like