Professional Documents
Culture Documents
2013 07 23 Semiconductor Equipment Technology
2013 07 23 Semiconductor Equipment Technology
2013 07 23 Semiconductor Equipment Technology
Semiconductor
Equipment
Shrinkage brings growth,
but not for everyone
Tammy Qiu
Analyst
+44 20 3465 2673
tammy.qiu@berenberg.com
Jean Beaubois
Specialist Sales
+44 20 3207 7835
tammy.qiu@berenberg.com
22 July 2013
Technology Hardware
For our disclosures in respect of section 34b of the German Securities Trading Act (Wertpapierhandelsgesetz WpHG) and
our disclaimer please see the end of this document.
Please note that the use of this research report is subject to the conditions and restrictions set forth in the disclosures and
the disclaimer at the end of this document.
Table of contents
Key debates 7
Companies
3
Semiconductor Equipment
Technology Hardware
4
Semiconductor Equipment
Technology Hardware
5
Semiconductor Equipment
Technology Hardware
High
Market share
6
Semiconductor Equipment
Technology Hardware
Key debates
Semi capex $mn Semi equipment revenue $mn Semi capex/semi revenue %
The 2000 cycle was driven by 1) strong PC demand, 2) increased DRAM content
per PC, 3) increased capacity for 0.13 micron, 0.18 micron and 300mm.
In 2007, the cycle was driven by 1) Apples Nano/Shuffle products and other
portable media players, which led NAND demand, 2) a DRAM demand increase
due to increased adoption of 64-bit processors, Microsoft Vista and the Sony
PlayStation 3 (PS3) launch, 3) an increased mobile phone DRAM demand, and 4)
DRAM, Logic and NAND moving to smaller nodes.
The 2011 cycle was influenced by 1) smartphone/tablet demand offset by PC
demand, 2) leading-edge chip increases (logic: 28nm; NAND: 20nm; DRAM:
30nm) as the consumer electronics market grew.
We believe future cycles will be less volatile: In the future, we believe the
semiconductor capex trend will be less cyclical compared to previous cycles for the
following reasons.
1) Memory-makers become more conservative in their spending: The
previous three peak cycles were driven by memory. Memory-makers have
tended to double their capex in the peak years (ie 2000, 2004-2007, 2010) and
cut capex by half in the following one/two years. Following the 2012 memory
price slump, memory-makers became more cautious and are now ramping up
addition capacity slower than before. Therefore we believe the cycle volatility
caused by memory spending will be significantly reduced.
2) Foundry/logic spending is likely to remain strong: Intel, Samsung
(excluding memory) and Taiwan Semiconductor Manufacturing Company
(TSMC) (which together account for 78% total foundry/logic capex) are
heavily exposed to the consumer electronic markets, and the competition in
the market is further intensified by the war between Apple, Samsung,
Qualcomm, Intel and ARM. We believe that these companies have no choice
7
Semiconductor Equipment
Technology Hardware
8
Semiconductor Equipment
Technology Hardware
If Apple buys Globalfoundries fabs, we believe ASML, TEL, ASMI, AMAT and
LAM may all benefit, as 1) leading-edge nodes are lithography-intensive, providing
upside for ASML, 2) TEL is strong at Globalfoundries in etching, 3) LAM has
close relationships with foundries in etching, 3) AMAT has strong links in
deposition with foundries, 4) Globalfoundries HKMG adoption rate is currently
quite low, so it may require more ALD tools from ASMI to ramp up capacity as
required.
If Apple buys UMCs fabs, we believe ASML, ASMI, AMAT and LAM could
benefit as 1) leading-edge nodes are lithography-intensive, and hence are a strong
suit for ASML, 2) LAM benefits from good relationships with foundries in etching,
3) AMAT is strong in deposition with foundries, and 4) UMC may need to ramp
up its HKMG processes, which would benefit ASMI.
9
Semiconductor Equipment
Technology Hardware
In our view, the capex level of the industry is likely to be flattish over the next two
years (5% up in 2014 and 3% up in 2015), and increase by 10% in 2016 due to
450mm migration, driven by strong foundry/logic and memory spending recovery.
Semiconductor equipment vendors growth potential differs from one vendor to
another, as they are each exposed to different fabrication processes. We believe
ASML and ASMI are likely to benefit from their sales addressable market (SAM)
expansion, while TEL is likely to suffer from its declining SAM.
19%
Deposition - PE CVD 5.0% Demand driven by 3D NAND
(47% share)
8% 8% 50%
Etching - Silicon 4.0% Demand driven by FinFET, 20nm
(14% share) (9% share) (59% share)
11
Semiconductor Equipment
Technology Hardware
USD mn
80,000 35%
70,000 30%
60,000 25%
50,000
20%
40,000
15%
30,000
20,000 10%
10,000 5%
- 0%
Semi capex $mn Semi equipment revenue $mn Semi capex/semi revenue %
12
Semiconductor Equipment
Technology Hardware
The amount of capex from these three types of chip-makers will depend on their
end-market demand and technology roadmap. We estimate the aggregate capex will
remain flattish between 2013 and 2015. We expect 450mm migration-related capex
to start increasing in 2016 from logic/foundry chip-makers, which will lead a 10%
growth in overall capex (see Figure 8).
Figure 8: Total capex to be flat in 2014/2015 and boosted in 2016 by 450mm spending
$mn
80,000
70,000
60,000
50,000
40,000
30,000
20,000
10,000
0
1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013E 2014E 2015E 2016E
Foundry&logic IDM Memory
% growth
200%
150%
100%
50%
0%
-50%
-100%
2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012
NAND Capex Total Capex
From the second half of 2011, the NAND industry struggled due to oversupply
after its significant expansion phase; this was largely the result of softer demand in
USB storage, fewer bundled cards with smartphones and channel inventory
clearing. As a result, the NAND price fell by 42% in 2012 and 33% in 2011. In July
2012, Toshiba cut its utilisation rate by 30% (6-8% aggregate NAND capacity), and
indeed all NAND vendors have become more conservative in adding new capacity.
13
Semiconductor Equipment
Technology Hardware
Toshiba and Micron are ramping up capacity slower than before, Samsung
switched its NAND line 14 to LSI and has been converting its NAND production
capacity in Austin, Texas since Q2 2012 to LSI (logic), and SK Hynix readjusted
Fab M12s capacity to produce both DRAM and NAND instead of being a
dedicated NAND fab.
By Application
Tablets 4.5% 8.5% 7.3% 5.3% 6.1%
PC 0.2% 0.2% 0.6% 1.3% 2.0%
Server 0.1% 0.1% 0.1% 0.1% 0.1%
Feature phone & sm 20.2% 22.3% 22.2% 21.5% 19.2%
SSD 6.7% 12.8% 19.4% 29.2% 35.0%
Data Card 46.5% 38.5% 32.9% 26.2% 23.6%
Other 21.8% 17.6% 17.4% 16.4% 14.0%
We expect NAND bit shipments to grow at a modest level (40-50%) over the next
three years (see Figure 10 above), mainly driven by demand for Solid State Drive
(SSD) and, to a lesser extent, smartphones and tablets. The main portion of bit
growth (40%) will come from node shrinking (more chips can be made from the
same wafer as chip size shrinks); therefore, wafer capacity addition is likely to grow
at a very low level.
Smartphone & Feature phones 1.4 2.5 3.9 5.1 6.3 8.8 12.6
Growth 70% 73% 61% 30% 23% 39% 43%
Solid State Drives (SSD) 77.3 98.8 148.6 170.4 190.9 223.1 265.0
Growth 35% 28% 50% 15% 12% 17% 19%
14
Semiconductor Equipment
Technology Hardware
Most vendors are currently building NAND at 19nm/20nm node, and are planning
to start 16nm node at the end of the year (see Figure 12 above). We believe 16nm
will mature in 2014, and vendors will enter 1Znm (12nm-14nm) and 3D NAND in
2014/2015.
200%
150%
100%
50%
0%
-50%
-100%
2003 2004 2005 2006 2007 2008 2009 2010 2011 2012
15
Semiconductor Equipment
Technology Hardware
150%
100%
50%
0%
-50%
-100%
1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012
DRAM Capex Total Capex
Following the capacity digestion period of 2011-2012 and the 9% capacity cut in
2012, independent researcher Gartner forecasts that the DRAM market will enter
an over-demand phase in late 2013 at the earliest (see Figure 15). The growth of
DRAM demand will be driven by smartphone and tablet unit shipments, and
content per box growth.
From now on, DRAM bit shipment is likely to grow at a moderate rate of
30% rather than its historical level of 70%: 1) We believe the growth of the
smartphone and tablet market will be driven by mid-/low-end products with low
content per box (see Figure 16); 2) the demand uptick from smartphone and tablet
shipment is not sufficient to offset the decline in PC unit growth because
smartphones and tablets have a much lower content per box compared with PCs;
and 3) content per box growth is slowing down.
By Application
PC 51.6% 44.3% 39.0% 48.8% 45.1%
Tablets 0.2% 1.1% 2.4% 6.1% 8.1%
Smartphones 3.3% 6.1% 12.3% 18.2% 21.7%
Feature phones 2.4% 2.3% 1.8% 1.1% 0.8%
Server 8.4% 11.8% 11.8% 13.9% 13.6%
Consumer 7.7% 5.2% 4.0% 5.3% 4.8%
Other 26.4% 29.1% 28.7% 6.6% 6.1%
16
Semiconductor Equipment
Technology Hardware
In previous years, there has been DRAM capex investment in both capacity
expansion and technology migration. As per our conversations with various
industry sources, the current level of DRAM capacity in place is sufficient to
support annual bit growth of about 30% for the next five years. This level will,
therefore, be sufficient to meet our demand forecast (30% growth rate) (see Figure
15). Micron has even indicated that wafer capacity will decline in 2013 and 2014.
Therefore, we are only going to see capex investment on shrinkage, instead of
additional capacity building, apart from the remaining part of SK Hynixs fab M12
expansion, which is likely to be very little.
In 2011 and 2012, all DRAM suppliers actively migrated down from the
50nm/40nm node to the 30nm/20nm node (see Figure 17). Process node
migration has slowed down after the accelerated period following the industrys
adoption of ArF immersion stepper in 2009/10. Today, physical barriers and the
delay of the introduction of extreme ultraviolet (EUV) lithography have raised
technical hurdles for DRAM-makers, compared with the industrys previous
migration from 5Xnm to 3Xnm.
All three of the main DRAM suppliers plan to expand their 20nm capacity over the
next three years to 2016. Various DRAM vendors have told us that the node size
for DRAM could fall to 12nm/8nm after the 20nm generation. We therefore
estimate shrinkage-related capex will remain at a similar level for DRAM-makers
from 2014 to 2016.
17
Semiconductor Equipment
Technology Hardware
DRAM
100%
80%
60%
40%
20%
0%
2003 2004 2005 2006 2007 2008 2009 2010 2011 2012
Historically, DRAM capital intensity was positively correlated to bit growth (see
Figure 18). As discussed above, we expect DRAM bit growth to grow at a
moderate 30% rate compared with its historical level of 70%. The spending pattern
(capex/sales) of the DRAM industry is therefore likely to undergo structural
change from the previous 40-60% level to 19-25%.
We estimate that DRAM capex will remain flat or slightly down in 2013 even if the
ASP improves, as DRAM-makers are likely to spend conservatively for the time
being. We may start to see a small capex uptick in 2014/2015 because: 1) DRAM-
makers may begin to spend more as they see sustainable profitability; and 2) most
DRAM-makers plan to start or expand their 2Ynm (25/24/23nm) output in 2014,
followed by 2Znm production in 2015. We could even see substantially higher
capex growth if DRAM-makers start to upgrade current fab to facilitate EUV tools
in 2014/2015, as the EUV tools are much bigger and heavier than previous
lithography tools.
18
Semiconductor Equipment
Technology Hardware
$mn
Foundry revenue by customer type
30,000
25,000
20,000
15,000
10,000
5,000
-
2007 2008 2009 2010 2011 2012
Apple, Samsung, Intel and other device vendors have been competing for market
share by delivering end-products with higher specifications, lower power
consumption, smaller form factors and lower price points. These ultimately require
the use of chips which are manufactured with leading edge technology. As a result,
Intel, Samsung, TSMC, Globalfoundries and others will be under pressure to
maintain their current spending to adhere to their aggressive shrinkage roadmap.
Due to the greater capital intensity required to develop leading-edge technology, we
believe that there will be fewer players that can afford, and are willing, to invest in
such advances. We estimate that 90% of foundry and logic capex will come from
Samsung, TSMC, Intel and Globalfoundries in 2016, compared with 66% in 2007
and 50% in 1999 (see Figure 21). Due to their large exposure to the consumer
electronics market, and the intensified competition within that market, we believe
these companies have no choice but to keep spending.
19
Semiconductor Equipment
Technology Hardware
Figure 21: Samsung, Intel, TSMC and Globalfoundries capex versus total capex
$mn
45,000 100%
40,000 90%
35,000 80%
70%
30,000
60%
25,000
50%
20,000
40%
15,000
30%
10,000 20%
5,000 10%
0 0%
1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013E2014E2015E2016E
The roadmap in Figure 22 above shows that all major foundry and logic players
plan to start production of 16/10nm in 2014/2015 after 20nm in 2013. From a
technology perspective, 20nm node and beyond are much more capital-intensive
than 28nm node due to the double/multiple patterning or the EUV required in
their manufacturing process.
Considering that Intel has historically re-used 80% of its tools for the next
technology node, and Samsung/TSMC could follow the same route as shrinkage
becomes more expensive, we forecast c3% growth in capex from 2013 to 2015. We
also expect 450mm investment to start taking place in 2016 with an 18% uptick in
capex.
20
Semiconductor Equipment
Technology Hardware
The semiconductor equipment vendors usually specialise in tools used in either one
or more chip fabrication steps. In Figure 23, we lay out the exposures of different
equipment suppliers within the chip fabrication process, and their relative market
share in wafer fab equipment capex. The total wafer fab equipment capex was
$38bn in 2012 81% of total capex.
Lightsource:
Cymer, Gigaphoton, Ushio
Exposed region of wafer washed away by chemical, areas of with and without Develop
photoresist produce the pattern from mask. Performed by Coater/developers.
Etching:
$7.5bn market (incl clean, Planarization), (19.5%)
DP:
LAM 29%. TEL 22%, Dainippon 18%, AMAT 12%
The thin film layer from Deposition not covered by photoresist is etched away, to
permanently transfer pattern to wafer surface. Performed by Etch systems.
Doping: Etching
$1.0bn market (2.7%) AMAT 76%, SEN 10%,
Introduces atoms of elements into silicon to alter the electrical properties in the
silicon dioxide free areas, forming transistors. Doping
Photoresist stripping:
$0.2bn market (0.1%) Mattson 20%, PSK 19%, LAM 17%
Wafer is sliced to Dies, and packaged to become chips Packaging an IC at wafer level before dicing.
21
Semiconductor Equipment
Technology Hardware
We believe the lithography, process control, and wafer level packaging markets
have the biggest growth potential, driven by node shrinkage and 3D packaging
demand, hence ASML, KLA and SUSS are likely to outperform other equipment
suppliers. We do not like the etching, deposition and die level packaging markets
because their growth potential is limited as a result of technology migration.
100%
90%
80%
70%
60%
50%
40%
30%
20%
10%
0%
2009 2010 2011 2012 2016E
Figure 24 shows that deposition, lithography and etching and cleaning are the only
three process categories that individually account for more than 10% of total
semiconductor capex. The combined capex for these three categories was 34% of
total semiconductor capex in 2012. We expect the combined share of capex to
expand to 38% in 2016, driven mainly by lithography growth. Wafer level
packaging, due to its small base, has since 2003 seen the fastest growth at c10% per
annum, compared with c2.6% for total semiconductor capex.
Nikon
15%
ASML
74%
NuFlare
Technology
7%
Canon
JEOL 3%
1%
23
Semiconductor Equipment
Technology Hardware
25%
20%
15%
10%
5%
0%
2008 2009 2010 2011 2012
Veeco
4%
Applied Others
Materials 15%
47%
As shown in Figure 27, AMAT holds a 47% share of the deposition market. It
dominates all major sub-segments, including PE CVD, PVD and epitaxy. TEL
holds the second-biggest market share at 12%, and is mainly concentrated in the
CVD market. We do not expect the market share structure to change significantly
in the next few years. We believe AMAT has continuously held the biggest market
share because of its strong position in PE CVD and PVD solutions. TELs market
share will benefit from ALD growth, and suffer from the decline in LP CVD
adoption. ASMIs market share may increase from 5% today, driven by the
adoption of ALD and epitaxy.
Among deposition market players, we like ASMI the most as it is only exposed to
fast-growing ALD/epitaxy markets. We dislike TEL as it generates most of its
deposition revenue from LP CVD, and its ALD exposure is not big enough to
offset the LP CVD markets decline. AMAT is widely exposed to this deposition
market and is a leader in growing solution markets such as epitaxy and PE CVD.
However, it is heavily exposed to PVD, which is growing but at a slower rate than
the ALD, epitaxy and PE CVD markets.
24
Semiconductor Equipment
Technology Hardware
Etching positive from DP, FinFET, 3D NAND and small negative from
EUV
During the past decade, the etching market has grown by c0.5% a year compared
with the c2.6% growth of total semiconductor capex. Its share in total
semiconductor capex fell from 15% in 2004 to 13% in 2012. We expect the
demand for etching to increase with the ramp-up of DP/multiple patterning,
FinFET design and 3D NAND. The demand for etching is likely to decline when
EUV starts to eliminate the double/multiple patterning processes, but the decline
will be offset by increased etching demand from EUV mask manufacturing
processes. Therefore, on a net basis, we believe the etching market will remain flat
or slightly down in the next three years, and will underperform the total
semiconductor capex.
Tokyo Electron
29% Applied
Materials
10%
Lam Research
47% Hitachi High-
Technologies
9%
Others
5%
25
Semiconductor Equipment
Technology Hardware
Figure 29 shows that LAM dominates the etching market, with a 47% market share
in 2012, followed by TEL, with a share of 29%. TEL may lose share in etching due
to its focus on the low-growth dielectric etching market. AMAT has a 10% market
share of the etching market. In 2011 and 2012, it lost 9% of its market share in the
etching market, from both dielectric and silicon etching. In our opinion, winning
back the lost share from LAM and Hitachi will not be easy.
In the etching market, we think LAM will outperform as it has the greatest share in
silicon etching. We think TEL will underperform because of its heavy exposure to
dielectric etching (63% share). AMAT has a 10% share of the etching market. It
generates more revenue from silicon etching than dielectric etching, and has a
higher market share in silicon etching.
40%
35%
30%
25%
20%
15%
10%
5%
0%
2008 2009 2010 2011 2012
Others Applied
42% Materials
9%
Tokyo Electron
3%
Suss MicroTec
Lam Research 4%
3%
EV Group
Rudolph 7%
Technologies
Ultratech
6% Ulvac
Disco 6%
5%
15%
Source: Gartner data
26
Semiconductor Equipment
Technology Hardware
SUSS is the main beneficiary of this trend because of its exposure to 3D packaging
wafer bounders, which have the highest growth potential within the wafer level
packaging market. AMAT might benefit to a lesser extent through its exposure to
bump processing, which is experiencing slower growth than wafer bounders. TEL
will not benefit from the market expansion because its market share in wafer level
packing is concentrated on the declining contact probers equipment area. If
Samsung or other chip-makers manages to release a high-quality showcase chip
next year, the adoption rate may accelerate as its competitors will not want to miss
any major chip design solution.
27
ASML Holding NV
Technology Hardware
1. ASML has shown decent EUV progress over the last two Key data
Price/book value 4.2
quarters, and its acquisition of Cymer (2012) has strengthened its CAGR sales 2011-2014 18.5%
R&D capability. We believe it is very likely that ASML will deliver CAGR sales 2012-2016 28.3%
28
ASML Holding NV
Technology Hardware
Investment summary
Our investment thesis on Hold-rated ASML is based on the following five points.
1. ASMLs market share likely to expand from 74% to 80% in 2016: ASML
ships 86% of the most-advanced ArFi tools and holds a 100% share of the
EUV market. Chip-makers require EUV or other leading-edge tools to make
smaller chips, we therefore expect ASMLs market share to expand from the
current 74% to 80% in 2016.
We do not believe that Nikon will benefit from the depreciation of the yen,
and gain share from ASML. In our opinion, chip-makers are unlikely to switch
tool vendors purely due to lower price because: 1) high specification and
reliability are more important because they can affect yield rates; and 2) the
extra reconfiguration and integration cost associated with new tools can easily
exceed savings from tool prices.
2. EUV is essential for shrinkage 5bn revenue in 2016: We expect EUV to
be widely adopted as it saves 34% on cost compared with existing double
patterning (DP) technology. From our end-market analysis, we conclude that
chip-makers will require a total of 95 tools by 2016 if they are to produce in
line with their roadmap. We estimate the revenue contribution from EUV to
be 1.2bn, 2.3bn and 5.0bn in 2014, 2015 and 2016 respectively, which
accounts for 18%, 31% and 54% of ASMLs total revenue.
We do not expect ASML to lose EUV market share to Nikon before
2017/2018, because: 1) Nikon is unlikely to release any EUV tools for
commercial use before 2015/16, and all major chip-makers will have three to
four ASML tools in place by that time; 2) Nikons recent presentation shows
that it is focused on 450mm equipment development instead of EUV; 3)
despite its dual-sourcing policy, Intel is unlikely to order any EUV tools that
Nikon develops unless such tools show the same level of performance
compared as ASMLs tool. We think Intel now buys over 50% of its new tools
from ASML compared with less than 40% in 2009. This is because the
performance of Nikons tools lags ASMLs equipment.
3. Lithography market to double by 2016: The level of lithography investment
increases as chip sizes shrink. This is true regardless of whether manufacturers
adopt an EUV or a DP solution. As a result, we expect the lithography market
to double by 2016 to $14bn (20% of total capex) from $7bn in 2012 (12% of
total capex).
4. However, we think the above factors are well understood by the market,
and priced into the stock price: ASMLs share price has tripled since the
start of 2011 because: 1) the company has made positive progress on the
development of its EUV tool, and management has retained, and is likely to
achieve, its target of 105 watts of light source power in 2014; 2) all chip-
makers have laid out aggressive shrinkage plans to enter lithography-intensive
20nm/16nm by 2014/2015; 3) Nikon is not focusing on EUV and we do not
expect it to have any EUV model before 2015/2016, so ASML is likely to
maintain its monopoly; 4) ASMLs customer co-investment programme (in
which TSMC, Samsung and Intel have all invested in ASML to support its
EUV and 450mm development) has demonstrated that the mainstream chip-
makers recognise the companys status as a technology leader.
However, given that the stock price has nearly doubled since the beginning of
2012, we are concerned that any execution issues that ASML has with its light
source power, alongside industry or market speculation about alternative
29
ASML Holding NV
Technology Hardware
Key catalysts
The following are the key catalysts for the share price.
1) Further progress on EUV light source: Management has retained its target
for 105 watts by mid-2014, and expects to reach 80 watts by
September/October 2013. We think ASMLs acquisition of Cymer has further
strengthened its R&D capability on EUV, and believe that ASML is likely to
reach 105 watts by 2014 as targeted.
2) DRAM and NAND order recovery provides order intake/revenue
upside: After seeing DRAM orders recover in Q2, we believe memory orders
will accelerate in the coming quarters, especially NAND orders. Companies
such as KLA and LAM have indicated improving memory orders/spending
compared with the 2012 trough last quarter.
3) Chip-makers accelerate their roadmap on shrinkage: In our opinion, chip-
makers could accelerate their shrinkage plans in next few months, as the level
of competition in the consumer electronics market intensifies.
4) Nikon fails to release EUV tools: We think Nikon is unlikely to announce
any EUV-related progress until 2014/2015, as its current focus is on 450mm.
30
ASML Holding NV
Technology Hardware
Key risks
The following are the key risks for the stock.
1) EUV feasibility and potential delay: We believe that with its acquisition of
Cymer ASML is the only player able to deliver EUV tools. However,
challenges remain to achieve the 105-watt target by mid-2014. For example,
light source power is still at 55 watts today, and we may see new problems
such as heat management as light source power increases.
2) Semiconductor spending pause as consumer electronics market
saturates: Smartphone and tablets have driven capex spend in past few years,
if these markets become saturated, then as slower investment phase will result.
3) Alternative technology steals EUVs market: We believe alternative
solutions, such as electron beam (E BEAM) and directed self-assembly (DSA),
are unlikely to replace EUV today, because E BEAM currently has a low
throughput, and DSA requires significant process control equipment
investment. However, in long run, they can be threats to EUV demand if
throughput is improved.
31
ASML Holding NV
Technology Hardware
The lithography market today has three players: ASML, Nikon and Canon. In
2012, ASML held a 74% market share by revenue compared with Nikons 15%
and a 66% market share by shipment (see Figures 2 and 3). ASML focuses on the
high end of the market and ships 86% of the most-advanced ArFi tools, which
have an average selling price of 40m. Nikon and Canon mainly ship legacy tools,
which cost 5m-10m each. Nikon lags ASML in ArFi tool development, which is
the current mainstream lithography tool used by chip-makers. Nikon shipped its
first ArFi tool in Q1 2009, whereas ASML started shipping in 2004. Canon only
supplies legacy KrF and i-Line tools, and has no ArFi product.
ASML will not, in our opinion, lose market share in the future. Lithography tools
are usually fully integrated into chip-manufacturing process, so a manufacturer that
wants to switch tool vendors must reconfigure its process and incur a significant
initial service cost.
100%
90%
80%
70%
60%
50%
40%
30%
20%
10%
0%
1996 1998 2000 2002 2004 2006 2008 2010 2012 2013E 2014E 2015E 2016E
ASML Nikon Canon
90%
80%
70%
60%
50%
40%
30%
20%
10%
0%
1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011
Nikon ASML Canon Lithratoch-Stepper ISI
We believe EUV and ArFi tools will continue to be the mainstream lithography
tools used by chip-makers for the foreseeable future, and generate the majority of
the industrys revenue. At the same time, legacy tools will be phased out slowly
over the next four years.
32
ASML Holding NV
Technology Hardware
We estimate that ASML will hold an 80% share of the market in 2016, and
maintain its current penetration rate with existing customers. We do not expect
Nikon to develop EUV tools before 2015, and its ArFi tools throughput lags
ASMLs tool. The throughput of Nikons NSR-S622D ArFi tool, released in
January 2013, is 200wph versus 250wph of ASMLs NXT:1970Ci (to be released in
Q3 2013). Throughput is a key specification watched by chip-makers, as higher
wph means greater efficiency and cost-reduction per chip. We expect Nikons
shipments to remain at low levels and Intel will remain its main customer.
We do not believe that the depreciation of the yen will give Nikon a competitive
advantage over ASML. Chip-makers are unlikely to switch vendors purely to
obtain a lower price: the reconfiguration and integration costs associated with new
tools is likely to be more than the difference in ASP. Furthermore, chip-makers
usually value tool specifications and reliability more than they do price points.
33
ASML Holding NV
Technology Hardware
EUV is the key enabler of Moores law, and ASML holds 100%
market share
Semiconductor manufacturing is driven by Moores law, which states that the
number of transistors on an affordable chip will double every two years. As chip-
makers start to print 20nm chips, and subsequently 10nm, 7nm and 5nm chips, the
EUV solution is both cheaper and simpler than ArFi solutions. ASMLs co-
investment programme demonstrated the commitment from Intel, Samsung and
TSMC to this technology, and ASML is recognised as technology leader in this
field.
The DP/multi-patterning (MP) process using ArFi tools (which exposes the wafer
twice instead of once in order to reduce the printed feature size) is now the
mainstream solution for printing 20nm chips. However, manufacturers claim that
this process is too costly because it increases the number of lithography exposures
per wafer, meaning that they must either reduce output or buy more tools. EUV
enables chip-makers to expose the critical layer in just one exposure instead of two.
In terms of total cost per wafer (see Figure 5 below), EUV delivers cost savings of
34% compared with ArFis DP process, even if EUV tools cost twice as much to
buy.
Apart from the cost savings, EUV simplifies the fabrication process by halving the
steps and cycle time required in DP (see Figure 6 below). Chip-makers are likely to
allocate more critical layers the layers on a chip that require the highest
resolution and precision to EUV from ArFi. Logic/foundries players are likely to
pick up EUV first to meet the demand for small chip designs from for consumer
electronics devices, and because it is more expensive for them to use DP
compared to memory players.
34
ASML Holding NV
Technology Hardware
ASML currently has a 100% share of the EUV market, and we do not expect this
to change even after Nikon introduces its EUV tool after 2015/16. Lithography
tools are usually fully integrated into the chip-manufacturing process, so
manufacturers that want to switch tool vendors must reconfigure their processes
and incur significant initial service costs. On our estimates, all major chip-makers
will have three to four ASML EUV tools in place by 2015. It is therefore unlikely
that they will place any EUV orders with Nikon. Even Nikons biggest customer,
Intel, is unlikely to buy Nikons tools, unless they are superior to ASMLs. This is
very unlikely in our view.
TWINSCAN NXE:3100
EUV tools na
TWINSCAN NXE:3300
First shipment Q4 2010 na
Machine shipped 6 shipped na
11 for 2013,
Order in place na
8-12 potential order for 2014
- 2015: 30-36 tools, -Introduce EUV earliest 2015,
Capacity
- 2016/2017:60-66 tools -EUV in volume in 2018/2019.
Source: Company data, Berenberg estimates
We estimate that ASML will ship 15, 24 and 50 EUV in 2014, 2015 and 2016
respectively, contributing 1.2bn, 2.3bn and 5.0bn respectively to its revenues.
35
ASML Holding NV
Technology Hardware
We believe 2016 shipments will be strong as chip-makers will start to allocate more
layers to EUV as they gain more experience of the technology, and because
DP/MP is currently too complex/expensive to be used in 20nm/10nm mass
production.
Our assumptions for tools shipped are based on our end market analysis (see
Figure 8 below).
DRAM starts to adopt EUV in 2015, and migrates 53% of total wafer
capacity to 30nm and below in 2016: We have been conservative here to
reflect the memory spending pause. DRAM-makers plan to shift 69% capacity
to 30nm or less, according to their various statements. We included two EUV
R&D tools in our analysis, with an ASP of 70m each.
NAND starts to adopt EUV in 2016, and migrates 65% of total wafer
capacity to 20nm and below in 2016: We have taken a conservative approach
on NAND, too, to take account of the expected memory spending pause.
NAND-makers plan to shift 83% capacity to 20nm or less, according to their
various statements.
3D NAND is less lithography intensive compared to 20nm/10nm NAND
design. Our analysis suggests the impact of 3D NAND on total capex is likely
to be small. We estimate that the maximum negative impact on EUV demand
by 3D NAND is 3 EUV tools.
36
ASML Holding NV
Technology Hardware
Our end-market analysis shows that the industry requires 95 EUV tools in total to
support roadmap over the next three years. The year of shipment varies with each
companys timing in buying and revenue recognition terms.
We believe ArFi tool demand will decrease over time: The 10% shipment
difference between our assumptions and demand analyse is due to 1) customers
purchasing new tools with higher specification to replace old tools, 2) the level of
re-usage varying from on chip-maker to another.
37
ASML Holding NV
Technology Hardware
Consumer electronics will be the main driver of semiconductor growth in the next
few years, in our opinion. Chip-makers are actively scaling their chip sizes down to
facilitate the new generation of device designs, which are smaller, lighter, more
power-efficient and have better performance. As shown in Figure 9 below, all the
major chip-makers are planning to enter lithography-intensive 20nm/10nm node
in 2013/2014, and then expand their leading-edge chip output afterwards. As chip-
makers shrink down the technology roadmap, they require more lithography tools
which can print to smaller nodes.
All scenarios are based on typical process using 2012 system productivity levels
Source: ASML data, Berenberg estimates
38
ASML Holding NV
Technology Hardware
70,000
20%
60,000
50,000 15%
40,000
30,000 10%
20,000
5%
10,000
0 0%
2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013E 2014E 2015E 2016E
Lithography capex Semiconductor capex Lithography as % total capex
The lithography market has grown by c1.7% over the past 10 years, while total
semiconductor capex has fallen by c0.5% (see Figure 11). As stated above, chip-
makers are constantly working to reduce the size of chips and the smaller the
chip the more it costs to print. We therefore expect the lithography market to grow
at c20% for the next three years to reach $14bn in 2016, and for lithography-
related capex as a percentage of total semiconductor capex to increase from 12%
today to 20% in 2016. In our view, ASML, which is 100%-exposed to this market,
will certainly benefit from this trend.
39
ASML Holding NV
Technology Hardware
We expect ASMLs group adjusted gross margin to reach 45.9% in 2016 from
41.9% in 2013. The following factors will contribute to this increase.
1. We expect the EUV gross margin to improve after a two-year ramp-up
period: We expect the EUV tools gross margin to reach ~45% in mid-2015,
after volume shipment has begun. The EUV gross margin currently stands at
25%.
2. Intels 829m investment in R&D will be recognised in ASMLs gross
margin over the next five years: Based on the level of business activity
between ASML and Intel, we assume that a fifth of Intels investment (166m)
will be included in the figure in 2015, producing a minimum gross margin
contribution in 2016 of 1.8%.
3. We expect the group margin excluding EUV to reach 43.5% in 2016 due
to the increased top line and growth in the high-margin service and software
segment (1.2bn revenue in 2016 versus 930m in 2012).
4. Cymers positive gross margin impact: Cymers adjusted gross margin was
56% in Q2 2013, higher than ASMLs average group gross margin (41.8% in
Q2 2013). We expect the Cymer acquisition to lead to a 50bp increase in the
group gross margin by 2016.
We expect that with its current product portfolio, ASML will be able to maintain
its existing profitability level given ASP stability. In addition, chip-makers look at
total cost of ownership ie the cost saving from a more efficient process or higher
yield rate usually justifies the higher ASPs of new generation tools.
Figure 12: ASML tool ASP will not decrease over time
EUR mn
35
30
25
20
15
10
0
2006 2007 2008 2009 2010 2011 2012 2013E 2014E 2015E 2016E
40
ASML Holding NV
Technology Hardware
Key catalysts
In our view, the following events could be catalysts for the share price.
1. Further progress on EUV light source: EUV light source power is the
bottleneck making it difficult for ASML to achieve the desired throughput
level for it to be commercially viable. Cymer achieved 55 watts (43wph) in
March 2013, and it is aiming to deliver 105 watts (69wph) by mid-2014. If
Cymer announces any major progress on light source development towards
that target, or even reaches 105 watts before mid-2014, then the stock price
would react positively.
In our opinion, the Cymer acquisition increased the likelihood of ASML being
able to achieve its 105-watt target before mid-2014. ASML has announced
decent progress in recent quarters, and management is confident that the
company can reach 105-watt light source power by mid-2014.
2. DRAM and NAND order growth: Memory orders have recovered from
their trough in Q1 2013, and accounted for 35% of total orders in Q2. We
believe that further improvement in memory orders would benefit ASMLs
revenue and share price.
In our opinion, we believe memory spending is increasing. 28% of KLAs
order were memory orders last quarter, up 60% from its December 2012
quarter orders (17% of which were memory), and it expects memory to
contribute 33% of orders in the June quarter. LAM has also indicated a
strengthening in memory orders in H1; its memory shipment portion
increased from a low 20% in December to 31% in the March quarter. We
therefore believe it to be very likely that ASMLs memory order level will
increase in the coming quarters, driven by customers such as Samsung and
Toshiba.
3. More aggressive shrinkage: Aggressive shrinkage plans will ultimately lead
to a higher level of demand for EUV tools and ArFi tools, versus current
modelled expectations.
The chip-makers roadmaps are already aggressive, in our view, and so the
opportunity for further acceleration in shrinkage terms would appear to be
low. However, as competition intensifies in the consumer electronic market,
Intel, TSMC and Samsung may bring their roadmaps forward in a bid to
secure a technology leadership position.
4. A delay in Nikons EUV development, or its exit from the EUV market:
Nikon, ASMLs only competitor in this segment, is looking to introduce EUV
after 2015/16. If Nikon delays this plan, or exits the EUV market altogether
due to technical issues, we believe this would have a beneficial effect on
ASMLs share price.
We do not believe that Nikon will make an announcement on its EUV plans
soon. It has stated that it is focusing on the ArFi market and that it expects its
ArFi tool to extend to 20nm chip designs, while EUV is only required for
printing 16nm/11nm chips. Therefore, we believe the timeframe for Nikon to
announce any possible delay or EUV plan would be end-2014 at the earliest or
2015, when it starts to focus on EUV production.
41
ASML Holding NV
Technology Hardware
Financials
ASPs (E m)
I-Line 3 4 4 4 4 4
KrF 11 12 12 10 10 10
ArF Dry 20 22 23 23 23 23
ArF Immersion 35 38 42 45 45 45
EUV 39 42 70 81 96 100
Blended 22 22 27 33 42 53
New System (inc EUV) 24 25 30 36 47 59
Refurbished 4 8 5 6 6 6
Revenues by technology
I-Line 1% 1% 2% 1% 1% 0%
KrF 19% 24% 16% 10% 6% 4%
ArF Dry 5% 2% 2% 4% 3% 4%
ArF Immersion 72% 71% 74% 62% 51% 28%
EUV 2% 1% 5% 23% 39% 64%
Revenues by technology
I-Line 62 56 61 48 32 32
KrF 945 908 632 520 333 291
ArF Dry 221 86 92 184 184 276
ArF Immersion 3,540 2,710 2,875 3,238 3,015 2,202
EUV 116 42 210 1,210 2,300 5,000
New system sales 4,772 3,621 3,790 5,104 5,768 7,705
Refurbished sales 112 180 80 96 96 96
Total Eqpmt sales (E m) 4,884 3,802 3,870 5,200 5,864 7,801
Total equip sales (exl EUV) 4,768 3,760 3,660 3,990 3,564 2,801
We expect EUV shipments to increase in volume in 2015 and 2016 after ramping
up slowly in 2013 and 2014. We expect logic/foundry companies to gradually
allocate more layers to EUV from ArFi as they gain more experience of it, and
memory-makers to start adopting EUV after throughput improves over time. We
estimate EUV will contribute the majority of ASMLs total tool revenue from 2016
onwards.
In 2013 and 2014, we expect ArFi tools to contribute the majority of ASMLs total
revenue and unit shipment. The adoption of the DP process by logic/foundry
players in 20nm node will drive solid demand for ArFi tools in 2013 and 2014.
Starting in H2 2014, the demand of ArFi is likely to decrease as the onset of EUV
reduces demand for DP. From this point, ArFi tools will then only be used for
non-critical layers.
42
ASML Holding NV
Technology Hardware
Shipment by Technology
Unit mn
250
200
150
100
50
0
2011 2012 2013E 2014E 2015E 2016E
I-Line KrF ArF Dry ArF Immersion EUV
The main contributor to orders and revenue has shifted to the foundry/logic
segment from memory since 2010. Foundry accounted for 45% of ASMLs order
book and 60% of its revenue in 2012. We expect the trend to continue until
memory investment recovers. Memory players Micron and SK Hynix have both
indicated that they have only made conservative capacity additions apart from their
node migration. Demand from the foundry/logic segment however is likely to
remain solid, driven by strong growth in the consumer electronics market and
increasing competition between Intel, Samsung, TSMC and others.
43
ASML Holding NV
Technology Hardware
80%
60%
40%
20%
0%
2011 2012
IDM Foundry Memory
80%
60%
40%
20%
0%
2011 2012
ASMLs software and service segment comprises metrology products and holistic
lithography software, designed to help customers optimise tool performance,
achieve greater printed feature resolution and reduce overall production costs. As
chip size scales down, ever higher levels of precision are required, which we believe
will lead to increased demand for metrology and holistic software over the next few
years as manufacturing processes become ever more complex.
44
ASML Holding NV
Technology Hardware
Corporate Gross Profit - reported 2,451 2,005 2,008 2,702 3,172 4,249
Corporate Gross Margin reported 43.4% 42.4% 39.9% 41.1% 43.2% 45.5%
Corporate Gross Profit - adj 2,450 2,005 2,111 2,754 3,212 4,289
Corporate Gross Margin -adj 43.3% 42.4% 41.9% 41.9% 43.7% 45.9%
We estimate that ASMLs total revenue to be 5,034m in 2013, and grow at CAGR
23% to reach 9,346m in 2016, driven by EUV tool shipment and high ASP. In
2016, we expect EUV to contribute 54% of total revenue, with revenue from other
tools declining over time.
We estimate adjusted group gross margins will decline from 42.4% in 2012 to
41.9% in 2013, primarily due to initial EUV shipment at below the group average
gross margin (25%). We expect the group gross margin to improve over time due
to 1) an EUV gross margin uptick, 2) increased group revenue level leading to
leverage, and 3) increased services revenue and Cymer consolidation.
45
ASML Holding NV
Technology Hardware
7.00
20%
6.00
5.00 15%
4.00
3.00 10%
2.00
5%
1.00
0.00 0%
2011 2012 2013E 2014E 2015E 2016E
ASML began a share buyback programme in 2011. It has executed 1.13bn in share
buybacks to date, and announced another 1bn repurchase for 2013-2014 in Q1
2013.
46
ASML Holding NV
Technology Hardware
Valuation
Our price target of 73.00 is based on a P/E valuation. The price target of 73.00
implies a 12x P/E based on EPS estimates of 7.4/share, discounted by a 10%
WACC back to 2014. We adopted the EPS estimate which fairly reflects EUVs
earning power as EUV is the main growth driver for ASML, in our opinion. EUV
revenue is expected to grow by a CAGR of 188% over the next three years, and
contribute 54% of revenue and 55% of the gross profit in 2016. We estimate EUV
shipments to be fully ramped up in 2016.
ASML traded at 7x to 24x forward P/E during the semiconductor industry
recovery cycle between end-2009 to 2011 (see Figure 18). We believe we have now
entered another recovery cycle from the beginning of 2013, as noted by front-end
players such as ASML, AMAT, TEL and KLA which have started to see order
levels pick up. ASML was trading at 9x to 13x in June-September 2010, which was
six months after the start of the last recovery cycle.
Our P/E of 12x is 10% higher than the middle point of historical 10x-13x mid-
recovery cycle P/E. This premium is assigned to reflect ASMLs stronger
competitive position compared to 2010. ASML held a 70% market share in 2010;
we expect it to hold a more than 80% by 2016, and dominate the EUV market.
Source: ASML
ASML HOLDING NV 25.04 15.67 12.17 21.81 10.74 2.10 4.80 3.73 0.67
47
ASML Holding NV
Technology Hardware
Key risks
1. EUV feasibility: If ASML and Cymer fail to improve EUV light power to the
required 105-watt level before mid-2014, we believe its share price will be
negatively affected.
In our opinion, ASML/Cymer is the only player with the capability to deliver
EUV tools with the required throughput level. The Cymer acquisition further
increased the likelihood of ASML achieving the 105-watt target by mid-2014.
Management retained its 105-watt light source power target in Q2 and
indicated that it expected to achieve 80 watts by September/October 2013.
2. Semiconductor spending pause: ASMLs future success depends on how
aggressive chip-makers shrink and on demand for leading-edge chips. The
consumer electronics devices market has been driving demand for smaller
chips in the past few years, so any slowdown in this market will affect spend.
In our opinion, the consumer electronics market is unlikely to slow down in
next few years due to strong demand from developing countries; however, we
do expect intensified competition. Intel, Samsung, Apple and fabless players
are likely to use leading-edge chip technology as a differentiation factor.
Therefore the chance of chip-makers pausing investment in leading technology
is very low.
3. Alternative technology: EUV is now seen as the most credible method to
achieve further shrinkage; however, development of other technologies such as
E Beam and DSA (directed self assembly) are still ongoing.
In our opinion, alternative solutions like E BEAM, DSA are unlikely to replace
EUV as the cost-effective solution for printing leading-edge node. E
Beam/multi-beam is currently suffering significant throughput limitations. The
throughput for a single machine is less than 1wph. DSA is a method of using
block copolymer material to generate a repeatable pattern. As per Gartner data,
DSA has the potential to significantly reduce lithography costs, as only single
patterning is needed. It may potentially be used for 14nm, but the associated
cost is prohibitive.
48
ASML Holding NV
Technology Hardware
Company background
ASML is the worlds leading provider of lithography systems for the semiconductor
industry, and manufactures lithography tools that are critical to the production of
integrated circuits or chips. It designs, develops, integrates, markets and services
the lithography tools used by its customers. Customers include all major foundry,
logic and memory chip-makers and IDMs.
ASML has a broad product portfolio for lithography tools, and it regularly
refreshes tools to deliver a high specification.
ArFi
TWINSCAN NXT:1970Bi Estimate 2014
TWINSCAN NXT:1960Bi Estimate 2013
TWINSCAN NXT:1950i 38 nm >=230wph, aim250wph 2009 Q3
TWINSCAN XT:1950Hi 38 nm >=148 wph 2009 H1
TWINSCAN XT:1900Gi (OLD) 40 nm >=131 wph 2007 July
TWINSCAN XT:1700Fi (OLD) 45 nm >=122 wph 2006 Q1
ArF
TWINSCAN XT:1450H 65 nm >=162 wph 2007 Mid
TWINSCAN XT:1450G 65 nm >=145 wph 2004
KrF
TWINSCAN XT:1000H 80 nm 2008 Mid
TWINSCAN XT:860K 110 nm >=210 wph 2007/2008
TWINSCAN XT:800K 120 nm >=220 wph 2007/2008
TWINSCAN XT:875G(OLD) 90 nm >=150 wph 2007 H2
TWINSCAN XT:870G(OLD) 110 nm >=150 wph 2007 H2
I Line
TWINSCAN XT:400K 350 nm >=220 wph 2008
TWINSCAN XT:450G (OLD) 365 nm >=141 wph 2008 H2
TWINSCAN XT:400G(OLD) 365 nm >=149 wph 2007 Nov
49
ASML Holding NV
Technology Hardware
Stichting
Administratiekantoor
MAKTSJAB/
Intel*
15%
Other
52%
FMR LLC
9%
Capital Group
International, Inc
13%
*Major shareholders have the same voting rights as other shareholders, with exception Intel and TSMC (and related
foundations) in the Customer Co-Investment Program
Source: ASML
Management
CEO Peter Wennink
Peter Wennink became CEO in July 2013. Previously, he was executive vice
president, CFO and a member of the management board from 1999.
50
ASML Holding NV
Technology Hardware
Financials
51
ASML Holding NV
Technology Hardware
Balance sheet
Year-end December (EUR m) 2011 2012 2013E 2014E 2015E 2016E
Intangible assets 154.4 159.1 2,776.1 2,729.0 2,682.0 2,635.0
Property, plant and equipment 1,053.6 1,029.9 1,335.1 1,537.0 1,698.8 1,830.6
Deferred taxe asset (LT) 38.7 39.4 52.5 52.5 52.5 52.5
Other assets 307.3 350.2 309.6 309.6 309.6 309.6
Fixed Assets 1,554.0 1,578.6 4,473.3 4,628.1 4,742.9 4,827.7
Liquid assets 2,731.8 1,768.0 1,285.2 1,719.0 3,196.2 5,348.7
Inventories 1,624.6 1,857.0 3,203.4 3,328.1 3,692.7 3,950.5
Accounts receivable 880.6 605.3 956.3 1,120.4 1,042.3 1,213.9
Current income tax assets 231.7 1,233.1 1,222.5 1,222.5 1,222.5 1,222.5
Current Assets 5,706.8 5,832.3 6,959.7 7,682.2 9,446.0 12,027.8
TOTAL 7,260.8 7,410.9 11,433.0 12,310.3 14,188.9 16,855.5
Shareholders' equity 3,444.2 4,066.9 6,842.5 7,692.7 9,221.2 11,636.2
Minority interest 0.0 0.0 0.0 0.0 0.0 0.0
Bonds (long term) 0.0 0.0 0.0 0.0 0.0 0.0
Deferred taxes 849.8 501.4 654.8 654.8 654.8 654.8
Long-term debt 733.8 755.9 735.6 735.6 735.6 735.6
Other liabilities 0.0 0.0 0.0 0.0 0.0 0.0
Non-current liabilities 3,444.2 4,066.9 6,842.5 7,692.7 9,221.2 11,636.2
Current liabilities 2,233.0 2,086.3 3,200.2 3,227.2 3,577.3 3,828.9
TOTAL 7,260.8 7,410.5 11,433.0 12,310.3 14,188.9 16,855.5
Source: Company data, Berenberg estimates
52
ASM International NV
Small/Mid-Cap: Technology Hardware
Our revenue forecasts for in line with consensus, and our EPS are
the wafer processing industry. It supplies
equipment used for the deposition process.
10%, 8% and 10% above consensus for 2013, 2014 and 2015. We
Non-institutional shareholders:
believe that the gross margin will increase as a result of top-line Arthur Del Prado 18%
growth, and that ASMI can offset its increasing R&D levels with cost-
savings.
Our 31 price target is based on an SOTP valuation. We value ASMIs
front-end operation at 11/share by applying a 14x P/E multiple on
2014 EPS excluding ASMP at 0.81. The 14x P/E multiple is the
average P/E of its peers excluding TEL, as TEL usually trades at a
P/E premium due to its strong cash position. We value the back-end
operation based on ASMPs listed price, applying a 5% holding
discount.
Y/E 31.12., EUR m 2011 2012 2013E 2014E 2015E
Sales 1,634 1,418 559 477 499
EBIT 366 88 25 61 68
Net profit 187 7 1,470 125 133
Y/E net debt (net cash) -191 -206 -252 -363 -475
EPS (GAAP) 3.12 0.15 22.98 1.95 2.09 22 July 2013
EPS (Proforma) 2.38 0.23 0.95 1.95 2.09
CPS 3.93 0.76 0.25 2.03 1.68 Tammy Qiu
DPS 0.36 0.49 4.78 0.00 0.00 Analyst
+44 20 3207 2673
Gross margin 35.6% 31.1% 33.9% 40.0% 41.0%
tammy.qiu@berenberg.com
EBIT margin 22.4% 6.3% 4.6% 12.8% 13.7%
Dividend yield 1.4% 1.8% 17.6% 0.0% 0.0% Jean Beaubois
ROCE 22.6% 1.8% 3.3% 6.2% 6.1% Specialist Sales
EV/sales 0.8 0.9 2.4 2.8 2.7 +44 20 3207 7835
EV/EBIT 3.6 15.0 52.4 21.8 19.4 jean.beaubois@berenberg.com
P/E 8.8 183.2 1.2 14.1 13.2
Source: Company data, Berenberg
53
ASM International NV
Small/Mid-Cap: Technology Hardware
Investment summary
We base our investment thesis on Buy-rated ASMI on the following five points.
1. We expect the ALD market to reach 700m in the next three years:
ASMI generates 60% of its revenue from the ALD market. We expect the
addressable market to expand to 700m (a rise of c20%) in the next three
years, driven by increasing HKMG adoption in foundries and logic. In 2012,
the HKMG process was only adopted by Intel (100% of 22nm capacity),
TSMC (60% of 28nm capacity) and Samsung (100% of 32nm capacity, 0% of
28nm capacity). We expect TSMC, Samsung and other tier two foundries
(such as Globalfoundries and UMC) to increase their HKMG-based capacity
significantly in the next two years because HKMG is essential for building
20nm/below chips. We do not expect ASMI to lose market share: it has
accumulated a comprehensive IP portfolio on ALD technology. TEL, the only
other main player in this market, currently licenses ASMIs IP to make ALD
tools.
2. Market expansion driven by new epitaxy tools: ASMIs previous epitaxy
tool (17% of revenue) only addressed the power devices and analog markets;
however, in 2012, it released a new epitaxy tool model (Intrepid XP) that
targeted the logic market. We expect the epitaxy market to expand to 500m
in the next three years from 423m today (a rise of c9% per year). Given
ASMIs strong relationship with Intel and Intels dual-sourcing policy, we
believe that ASMI could gain orders from Intel and serve as its secondary
supplier, after AMAT. We believe its market share may, therefore, expand
from the current 10% level to 11% in 2015.
3. ASMIs front-end business worth more than $800m today: AMAT and
Francisco Partners offered to acquire ASMIs front-end business for $800m in
2008. The price looked expensive due to a private equity buyout valuation
premium before the financial crisis. We believe the front-end business is worth
more than $800m today (worth $949m as per our valuation) because it is in a
better position than it was in 2008. This is because: 1) ASMIs market share in
the ALD market has risen by 6% since 2008 to 44% in 2012; 2) 3D NAND
will boost PE CVD (14% of revenue) in 2014/15, an opportunity that did not
exist in 2008; and 3) ASMI could gain epitaxy orders with its newly launched
tool and increase its exposure to strong logic capex.
4. Further ASMP share disposal is a catalyst given investor frustration:
ASMIs share price is affected by its holding in ASMP, a non-strategic asset.
We think that management has aligned its interest with shareholders (as
demonstrated by the founders comments at the 2012 AGM). ASMI has
already sold down a 12% tranche, and we expect it to sell further tranches
following the September lock-up expiry. The market has been disappointed
that the full stake was not disposed of. Our view is that a structured selling of
the holding is the optimal approach as a better value can be realised.
5. SOTP 65% of ASMIs share value comes from ASMP: In our SOTP,
65% of ASMIs share value comes from its 40% holding in ASMP, which we
value based on ASMPs share price after applying a 5% holding discount. We
value ASMIs front-end business at 10/share by applying 14x P/E on 2014
EPS of 0.81.
54
ASM International NV
Small/Mid-Cap: Technology Hardware
Based on our 2014 estimates, ASMIs ROE is 6.2%, which is lower than that of
ASML, AMAT, SUSS and TEL. It does not have a stable dividend policy in place.
Its free cash flow yield is 6.2%, which is lower than SUSS and AMAT.
Key catalysts
The following are the key catalysts for the stock.
ASMP share disposal: In our opinion, there is little synergistic or strategic
reason for keeping ASMP shares, as ASMP was operated independently from
the beginning. We think that a structured selling of the holding will be positive
for the share price.
Order intake recovery in the coming months: We believe ASMI is likely to
announce further order growth in the next six to 12 months, driven by the
semiconductor cycle recovery and ALD orders as chip-makers are expanding
their leading-edge chip manufacturing capacity.
New epitaxy orders from logic: In our opinion, ASMI may not gain much
market share from AMAT in the epitaxy market, but it could become a
secondary supplier to Intel, given Intels dual-sourcing policy.
Key risks
The following are the key risks for the stock.
Leading-edge chip technology-related spending pause: We do not expect
the capex on the most advanced chip technology to pause until 2017/2018,
when we expect consumer electronic devices demand from emerging markets to
become saturated.
Availability of alternative technology: We are not yet concerned about the
threat from alternatives to ALD such as PE CVD, as PE CVD is currently
lagging ALD in terms of its ability to achieve the required layer uniformity level.
55
ASM International NV
Small/Mid-Cap: Technology Hardware
EUR bn
2 160%
1.8 140%
1.6
120%
1.4
1.2 100%
1 80%
0.8 60%
0.6
40%
0.4
0.2 20%
0 0%
In March 2013, ASMI divested 12% of its holding in ASMP, and ASMIs share
price fell by as much as 24% in the two months following the disposal. The slump
occurred because 1) the market was expecting ASMI to sell more than 12% of its
ASMP holdings and 2) investors were concerned about when and even if there will
be further share disposals.
We believe the market currently has a low expectation of further divestment once
the lock-up period expires in September 2013, and a further disposal could be a
catalyst for ASMIs share price. However, we also analyse ASMI from a different
angle. We believe its front-end business will also drive strong performance in the
long run and make the stock an attractive investment.
100.0%
90.0%
80.0%
70.0%
60.0%
50.0%
40.0%
30.0%
20.0%
10.0%
0.0%
2012 2011 2010 2009 2008
AMAT TEL LAM/Novellus Hitachi ASMI Veeco Aixtron
57
ASM International NV
Small/Mid-Cap: Technology Hardware
ASMI shares the ALD market with TEL/Hitachi (see Figure 5). We expect ASMI
to maintain its high market share because: 1) it has already established a strong
relationship with the mainstream foundry/logic players, all of which we believe
have committed to using ASMIs ALD tools; 2) ASMI has, since it became the first
player in this market in 1999, built a comprehensive ALD-related IP portfolio that
has raised the ALD markets entry barriers; and 3) TEL is not really a competitor
for ASMI because it only has batch ALD systems, while ASMI is more focused on
single-wafer processing equipment.
TEL licenses its ALD IP from ASMI and focuses on batch ALD equipment used
in memory-makers. The only reason ASMI licensed its ALD IP to TEL is because
the batch system is mainly used by a memory-maker with which TEL has a strong
relationship, and is therefore hard for ASMI to penetrate. ASMIs management has
made a strong commitment to remain the leader in ALD technology and it has
dedicated a further eight years R&D to turning ALD into a process that can be
used reliably and efficiently by advanced semiconductor chip-makers.
9,000 12.0%
8,000
10.0%
7,000
6,000 8.0%
5,000
6.0%
4,000
3,000 4.0%
2,000
2.0%
1,000
- 0.0%
2009 2010 2011 2012 2013E 2014E 2015E 2016E 2017E
Epitaxy Deposition Epi/deposition
AMAT holds a dominant 89% share in the global epitaxy market (see Figure 7) and
ASMI currently holds a 9% share. ASMI only addresses the power devices and
analog markets, which are highly cyclical and low-growth (the analog market fell by
4.8% from 2011 to 2012). We do not expect it to gain significant market share
from AMAT because AMAT is already well established with logic/foundry players.
However, we believe that ASMI may be able to serve as a second supplier to Intel
after AMAT, given its strong relationship with Intel.
AMAT and LAM/Novellus are the biggest players in the PE CVD market, and we
do not expect ASMIs market share to change significantly. However, it may still
benefit from the markets growth in absolute revenue terms (see Figure 9).
59
ASM International NV
Small/Mid-Cap: Technology Hardware
100.0%
90.0%
80.0%
70.0%
60.0%
50.0%
40.0%
30.0%
20.0%
10.0%
0.0%
2008 2009 2010 2011 2012
AMAT ASMI Novellus /LAM
Source: Gartner data
60
ASM International NV
Small/Mid-Cap: Technology Hardware
Key catalysts
In our view, the following events could be catalysts for the stock.
5. ASMP share disposal: ASMIs share price fell by 24% over the two months
following its divestment of 12% of ASMP in March 2013. Given the market
has very low expectations of further divestment in September; we believe the
share price will rise if ASMI does divest more.
In our opinion, ASMP was operated independently from the beginning, and
there is little synergistic or strategic reason for keeping ASMP shares, given
that its end-market exposure does not overlap with ASMIs. Therefore ASMI
may dispose of more ASMP shares in the next two years, if not in September
2013.
6. Order intake recovery: As a semiconductor equipment vendor, ASMIs order
level is cyclical and subject to the capex level of chip-makers. Signs of an order
recovery will ultimately lead to higher revenue and profitability, and will
therefore be positive for the share price.
In our opinion, ASMI will see an order recovery in the next 6 to 12 months. Its
front-end peers ASML, AMAT, KLA and TEL have all started to see order
intake growth from the beginning of 2013. Apart from the cycle-recovery-
driven order increase, ASMIs ALD orders are likely to be fuelled by leading
foundries expanding their HKMG process-adoption rate in the next two years,
and tier two foundries may follow from 2014.
7. New Intel order for epitaxy product: ASMIs epitaxy equipment previously
addressed the analog and power management markets, which are highly cyclical
and have a low growth rate (the analog market fell by 4.8% in 2012). In 2012,
ASMI released its Intrepid XP epitaxy model that targeted the logic segment.
We expect its share price to react positively if it wins market share in logic,
which would give it exposure to new opportunities, such as FinFET design.
AMAT dominates the global epitaxy market with an 89% market share. We
believe it is unlikely that ASMI will win significant share from AMAT
overnight, given that AMAT is well established with the big customers.
However, ASMI may gain some small orders as the secondary supplier to Intel,
with which it has a strong relationship.
61
ASM International NV
Small/Mid-Cap: Technology Hardware
Valuation
EUR bn
2 160%
1.8 140%
1.6
120%
1.4
1.2 100%
1 80%
0.8 60%
0.6
40%
0.4
0.2 20%
0 0%
SOTP valuation
ASM INTL NV 27.03 14.02 13.19 50.89 10.49 13.21 3.13 1.91 1.52
Our SOTP valuation of ASMI is based on the value of ASMIs holding in ASMP
and the front-end business. Our valuation of ASMIs share of ASMP reflects
ASMPs listed price. We apply a 5% liquidation discount to ASMIs shareholding in
ASMP. We value ASMIs front-end business based on a P/E valuation of 14x 2014
adjusted EPS, excluding ASMPs earning contribution.
ASMI currently holds 40% of ASMP, compared with 53% before March 2013. The
14x P/E is the mean P/E multiple of its peers for 2014 (see Figure 12) excluding
TEL. We excluded TEL from mean calculation because it trades at a premium
compared with its peers, due to its strong cash balance.
62
ASM International NV
Small/Mid-Cap: Technology Hardware
We believe ASMI is in a better position today than it was in 2008 for the following
reasons.
1) ASMIs share of the ALD market has risen from 38% in 2008 to 44% today.
It is unlikely to lose market share in the future as its strong IP portfolio has
created a high entry barrier and TEL is not competing with ASMI in the same
end-market. The visibility of ALDs growth potential is much higher today
than it was five years ago, because all mainstream logic/foundry players are
adopting HKMG solutions in their advanced chip manufacturing processes.
2) In 2014/2015, PE CVD growth will be fuelled by 3D NAND, which is a
growth driver that did not exist in 2008. PE CVD is expected to benefit from
3D NAND ramping up as the number of layers of the chip increase
significantly.
3) ASMI could gain logic customers through the epitaxy tool it released in 2012.
This would bring extra upside.
63
ASM International NV
Small/Mid-Cap: Technology Hardware
The market is concerned that the industry will not see memory capex expand at the
rate it did 2010. If this is correct, ASMI will lose some of its upside for PE CVD,
which is used in memory-makers. Our response, however, is that although the
memory market expanded in 2010 it has since pulled back, and PE CVD is not
ASMIs main exposure. The focus should be on the ALD division, where it
generates the majority of its revenue.
As ASMI is in a better position today than it was in 2008, we believe the ALD and
PE CVD segment is now worth more than $400m-500m compared with 2008. In
our analysis below, the combined value of these two businesses is at least $575m
based on current FX rates. This value is 13% higher than the high end of the
AMAT and Francisco Partners offer, and is worth 41% more than the high-end of
that offer once the June 2008 FX rate is factored in.
@FX rate today /$1.3 $mn 575 15% higher than top end $500mn offer
@FX rate 2008 June /$1.6 $mn 707 41% higher than top end $500mn offer
Source: Berenberg estimation, Bloomberg for FX
64
ASM International NV
Small/Mid-Cap: Technology Hardware
Key risks
65
ASM International NV
Small/Mid-Cap: Technology Hardware
Financials
ASMI has historically consolidated its ASMP results. However, following the
disposal of 12% of its ASMP shares on 15 March 2013, it has deconsolidated
these.
ASMIs revenue model
We model ASMIs revenue base on the front-end order intake trend.
We estimate that ASMIs underlying revenue will reach 559m in 2013, 160m of
which will come from ASMP, and that the front-end operations revenue will grow
to 467m in 2014. This top-line growth will be driven by the rising demand for
ASMIs equipment. With the increased adoption of HKMG in advanced chip
manufacturing processes and ASMIs strong share of the ALD market, we expect
ALD equipment to increase its revenue contribution.
We also expect its epitaxy revenue to grow as it may receive Intel orders for its
new epitaxy tools. PE CVD is also contributing to revenue growth, but to a lesser
extent because ASMIs share of the market is minor compared with AMATs and
LAM/Novellas.
Revenue by customer/region
ASMI supplies equipment to the leading chip-makers in logic, foundry and
memory, primarily for their deposition processes. It generated more than 50% of
its revenue from its top three customers in 2012 (Intel, Samsung, TSMC we
believe), compared with 40% in 2011. This concentration of revenue is a result of
the concentration in capex spending and we expect it to last in the mid-term.
80% 80%
60% 60%
40% 40%
20% 20%
0% 0%
2010 2011 2012 2010 2011 2012
Top 3 customer No. 4-10 Rest SE Asia EUROPE US Japan
66
ASM International NV
Small/Mid-Cap: Technology Hardware
Gross profit
ASMI (front end) 172 124 151 191 204
ASMP (back end) 410 316 38
582 440 189 191 204
Gross margin
ASMI (front end) 37.8% 33.6% 37.9% 40.0% 41.0%
ASMP (back end) 34.8% 30.2% 24.0%
35.6% 31.1% 33.9% 40.0% 41.0%
R&D
ASMI (front end) 49 59 59 65 68
ASMP (back end) 81 91 17
129 149 76 65 68
SG&A
ASMI (front end) 61 65 62 65 68
ASMP (back end) 115 138 26
176 202 87 65 68
Operating profit
ASMI (front end) 63 1 30 61 68
ASMP (back end) 214 88 (4)
276 89 25 61 68
Operating margin
ASMI (front end) 13.7% 0.4% 7.5% 12.8% 13.7%
ASMP (back end) 18.1% 8.4% -2.7%
16.9% 6.3% 4.5% 12.8% 13.7%
Source: Berenberg estimates
We expect ASMIs gross margin and operating margin to rise to the historical peak
level reached in the previous cycle. We estimate the company gross margin at 34%,
40% and 41% in 2013, 2014 and 2015 respectively. We forecast that the group
operating margin will be 5% in 2013, 13% in 2014 and 14% in 2015. We expect
R&D and SG&A expenses to increase between 2013 and 2015 but at a slower pace
than revenue growth.
Dividends policy and cash distribution
After a three-year dividend break between 2008 and 2010, ASMI distributed
consistently stable dividends from 2010 to 2012. In 2012, it announced it would
buy back 2m shares from shareholders. In addition to the dividend and the
repurchase programme, it is returning 65% of the ASMP divestment proceeds
(4.25/share) to shareholders. In total, ASMI distributed 335m cash to investors
from 2011, which is equivalent to about 20% of its market capitalisation.
67
ASM International NV
Small/Mid-Cap: Technology Hardware
68
ASM International NV
Small/Mid-Cap: Technology Hardware
Company background
ASMI was founded by Arthur del Prado in 1968. It co-founded ASML with Philips
Electronics. It is a leading supplier of semiconductor equipment, materials and
process solutions for the wafer processing industry. It supplies equipment used for
ALD, PE ALD, PE CVD, low pressure (LP) CVD and oxidation/diffusion. It
currently holds 40% of shares in ASMP. ASMP is worlds largest assembly and
packaging supplier for the semiconductor and LED industries, and is a leading
supplier of stamped and etched lead frames.
Holding in ASMP
ASMP was founded in 1975 as the Asian marketing arm of ASMI. It has been
operating independently since incorporation. ASMP was formally listed on the
Hong Kong Stock Exchange in 1989, and ASMI, as the parent company held 53%
of ASMPs shares before Mar 2013.
At its AGM in May 2012, ASMI announced that it had appointed Morgan Stanley
and HSBC to carry out a study into why the market had failed to recognise the
market value of the companys combined business (front end and back end).
Subsequently, ASMI has analysed alternative solutions for crystallising the front-
end business value, including a larger or full secondary placement of ASMP shares,
a spin-off of ASMP, a sale of its ASMP stake, the sale of its front end, ASMP
integration and a 100% ASMP acquisition. Due to concerns about pricing and tax
efficiency, the company concluded that a partial secondary placement of 8% to
12% of ASMP shares was the most suitable way to address the lack of recognition
of ASMIs combined business value.
In March 2013, ASMI disposed of a 12% of stake in ASMP, and the company
intends to distribute approximately 65% of the proceeds from disposal. The lock-
up period will expire in September 2013.
69
ASM International NV
Small/Mid-Cap: Technology Hardware
18%
Aberdeen
10%
Capital Group
67% 5% companies
Others
Management
Chuck del Prado, the son of Arthur del Prado, became ASMIs CEO in 2008.
Between 1989 and 1996, Chuck Del Prado held several marketing and sales
positions at IBM Nederland NV. From 1996 to 2001, he worked in various
manufacturing and sales management positions at ASML in Taiwan and the
Netherlands. He was appointed director of marketing, sales and service of ASM
Europe in March 2001. From 2003 to 2007, he was president and general manager
of ASM America. From 1 January 2008 to 29 February 2008, he acted as executive
vice president front-end operations at ASM America.
Peter AM Van Bommel became CFO on 1 September 2010. Mr Van Bommel
joined Philips in 1979. From the mid-1990s until 2005 he acted as CFO of several
business units of the Philips group. Between 2006 and 2008 he was CFO at NXP,
formerly Philips Semiconductors. He was CFO of Odersun AG, a manufacturer of
thin-film solar cells and modules until 31 August 2010. In April 2012, Mr Van
Bommel was appointed a member of the supervisory board and a member of the
Audit Committee of the Royal KPN NV.
70
ASM International NV
Small/Mid-Cap: Technology Hardware
Financials
71
ASM International NV
Small/Mid-Cap: Technology Hardware
Balance sheet
Year-end December (EUR m) 2011 2012 2013E 2014E 2015E
Intangible assets 52.1 51.9 11.5 11.5 11.5
Property, plant and equipment 260.2 275.4 39.0 10.3 -18.4
Other assets 74.1 56.1 1,423.2 1,508.9 1,597.4
Fixed Assets 386.4 383.5 1,473.7 1,530.8 1,590.6
Liquid assets 390.3 290.5 255.6 367.6 480.3
Accounts receivable 330.9 304.8 89.0 76.1 79.5
Inventories 376.7 403.4 116.6 90.4 92.9
Other current assets 82.7 80.0 6.3 5.4 5.6
Deferred taxes 14.4 18.0 5.3 5.3 5.3
Current income tax assets 0.9 0.9 0.5 0.5 0.5
Current Assets 1,195.8 1,097.6 473.3 545.2 664.0
TOTAL 1,582.2 1,481.0 1,947.0 2,076.0 2,254.6
Shareholders' equity 659.8 738.4 1,851.9 1,997.7 2,173.6
Minority interest 297.9 308.1 0.0 0.0 0.0
Long-term debt 15.3 12.6 0.0 0.0 0.0
Subordinated capital 135.1 0.0 0.0 0.0 0.0
Provisions 6.8 5.3 0.0 0.0 0.0
Deferred taxes 0.9 1.0 0.0 0.0 0.0
Pensions provisions 9.9 -6.0 3.4 3.4 3.4
Other liabilities 0.0 0.0 0.4 0.9 1.3
Non-current liabilities 659.8 738.4 1,851.9 1,997.7 2,173.6
Short-term debt 40.7 61.7 0.0 0.0 0.0
Accounts payable 157.5 151.8 62.2 48.2 49.5
Other accruals 152.9 170.7 22.9 19.6 20.4
Advance payments 42.7 0.0 0.0 0.0 0.0
Deferred income 0.0 0.0 0.0 0.0 0.0
Other liabilities 54.9 27.7 2.8 2.8 2.8
Current portion of long term debt 4.3 6.3 0.0 0.0 0.0
Current liabilities 456.5 421.6 91.4 74.1 76.3
TOTAL 1,582.2 1,481.0 1,947.0 2,076.0 2,254.6
Source: Company data, Berenberg estimates
72
ASM International NV
Small/Mid-Cap: Technology Hardware
73
Suess Microtec AG
Small/Mid-Cap: Technology Hardware
74
Suess Microtec AG
Small/Mid-Cap: Technology Hardware
Investment summary
*based on Berenberg estimates of 2014 performance. Net cash based on 2012 YE balance
Source: Berenberg estimates, company data
75
Suess Microtec AG
Small/Mid-Cap: Technology Hardware
Based on our 2014 estimates, SUSSs ROE is lower than ASMLs and AMATs. Its
FCF yield is lower than the front-end equipment vendors, as we expect it will
supply more 3D bonder demonstration tools to potential customers in 2014/2015,
which is negative for operating cash flow.
Key catalysts
The key catalysts to drive the share price include the following.
1) Further 3D bonder orders received from mainstream chip-makers:
Samsung may release a showcase chip in 2014 that could be a trigger for other
chip-makers to start placing orders. The other mainstream chip-makers may
also build pilot lines if Samsungs chip gains traction in the market.
2) EUV photomasks require more frequent cleaning than conventional
photomasks: EUV photomasks have a more complicated structure compared
to conventional photomasks, and they may therefore need to be cleaned more
frequently. We believe more data points will become available once EUV
ramps up in 2014/2015.
3) Order recovery: The front-end semiconductor equipment vendors have all
reported/guided improved order levels in recent quarters compared to 2012
levels. As a back-end player, SUSS is likely to see order improvement in the
coming quarters.
Key risks
The key risks include the following.
1) The timeframe for 3D bonder adoption remains uncertain and the
division is currently loss-making: In our opinion, the 3D bonder division is
not likely to receive orders in volume until 2015/16 and will remain loss-
making in 2014 and 2015.
2) The photomask division has a lower operating margin than the
lithography division (5% versus 21% in 2012) and dilutes the group
operating margin: If EUV photomasks do not require more frequent
cleaning than conventional photomasks, the division is likely to remain
margin-dilutive.
76
Suess Microtec AG
Small/Mid-Cap: Technology Hardware
SUSS has received two orders from a leading IDM (Samsung we believe) for 3D
bonders to build its 3D pilot line. If Samsung releases a sample chip next year, the
remaining chip-makers are very likely to follow suit. Due to the high level of
competition, chip-makers will want to remain diversified and become involved in
all possible mainstream chip designs.
3D integration has yet to be adopted by mainstream chip-makers as the main focus
currently is on shrinking chip size and the performance/power consumption gain
from 3D integration is less than that from chip shrinking/FinFET. We believe the
mainstream chip-makers are likely to start working on 3D pilot lines while
continuing to shrink chips. In our model, we have included one shipment each for
2014 and 2015. Our bull-case scenario assumes all mainstream IDMs, memory and
packaging houses will start building their pilot lines in 2014/2015. Excluding the
chip-makers already working with EV Group (Intel and Micron, we believe), the
77
Suess Microtec AG
Small/Mid-Cap: Technology Hardware
demand could amount to around seven new tools for 2014 and 2015 (one each for
the top three packaging houses ASE, Amkor and SPIL, one for IBM, one for
Toshiba/Sandisk, one for Hynix and one for TSMC), which is five more than our
current estimate for 2014 and 2015 combined. The maximum revenue upside is 9%
and 8% for 2014 and 2015 respectively, and operating profit upside is 42% and
18% respectively, as the bonder segment is likely to reach break even.
The bonder division has been loss-making in the past, and has wiped out half of
the groups operating profit for the past three years. The company has indicated
that revenue of 30m-40m is required to break even. In our forecast, we expect the
divisions revenue to reach 29m by 2015, and the operating loss will narrow
significantly from 12m in 2012 to 5.4m, driven by higher revenue levels and
future cost savings from improved efficiency (2m-3m/year).
SUSS supplies wafer bonders, coater/developers, mask aligners and exposure
equipment used in the 3D integration process. It shares the market with EV Group
and has a leading market share in the temporary bonders market. If 3D bonding
gains traction with other IDMs over the next two years, and becomes one of the
mainstream solutions in 2016/2017, we may see the risk of other big front-end
players such as AMAT and TEL joining the market.
200
150
100
50
0
2011 2012 2013E 2014E 2015E 2016E
I-Line KrF ArF Dry ArF Immersion EUV
78
Suess Microtec AG
Small/Mid-Cap: Technology Hardware
players such as TEL and AMAT do not focus on this area although we would
expect them to join the market if it expands significantly.
10,000
9,000
8,000
7,000
24.0%
6,000
5,000
4,000
3,000
20.2%
2,000
1,000
0
2011 2012 2013E 2014E 2015E 2016E 2017E
Mobile phones Tablet Consumer Automotive Industrial Military Wired Storage Computer
79
Suess Microtec AG
Small/Mid-Cap: Technology Hardware
Key catalysts
In our view, the following events could be the catalysts for the stock price.
1. 3D bonder orders from other mainstream chip-makers: SUSSs share
price increased by 10% on 28 March 2013 on the news that it had received a
bonder order from a leading IDM (Samsung, we think). This demonstrates
that 3D bonding designs are being recognised by mainstream chip-makers.
If SUSS received further orders from other mainstream chip-makers and
packaging houses, its share price would likely react positively.
We believe Samsung may be planning to release sample chips using a 3D
stacking design in 2014 following the recent bonder order. We believe it
could be a trigger for other chip-makers to start building R&D/pilot lines
based on 3D bonding solutions. Given the intensified competition among
chip-makers today, they will not want to lag behind their competitors in any
area. SUSS may therefore see an increase in 3D bonder orders as early as
the second half of 2014, which would benefit its share price.
2. More frequent EUV photomask cleaning: EUV masks require
sophisticated cleaning tools due to their complex structure. SUSS has a
100% share of the EUV photomask cleaning market. Conventional
photomasks need to be cleaned every 5,000 exposures; however, it is unclear
how regularly EUV photomasks need to be cleaned. Should it be decided
that EUV photomasks need to be cleaned more regularly, there will be a
surge in demand for cleaning tools and SUSS will be the main beneficiary.
In our opinion, visibility on the cleaning tools required for EUV
photomasks is currently low. The growth of the photomask segment will at
least be maintained in line with todays rate, and we can only see upside
revenue potential. We are expecting further datapoints after the EUV ramp-
up from mid-2014.
3. Order recovery: SUSS generates 69% of its revenue from the lithography
segment. Any sign of an order recovery will be positive for its share price.
The higher the order intake, the higher the revenue growth and operating
margin improvement due to increased utilisation rates.
In our opinion, front-end equipment vendors such as ASML, AMAT, LAM
and KLA have all reported improved order levels in recent quarters
compared to end-2012. SUSS focuses on the back end of the
semiconductor fabrication process, so it is likely to see an order recovery
from end-2013. We believe the company may start to see order increases in
the coming quarters, therefore, as the back-end players may start to place
orders as soon as they see signs of a front-end recovery.
80
Suess Microtec AG
Small/Mid-Cap: Technology Hardware
Valuation
Our 9.0 price target is based a P/E of 14x on 2014 adjusted EPS of 0.67/share.
The P/E of 14x was based on its historical multiple.
Historically, SUSS has traded at 9x to 20x forward P/E during a semiconductor
industry recovery cycle (end-2009 to 2011). We believe the industry entered a
recovery cycle from the beginning of 2013, marked by front-end players registering
a pick-up in orders. SUSS, as a back-end player, would expect to see its own
recovery start after a three- to six-month delay. It was trading at an 11x to 18x
multiple between December 2010 and March 2011, which was 12 months after the
front-end players began their recovery cycle.
We have taken the mid-point (14x) of the historical multiple (11x-18x).
Mean Back end companies 20.78 15.62 7.72 14.42 12.80 3.52 2.31 1.86 0.50
Median Back end companies 28.35 16.78 7.85 18.67 14.64 4.10 2.91 2.41 0.73
*Ultratech Inc 2015 consensus is not available
Source: Bloomberg data
81
Suess Microtec AG
Small/Mid-Cap: Technology Hardware
Key risks
82
Suess Microtec AG
Small/Mid-Cap: Technology Hardware
Financial
year-over-year % change
Lithography 25% 2% -14% 22% 24%
Substrate Bonder -17% 13% 5% 18% 3%
Photo mask equipment 97% -37% 15% 36% -5%
Other 1% -32% 12% 11% 0%
Total 26% -6% -7% 23% 14%
83
Suess Microtec AG
Small/Mid-Cap: Technology Hardware
be dispatched from mid-2014, and we may have better visibility on the divisions
revenue potential closer to that date.
Revenue by region
SUSSs customers include large packaging companies, semiconductor IDMs, LED
manufacturers and mask shops. The company generates the majority of its revenue
from Taiwan, where many of the packaging companies are based. The share of
revenue from Europe increased in 2012 due to the MEMS-related manufacturing
equipment demand.
100%
90%
80%
70%
60%
50%
40%
30%
20%
10%
0%
2010 2011 2012
Europe North America Japan Rest of Asia
Profit margin
SUSSs profitability depends on its product mix and factory utilisation. Among
different product categories, lithography has the highest margin, followed by
photomask equipment; substrate bonder is currently loss-making and management
is not expecting it to be profitable in 2013.
We estimate the gross margin will decrease from 35% to 30.3% in 2013 due to a
lower revenue base, and a product mix shift towards substrate bonders, which are
lower-margin. We expect the gross margin to improve in 2014 and 2015 due to an
increased top line and improved factory utilisation.
We estimate the company to reach breakeven at the operating margin level
(excluding one-off write offs from the bonder segment) in 2013, and then gradually
returning to 2011 profitability levels. The increasing level of profitability is believed
to be driven by a higher gross margin, improved profitability of the substrate
bonder segment, and a cost saving resulting from the corporate structure
optimisation.
84
Suess Microtec AG
Small/Mid-Cap: Technology Hardware
85
Suess Microtec AG
Small/Mid-Cap: Technology Hardware
Company background
End-markets
SUSSs customers include large packaging companies, semiconductor IDMs, LED
manufacturers and mask shops. Its products are widely used in various end-
markets including advanced packaging, 3D integration, MEMS and mask
manufacturing (see Figure 10).
86
Suess Microtec AG
Small/Mid-Cap: Technology Hardware
Products used MaskTrack Pro Exposure system Mask Aligher Coater/developer Wafer bonders
Mask Aligner
Process step Photomask cleaning Stepper, Scanner coating/developing Bond Alignment
(proximity exposure)
(UV projection) Nano imprinting Permanent Bonding
Temporary Bonding
Mask manufacturing
Advanced Packaging
Markets 3D Integration
MEMS
LED
Source: Company data
87
Suess Microtec AG
Small/Mid-Cap: Technology Hardware
Manufacturing plants
SUSS used to operate four different production facilities:
88
Suess Microtec AG
Small/Mid-Cap: Technology Hardware
DWS Luxembourg, 3%
Henderson, 3%
Others, Blackrock, 3%
79% Credit Suisse, 3%
DWS Frankfurt, 9%
Management
CEO Frank Averdung
Mr Averdung became CEO in February 2009. Prior to joining SUSS, he served as
managing director of Carl Zeiss SMS GmbH, Jena, and general manager at AMAT
in Munich.
CFO Michael Knopp
Mr Knopp became CFO in August 2007. Prior to joining SUSS, he was the
commercial managing director of Kemmax GmbH located in Essen, as well as
CFO of international operations of Canadian parent company Chemtrade
Logistics.
89
Suess Microtec AG
Small/Mid-Cap: Technology Hardware
90
Suess Microtec AG
Small/Mid-Cap: Technology Hardware
Balance sheet
Year-end December (EUR m) 2011 2012 2013E 2014E 2015E
Intangible assets 22.2 22.9 22.3 22.3 22.3
Property, plant and equipment 9.5 12.1 21.3 21.3 21.3
Other assets 6.1 2.4 4.1 4.1 4.1
Fixed Assets 37.7 37.3 47.7 47.7 47.7
Liquid assets 56.4 36.6 15.9 18.3 31.8
Accounts receivable 17.8 21.8 25.8 26.1 36.3
Inventories 71.6 82.2 86.6 97.8 97.9
Other current assets 4.2 2.6 4.3 4.3 4.3
Current Assets 150.1 143.1 132.6 146.4 170.3
TOTAL 187.7 180.4 180.4 194.2 218.0
Shareholders' equity 120.4 127.2 127.4 141.5 162.6
Minority interest 0.7 0.0 0.0 0.0 0.0
Long-term debt 4.3 4.0 4.0 4.0 4.0
Other Non Current liabilities 6.2 7.1 7.1 7.1 7.1
Non-current liabilities 10.5 11.0 11.0 11.0 11.0
Accounts payable 7.6 6.9 8.7 8.4 11.2
Short-term debt 10.1 0.3 0.2 0.2 0.2
Other liabilities 39.1 35.0 33.0 33.0 33.0
Current liabilities 56.9 42.2 41.9 41.6 44.4
TOTAL 187.7 180.4 180.4 194.2 218.0
Source: Company data, Berenberg estimates
91
Applied Materials Inc
Technology Hardware
Key debates: 1) Can AMAT achieve a 4% WFE share gain by 2016? 1 month
3 months
3.3 %
21.5 %
3.0 %
15.7 %
2) Will AMAT be able to gain market share in the process control 12 months 36.2 % 34.9 %
market from its competitor KLA? 3) Can management deliver the 5% Key data
opex cut as targeted by 2016? Price/book value 2.7
1. We expect AMAT to only gain a 1% share by 2016, as: a) its Net gearing
CAGR sales 2012-2015
0.0%
3.4%
WFE market share has never reached 22% in the past 10 years; CAGR EPS 2012-2015 173.6%
b) it would need to gain a 3-6% share from its current customer
base to reach the target, as it only addresses 72% of the WFE
market; c) its potential share gain from etching and process
control only translates into a 1% total WFE share gain by 2016,
according to our calculations.
2. We think AMAT can gain up to a 5% market share in process
control by 2016 from its competitor KLA, given the recent
release of its new Applied SEMVision G6 product and the new Business activities:
presidents experience in the process control market. AMAT supplies semiconductor
fabrication equipment, flat panel display
3. We believe the new president and CFO, both of whom had good fabrication equipment and solar PV
track records at their respective previous posts at Varian Semi, manufacturing systems.
will improve the likelihood of AMAT achieving is 5% opex cut
target by 2016.
Our forecasts are in line with consensus.
We value AMAT on a P/E multiple basis: Our price target of
$14.4 implies a P/E of 12x based on our 2014 EPS estimate of $1.2.
The 12x P/E is the mid-point of the historical 10-14x multiple applied
in the middle of the semiconductor recovery cycle.
Investment summary
Our investment thesis on Hold-rated AMAT is based on the following five points.
1. We remain cautious about the likelihood of a 2-4% WFE share gain: We
believe AMAT will gain a 1% share of the WFE market, rather than a 2-4%
share, for the following reasons.
From 2004 to 2012, AMATs share in WFE was between 15-20% (Gartner
data), and it never gained more than 1.1% share within any three-year
timeframe within that period.
AMAT only addresses 72% of the WFE market (Gartner data), so to gain a
further 2-4% share of the total market, it would need to gain by 3-6% from its
current customer base. We consider this to be a challenging target as the
market is highly consolidated and AMATs competitors are all also trying to
expand/maintain market share. For example, LAM is aiming to gain a 3-5%
etching share and a 4-8% deposition share, KLA expects its dollar amount
market share to maintain, and TEL is aiming to expand its etching market
share from 29% to 35% by 2015.
In etching, we expect AMAT to gain a less than 1% market share through
silicon etching expansion which is less than a 1% WFE share. There are
several reasons for the limited share gain: 1) all the main chip-makers are
already penetrated by one/several equipment vendors; 2) AMAT benefits less
from the 3D NAND-driven etching opportunity than LAM due to its lower
memory exposure; 3) the etching market is becoming more competitive, with
LAM aiming for a 3-5% share gain by 2016, and TEL is looking for a 6% gain
by 2015.
In process control, we expect AMAT to gain a maximum 5% of market
share to reach its peak market share of 20% (which equates to a 1% WFE
share) by 2016, as: 1) new president Gary Dickerson can leverage his 18 years
experience at KLA to increase AMATs penetration; 2) it has a strong 60%
position in the defect review market (however, this only amounts to a 6%
share of the total process control market, hence it will be insufficient to drive
a bigger market share gain); 3) AMAT is less experienced in process control
compared with KLA and indeed lost a 7% defect review share to KLA in
2012 as KLAs new tool is considered to be of superior quality.
We do not think AMAT will gain etching and process control market
share through acquisition: In our view, AMAT is only interested in chasing
the market leaders, given its Varian acquisition. LAM and TEL hold the
biggest etching market shares (47% and 29% respectively), and KLA holds the
biggest process control market share, but we do not think AMAT is in a
position to acquire LAM or KLA: LAMs and KLAs market caps are $8bn
and $10bn respectively, which translate to 67% and 83% respectively of
AMATs total balance sheet of $12bn. Having taken up ~$2bn debt to acquire
Varian in 2011, we do not expect it to further stretch its balance sheet. We
also think it unlikely that AMAT will buy TEL, as TEL is mainly exposed to
the declining dielectric market.
2. WFE spending to reach $37bn by 2016: We expect AMATs semiconductor
revenue to reach $6.7bn excluding any market share gain ($7bn including
expected share gain) in 2016, thus exceeding the 2007 peak level of $6.5bn,
driven by the strong WFE spending environment. AMAT is most closely
linked to WFE spending among the front-end equipment vendors, due to its
more diversified product portfolio and balanced revenue exposure to
growth/declining markets compared to peers.
93
Applied Materials Inc
Technology Hardware
In our opinion, WFE spending could reach the $37bn mark in 2016, which
was the peak level attained in 2011 and 2007. The strong WFE spending is
expected to be driven by new technology such as FinFET, 20nm, 3D NAND
and 450mm migration. AMAT, TEL, LAM and the SEMI trade association
have all estimated that WFE spending will rise to $37bn-40bn in 2016/17.
3. Our bull-case $1.7 peak EPS is 20% lower than AMATs 2016 bull-case
of $2.15: AMAT laid out its 2016 bull-case EPS of $2.15 during the Semicon
2013 event, citing 1) $37bn in WFE spending, 2) a 4% WFE market share gain
from 2012 (up from 18% to 22% WFE market), 3) $1bn in Display revenue
(equating to a 5% market share gain, and 3) cost-cutting in the material costs
area and lower opex/revenue from 25% of revenue today to 20%, 4) a tax rate
decrease of 2-3% to 22%. The $2.15 EPS suggests a fair value of $20.8 by end-
2014 using our 12x P/E.
AMAT laid out similar revenue/share gain targets in 2010, 2011 and 2012, but
did not achieve all of them. We believe it is different this time, as the new
president and CFO both had strong execution records when they were with
Varian Semi. The new management team may be able to nudge AMAT into a
better position to achieve these targets, especially the cost saving target.
Our 2016 bull-case EPS estimate of $1.7 is 20% lower than AMATs bull-case
of $2.15. The delta is down to our belief that AMAT will gain a 1% WFE
market share rather than a 4% gain, and a lower gross margin (200bp) as a
result of a drop in revenue.
4. Solar/Display will remain a profit drag: Solar and Display revenue
accounted for 10% of group revenue in 2012, resulting in a combined loss. In
our opinion, the Display segment may return $1bn in revenue by 2016 (9% of
AMATs total revenue), which is the level of revenue attained in 2008 and
2010. However, it may remain margin-dilutive for the company as a whole as
its margin has always been lower than its Silicon Systems Group (SSG)
segment except in 2009, and TV-makers may exert pricing pressure on AMAT
as they struggle to make a profit. We believe the solar segment will continue
to make a loss for the next three years. AMATs cost-cutting initiative could in
our view narrow the operating loss from $184m to $100m in 2013, but
breakeven is unlikely.
5. Valuation: Our price target of $14.4 implies a P/E of 12x based on 2014
EPS estimates of $1.2. The 12x multiple was in the middle of range of the
historical 10-14x mid-recovery cycle P/E.
AMATs RoE is lower than ASMLs as its operating margin is lower. It has
the highest FCF yield and dividend yield among the companies in our
coverage universe.
94
Applied Materials Inc
Technology Hardware
Key catalysts
Key catalysts include the following:
1) an increase in orders driven by the semiconductor recovery cycle in
our opinion, we are likely to see positive order intake in H2 2013 and 2014,
driven by a strong logic/foundry and memory recovery;
2) a process control share gain in our opinion, with AMATs new product
cycle (Applied SEMVision G6) in process control, combined with its new
managements experience in this market, we think it can gain 5% market share
in this segment;
3) a memory exposure gain AMATs exposure to the memory market is
lower than LAMs, hence it is likely to benefit less from 3D NAND market
expansion than LAM. We believe the share price will react positively if
AMAT gains more memory share.
Key risks
Key risks include the following:
1) a capex cut from Samsung, Intel and TSMC in our opinion, however,
these companies are unlikely to cut capex any time soon, as they need to be
up to speed with the most advanced manufacturing technologies to maintain
their competitive advantage;
2) the sluggish solar energy segment will remain a drag on profit we
believe the Energy and Environment Solutions (EES) solar division will
remain loss-making over the next three years. The cost-saving programme will
only narrow the 2013 operating loss to $137m from the $184m loss in 2012.
95
Applied Materials Inc
Technology Hardware
In our bull-case scenario, we believe AMATs SSG revenue will grow at c6.2%
from now to 2016, and exceed the 2007 revenue peak by 2016, driven by 1) the
strong WFE spending environment (WFE spending is likely to grow from $30bn
today to $37bn in 2016 and $40bn in 2017), and 2) a 1% WFE share gain mainly
due to a 5% process control potential share gain. Our bull cash 2016 non-GAAP
EPS will reach $1.7, which has a CAGR of 23% pa from 2012 to 2016, driven by
an opex cut from 25% of revenue in 2012 to 20% by 2016. However, the $1.7
bull-case EPS is 20% lower than AMATs bull-case scenario $2.15.
96
Applied Materials Inc
Technology Hardware
2012 0% 2% vs 4% vs 1% vs
2016 share gain share gain 0% share gain share gain 0% share gain share gain 4% share gain
Share gain 0% 2% 4% 1% -3%
% of WFE 18% 18% 20% 22% 19% -3%
SSG revenue $bn 5.5 6.7 7.4 11% 8.1 22% 7.0 -13%
Other $bn 3.2 4.3 4.3 4.3 4.3
8.7 11.0 11.7 7% 12.4 13% 11.33 -9%
GM % 40.9% 45% 46% 1% 46% 1% 44% -2%
In our opinion, AMAT will gain a 1% WFE share by 2016/2017, but it would be
challenging to gain a 2-4% share as:
1) from 2004 to 2012, AMATs share in WFE was between 15-20% (Gartner
data), and it has never before gained more than a 1.1% share within any three-
year timeframe;
2) AMAT only addresses 72% of the WFE market (Gartner data), so to gain a
further 2-4% share of the total market, it would need to gain by 3-6% from its
current customer base. We consider this to be a challenging target as the
market is highly consolidated and AMATs competitors are all also trying to
expand/maintain market share;
3) it may gain 1% share in etching market as silicon etching market expansion,
which is less than 1% WFE share;
4) it may gain a maximum 5% market share in process control, which is a 1%
WFE share, as the process control market is 16% of WFE spending at peak;
5) we do not think AMAT will gain a 4% market share through acquisition in the
etching or process control markets.
gain through silicon etching expansion although if it wants a greater share, it will
have to gain it from competitors. This will be difficult as: 1) each of the major
equipment vendors already has an established relationship with one/all of the main
chip-makers; 2) the etching market is expected to be driven by 3D NAND, which
is an area in which AMAT may benefit less than LAM as it has lower memory
exposure. AMAT estimated its NAND sales addressable market (SAM) would
expand by 25% to 35%, versus LAMs expectation of 35-55%; 3) the growing
complexity of the technology is making it more difficult for companies to compete
through product innovation; and 4) the market has always been competitive. In the
etching market, LAM has recently indicated that it is aiming to gain a 3-5% market
share by 2015/2016. TEL is aiming to increase its etching share from 29% to 35%
by 2015. As a result, we believe AMAT will only gain an extra 1% share, which is
less than 1% WFE share gain (LAM estimates that the etching market is 12-14% of
total WFE).
99
Applied Materials Inc
Technology Hardware
80%
60%
40%
20%
0%
2012 2011 2010
KLA Hitachi AMAT Nanometrics Hermes Microvision
Carl Zeiss Rudolph Lasertec Nova Toray
Nikon SII Nano Rave Semilab Omron
JEOL Synopsys SEMES Dainippon Advantest
Others
150.0%
100.0%
50.0%
0.0%
-50.0%
-100.0%
2006 2007 2008 2009 2010 2011 2012
ASML ASMI - front end AMAT TEL KLA LAM WFE
AMATs 2016 financial projection assumes WFE spend of $30bn-37bn: the high-
end $37bn assumption gives AMAT 30% EPS upside compared with the $30bn
assumption.
We believe WFE spend can reach $37bn in 2016, which was the peak level seen
in 2011 and 2007. The strong WFE spend is likely to be driven by new technology
such as FinFET, 20nm, 3D NAND and 450mm migration, which is more
complex and capital-intensive than the technology in 2011 and 2007. All recent
WFE spending projections from the industry point to the same conclusion (see
Figure 12).
101
Applied Materials Inc
Technology Hardware
AMAT is very likely to benefit from the strong spending environment. In our
view, if WFE steadily grows to $40bn in 2017, and assuming AMAT remains its
18% share in WFE (15-20% historically), SSG revenue will grow at c5.4% per year
from 2012 to 2017, and exceed its 2007 peak level of $6.5bn in 2016 ($6.7bn)
without any market share gain.
Figure 13: SSG exceeds 2007 peak in 2016 due to strong WFE spend
$mn % of WFE
45,000 25.0%
40,000
35,000 20.0%
30,000
15.0%
25,000
20,000
10.0%
15,000
10,000 5.0%
5,000
0 0.0%
2004 2005 2006 2007 2008 2009 2010 2011 2012 2013E 2014E 2015E 2016E 2017E
AMAT SSG WFE AMAT SSG/WFE
Operating leverage
At Semicon 2013, AMATs management announced its target to decrease opex
from 25% of revenue to 20% of revenue by 2016. The cost-cutting is likely to
come from SG&A by lowering total SG&A from $1bn in 2012 to $840m by 2016
(a 17% reduction). AMATs level of innovation will not be impaired by this in our
opinion, as the R&D level is projected to reach 63% of opex from 56% in 2012
(17% higher than the 2012 level in dollar terms).
We see that AMAT is already taking initial steps to reduce its costs: for example, it
will carry out a week-long factory shut down in Q3. Judging by the new presidents
and CFOs strong execution record in Varian Semi, we believe the cost-cutting
projects will be successful.
102
Applied Materials Inc
Technology Hardware
Key catalysts
In our view, the following factors will be the main share price catalysts.
1. Semiconductor recovery cycle, higher order intake: As with its peers,
AMATs share price is closely correlated to the semiconductor cycle, as
measured by equipment order intake and capex levels. Any sign that the
industry is moving towards the peak cycle will benefit AMATs share price.
In our opinion, the industry entered a steady recovery phase in Q1 2013.
ASML, AMAT, KLA, ASMI and TEL have all reported better order intake
levels than in 2012. We expect the positive order intake trend to continue in
H2 2013 and 2014, driven by strong logic/foundry orders and memory
recovery. We believe AMATs share price will benefit as a result.
2. Market share gain: Revenue growth for semiconductor equipment
companies is driven by SAM expansion and market share gain. AMAT has
stated in Q2 it had gained market share in the process control market.
Investors were encouraged by its comments regarding process control share
gain.
We do not believe there will be significant sustainable organic market share
gain in this industry. In each of the fabrication process, we now have one well
established dominant player followed by many small players. Any small player
that wants to gain significant market share needs to have better products than
the market leader, and its customers would need to recognise this. However,
given AMATs new process control product (Applied SEMVision G6) and its
new president had 18 experience in the market, we expect AMAT to announce
more share gains in coming quarters.
3. Memory customer gain: AMATs recent comment about the potential
upside from memory markets does not sound as encouraging as similar
comments made by LAM and KLA. We agree with management that 2014
capex will be driven by NAND and foundry.
In our opinion, if AMAT announce any share gain in memory in the coming
quarters, its revenue upside in 2015 will be bigger than it is today as it would
benefit from 3D NAND-related capex growth. As a result, its revenue and
share price would react positively as a result.
103
Applied Materials Inc
Technology Hardware
Valuation
Our valuation is based on a P/E multiple. Our price target of $14.40 implies a P/E
of 12x based on 2014 EPS estimates of $1.20.
Historically, AMAT has traded between 8x and 24x P/E during the semiconductor
industry recovery cycle (from end-2009 to 2011 see Figure 14). We believe we
entered the recovery cycle at the start of 2013, when an increase in order levels was
reported by front-end players such as ASML, AMAT, TEL and KLA. AMAT was
trading at 10-14x between June and September 2010, which was six months after it
entered the recovery cycle. Our P/E of 12x is in the middle of range of the
historical 10-14x mid-recovery cycle P/E, and it is in line with its peer group
median multiple based on 2014 earnings.
Figure 14: 8-14x P/E in the middle of the semiconductor recovery cycle
S Bl b d
Source: Bloomberg data
APPLIED MATERIAL 23.30 13.18 11.92 17.43 10.77 4.87 2.35 1.92 0.91
Source: Bloomberg data
104
Applied Materials Inc
Technology Hardware
The share price has increased by 60% since November 2012, mainly due to the
improved semiconductor equipment order intake levels reported, comforting
statements on share gains and SAM expansion potential. Following the rally, the
P/E multiple stands at a higher level compared to the previous recovery cycle
multiple in 2011, without any significant EPS downwards revision. We believe this
multiple expansion is driven by momentum rather than strengthened
fundamentals.
105
Applied Materials Inc
Technology Hardware
Key risks
106
Applied Materials Inc
Technology Hardware
Financials
Out of total
SSG 71% 71% 65% 51% 66% 65% 68% 65% 64% 65%
AGS 26% 24% 30% 39% 28% 26% 21% 23% 23% 23%
Display 2% 3% 4% 6% 3% 7% 9% 10% 12% 9%
EES 2% 2% 2% 4% 2% 3% 2% 2% 2% 2%
QOQ % change
SSG 53% 39% -41% -36% -- 84% 14% -12% -2% --
AGS -8% 26% -18% 8% -- -6% -12% 0% 0% --
Display 100% 110% -20% 24% -- 66% 41% 12% 12% --
EES -62% 88% -44% 86% -- 5% -43% 1% 1% --
Total 26% 38% -35% -19% -- 44% 7% -7% 0% --
Revenue/order by customer/region
Samsung, TSMC and Intel are AMATs top three customers, accounting for
32%/45% of the group revenue in 2011/2012 respectively. The majority of its
revenue and order intake is from Asia. We expect to see a similar revenue/order
customer/region mix in the future, as Samsung, TSMC and Intel are expected to
remain the main capex spender in this sector.
107
Applied Materials Inc
Technology Hardware
100%
90%
80%
70%
60%
50%
40%
30%
20%
10%
0%
2009 2010 2011 2012
Samsung TSMC Intel Others
100.0%
90.0%
80.0%
70.0%
60.0%
50.0%
40.0%
30.0%
20.0%
10.0%
0.0%
2009 2010 2011 2012
U.S. Japan Europe Korea Asia Pacific
100.0%
90.0%
80.0%
70.0%
60.0%
50.0%
40.0%
30.0%
20.0%
10.0%
0.0%
2009 2010 2011 2012
U.S. Japan Europe Korea Asia Pacific
108
Applied Materials Inc
Technology Hardware
expanding 20nm output using DP, and by the expansion of HKMG process
adoption.
By late 2014/2015, the semiconductor equipment market will be driven by 3D
NAND- and FinFET-related spending. Recent comments from management
suggest that its expected memory order intake in H2 is likely to be less than 25% of
total orders, which is less positive than KLAs and LAMs recent results and
statements.
KLA is expecting memory to account for 44% of the June quarters total order
intake, up from 28% in the March quarter and 17% in the December quarter.
LAMs memory shipment increased from a low 20% of total shipments in
December 2012 to 31% in the March quarter. We therefore believe that AMATs
memory exposure is not as great as peers KLAs and LAMs, hence its revenues
from the 3D NAND capex boost may be limited. We estimate a much smaller
revenue growth rate (3%) in 2015 following a 26% increase in 2014, due to
AMATs memory exposure is lower, and majority of the equipment required for
3D NAND capacity building would be shipping in 2014.
We estimate that the AGS divisions revenue will decrease in 2013, due to the
decreased order levels since H2 2012. Display revenue is expected to grow in 2014
driven by recent order increases, but we remain cautious about the sustainability of
a high order level. We estimate EES activity to remain at a low level, and we do not
expect a major improvement until the industrial oversupply issue has been
resolved.
% of total
SSG 51.5% 63.5% 63.5% 65.6% 66.1%
AGS 22.9% 26.2% 26.2% 22.4% 23.2%
Display 6.6% 5.4% 7.9% 9.6% 7.9%
EES 18.9% 4.9% 2.4% 2.5% 2.8%
YOY % change
SSG 2.1% 2.3% -10.7% 25.1% 2.8%
AGS 29.3% -5.3% -10.9% 3.8% 5.8%
Display -22.2% -32.3% 30.4% 46.2% -15.4%
EES 34.5% -78.7% -56.6% 27.2% 13.9%
Total 10.1% -17.1% -10.7% 21.3% 2.0%
109
Applied Materials Inc
Technology Hardware
Interest & other expense (income) 16.0 78.0 41.0 0.0 0.0
110
Applied Materials Inc
Technology Hardware
our estimates. Compared to its peers, AMATs payout ratio is higher than ASML (
17% payout ratio), and lower than TEL (35% payout ratio).
AMAT repurchased $1,416m in shares in 2012. The total share buyback over the
past three years stands at $2,234m, which is 11% of its market cap. We believe
AMAT will keep returning cash to shareholders through share buyback and
dividends.
111
Applied Materials Inc
Technology Hardware
Company background
100.0%
90.0%
80.0%
70.0%
60.0%
50.0%
40.0%
30.0%
20.0%
10.0%
0.0%
2008 2009 2010 2011 2012
Helping customers to lower cost, improve equipment and fab performance and maximise return on asset.
- Provides semiconductor, display and solar customers with solutions that maximize output and
AGS
efficiency
- Largest supplier of software for automating semiconductor, display and solar factories
Suppliers TFT-LCD equipment and services, which help make flat panel TVs more than 20% larger each
Display year without price increases
112
Applied Materials Inc
Technology Hardware
Acquisitions
AMAT has been active in M&A during the past decade, acquiring Varian in 2011
and Semitool in 2009 and although it attempted to acquire ASMI in 2008, it was
not successful. None of the companies that AMAT has acquired in the past few
years operate in the same segments as AMAT thus there is no overlap with
AMAT in terms of market share. All the acquired companies have a dominant
market share in their respective segments. We believe that AMATs strategy is to
expand its total addressable market through acquisition, and to grow organically in
the areas in which it currently has a position.
113
Applied Materials Inc
Technology Hardware
Harris Associates, 6%
Blackrock, 5%
Others,
84%
Vanguard, 5%
State Street, 0%
Management
Chairman and CEO, Michael Splinter
Michael Splinter took over the role of CEO in April 2003, and has been chairman
of the board of directors since March 2009. Prior to joining AMAT, Mr Splinter
was at Intel Corporation, where he held a number of positions, including executive
vice president and director of sales and marketing, and executive vice president and
general manager of the technology and manufacturing group.
CFO, Bob Halliday
Bob Halliday was appointed senior vice president and CFO in February 2013, after
the former CFO, George Davis, left to join Qualcomm. Mr Halliday was executive
vice president and CFO of Varian Semiconductor Equipment Associates prior to
AMATs acquisition of the company in November 2011. Since the acquisition of
Varian, Mr Halliday served as general manager of the implant business unit within
AMATs SSG. He previously worked at Ionics, a manufacturer of water treatment
capital equipment, where he served as COO and CFO.
President, Gary Dickerson
Gary Dickerson became president of AMAT in June 2012, and has been working
on integrating newly-acquired companies since joining AMAT following its
acquisition of Varian in November 2011. Mr Dickerson was CFO and a director of
Varian from 2004 until Varian was acquired by AMAT. Prior to joining Varian in
2004, Mr Dickerson served 18 years with KLA-Tencor Corporation, a supplier of
process control and yield management solutions for the semiconductor and related
industries, where he held a number of operations and product development roles
before being appointed COO in 1999 and then president and COO in 2002.
CTO, Om Nalamasu
Om Nalamasu has been group vice president and chief technology officer at
AMAT since January 2012, having served as corporate vice president and chief
technology officer since January 2011. Nalamasu joined AMAT in June 2006, and
until January 2011 was vice president of research and served as deputy chief
technology officer and general manager of the companys Advanced Technologies
Group.
General manager of SSG, Ranhir Thakur
An executive vice president and general manager of SSG since December 2009,
Ranhir Thakur previously served as senior vice president and general manager of
SSG, and before then as senior vice president and general manager of the Thin
Film Solar and Display division.
114
Applied Materials Inc
Technology Hardware
Financials
Balance sheet
Year-end October (USD m) 2011 2012 2013E 2014E 2015E
Property, plant and equipment 866.0 910.0 882.0 914.0 926.0
Other Assets 1,709.0 5,035.0 4,815.0 4,595.0 4,375.0
Fixed Assets 2,575 5,945 5,697 5,509 5,301
Inventories 1,701 1,272 1,331 1,278 1,228
Accounts receivable 1,532 1,220 1,286 1,235 1,186
Other current assets 879 673 750 750 750
Liquid assets 7,174 2,992 3,248 4,214 5,265
Current Assets 11,286 6,157 6,615 7,477 8,429
TOTAL 13,861 12,102 12,312 12,986 13,730
Shareholders' equity 8,800 7,235 7,393 8,068 8,811
Minority interest 0 0 0 0 0
Long-term debt 1,947 1,946 1,946 1,946 1,946
Non-current liabilities 8,800 7,235 7,393 8,068 8,811
Accounts payable 2,794 2,265 2,322 2,322 2,322
Other liabilities 320.0 656.0 650.0 650.0 650.0
Current liabilities 2,794 2,265 2,322 2,322 2,322
TOTAL 13,861 12,102 12,312 12,986 13,730
Source: Company data, Berenberg estimates
115
Applied Materials Inc
Technology Hardware
116
Tokyo Electron Ltd
Technology Hardware
TEL to expand.
Compared to consensus, our FY 2014 and FY 2015 EPS are 8%
and 10% lower: We estimate revenue will remain flat from FY 2014
to FY 2015, given TELs 60% exposure to low growth markets, while
consensus is expecting 4% growth.
Our 4,331 PT implies an EV/sales of 0.9x, which is the middle of
the historical EV/sales range (0.7-1.2x) during the semiconductor
recovery cycle.
117
Tokyo Electron Ltd
Technology Hardware
Investment summary
Our investment thesis on Sell-rated TEL is predicated on the following five points.
TEL is mainly exposed to legacy markets: TEL generates 77% of its SPE
revenue from low-growth/declining markets. Compared to its peers ASML,
ASMI, AMAT, KLA and LAM, which respectively have 0%, 9%, 39%, 0% and
26% of their revenue exposed to low-growth/declining markets, TELs growth
opportunity from expansion in its current markets is the weakest of the six
companies. Therefore, we do not believe TEL will benefit from new chip
designs/semiconductor technology as much as its peers.
TEL unlikely to return to 2007 peak: At the Semicon 2013 event in the US in
July, TEL shared its bull-case scenario. It expects its FY 2017 revenues (ie to
end-March 2018) to return to the FY 2007 peak level of 906bn from 497bn
in 2012, driven by market expansion and share gains in etching and cleaning
processes. We do not believe that TEL will be able to return to its 2007 peak
level for the following reasons.
o Revenue failed to return to the 2007 peak level during the last peak cycle
(2009-2011). TELs revenue reached 668bn during this period, which was
26% lower than the 2007 peak. The operating margin was 15% at the peak,
compared with 19% in 2007. We believe that this lower revenue and margin
was due to the impact of its exposure to low-growth markets.
o TELs SPE revenue as a percentage of total wafer fab equipment (WFE)
spending decreased from 20% in 2007 to 13% in 2012 excluding the impact
of FX movements. We estimate TELs share of WFE decrease to 12% in
2015 and 11% in 2017, as it is less likely to benefit from the new chip
designs compare with its peers.
o Our FY 2017 revenue estimate for TEL is 662bn (27% lower than TELs
bull-case scenario of 906bn), including SPE revenue of 450bn (applying
an 11% share of total WFE spending to TELs 2017 WFE estimate of
$40bn), FPD/PV revenue of 101bn (in line with the 2007 peak level, plus
32bn in solar revenue), and components revenue of 111bn (in line with
the 2007 peak). Our operating margin in FY 2017 is 15% (TELs estimate:
19%), including a 30bn cost saving compared with the 2012 level of
146bn.
118
Tokyo Electron Ltd
Technology Hardware
o AMAT and LAM are also aiming to gain market share: AMAT is aiming to
gain a 2-4% WFE share by 2016, and LAM is looking to gain a 3-5% share
in the etching market and a 5-10% share in the cleaning market. In our view,
TEL is in a weaker position compared with AMAT and LAM in terms of
gaining share, as it does not have an established market position or
experience in these growing markets.
Little upside from operating leverage and yen depreciation: TELs margin
may improve due to cost savings, but we believe the margin is unlikely to show
significant improvement without top-line growth. We estimate that the gross
margin will stay at the 33% level (34% in 2007) after cost savings are taken into
account. We estimate the operating margin will be 9% in 2015 (compared with
19% in 2007), assuming that the 10bn saving planned for 2013 happens each
year thereafter.
Yen depreciation has had little impact: In our opinion, the yen depreciation
has had little impact on TEL from both an operational and competitiveness
perspective, as: 1) its operations are not heavily exposed to FX movements in
terms of yen contract prices and its manufacturing plants are located in Japan,
meaning that the effect on its operations/cost base is limited; 2) we believe
chip-makers care more about tool performance, reliability and supporting
services than price; and 3) Japan-based Hitachi and Dainippon have the same
FX advantage.
The FPD/PV segment remain a profit drag: In our opinion, the FPD/PV
segment was always been a profit-dilutive segment for TEL. Between 2004 and
2012, the segments margin was 10% at peak, which is lower than the SPE
margin (which averaged 12.5% in 2004-2012). We estimate the segment will be
loss-making in 2013, 2014 and 2015 due to the weak TV and solar markets.
Management is targeting a profit in the solar business in two years time, but
expects it to remain sluggish in 2013 and 2014. A weak performance may trigger
impairments on the 22bn goodwill related to the Oerlikon Solar acquisition in
2012.
Valuation: Our price target of 4,331 implies an EV/sales of 0.9x, which is the
middle of the historical EV/sales range of 0.7x-1.2x during the semiconductor
recovery cycle. We base our valuation on EV/sales instead of P/E, as TEL
trades at a premium P/E compared to its peers due to its strong cash position.
We believe EV/sales is the valuation methodology to reflect its weaker growth
potential compared with ASML, AMAT and ASMI.
TELs ROE is lower than ASMLs and AMATs as its operating margin is
lower. It has the strongest cash position among its peers.
119
Tokyo Electron Ltd
Technology Hardware
Key catalysts
Key catalysts would include the following.
Key risks
Key risks include the following.
120
Tokyo Electron Ltd
Technology Hardware
TEL supplies SPE, FPD/PV equipment and electronic components and computer
networks (EC) equipment. The SPE segment generates 79% of group revenue and
100% of its profit. The FPD/PV division is currently loss-making and the EC
division has a 2% margin. We believe that TELs performance will be mainly
driven by the SPE segment; any positive newsflow related to other segments may
help the share price but not its business fundamentals.
In the SPE segment, TEL has a diversified product portfolio including photoresist
processing, deposition, etching and wafer level packaging equipment. It generates
the majority of its revenue from the photoresist processing, deposition and etching
markets (see Figure 3 below).
RTP and
Deposition, 17% Oxidation/Diffusion, 5%
Wafer-Level Packaging, 6%
Photoresist
Processing
(Track), 34%
TEL generates 77% of its SPE revenue from its legacy markets such as photoresist
processing, LP CVD and dielectric etching, where it holds dominant market
shares. It has 23% of SPE revenue coming from growing markets such ALD and
silicon etching, where its market share is relatively small (see Figure 4 below).
Compared to peers ASML, ASMI, AMAT, KLA and LAM, we believe TELs
growth perspective to be the weakest, due to its high exposure in legacy markets.
Consequently, it may not stand to benefit as much from new chip
designs/semiconductor technology as its peers.
As shown in Figure 4 below, ASMLs, ASMIs, AMATs, KLAs and LAMs
exposure to legacy markets are much smaller compared to TEL. If we assume that
market shares will remain the same, TEL is likely to have the lowest growth rate
among its peer group during 2012-2017.
121
Tokyo Electron Ltd
Technology Hardware
Photoresist processing
TEL generates 34% of its revenue from photoresist processing equipment. It had a
dominant, 89% share of the global photoresist processing market in 2012, followed
by its closest competitor Dainippon, with a 7% share (see Figure 5).
122
Tokyo Electron Ltd
Technology Hardware
Etching
TEL generates 27% of its revenue from etching equipment, with a 29% market
share globally in 2012. Some 85% of TELs etching revenue comes from shipping
dielectric etching equipment, with the remainder from silicon etching equipment. It
holds a dominant 63% share in the dielectric etching market, and a 9% share in
silicon etching (LAM leads this market with a 59% share).
Etching market trends have moved against TEL over the past two years (see
Figure 6) as the total addressable market (TAM) for dielectric etching has fallen by
c20%, while the silicon etching TAM increased by c4% between 2010 and 2012.
This trend should continue over the next few years, driven by new chip
manufacturing processes including double patterning (DP) and FinFET in
logic/foundry, and 3D NAND in memory. From a process point of view, DP uses
more silicon etching steps than single patterning (SP), as does FinFET. The
memory chip manufacturing process currently requires that 55% of etching steps
are carried out using silicon etching technology, and this percentage is expected to
rise to 60% for 3D NAND. As a result, TELs TAM may shrink at an accelerated
pace in the near future, unless it can offset this loss by gaining market share in
silicon etching.
TEL aims to increase its overall market share in etching from 29% currently to
35% by 2015, as well as gain silicon etching market share. We remain sceptical
about whether TEL can gain market share because the silicon etching market is
crowded and competitive. LAM, Hitachi and AMAT all hold a bigger market share
than TEL (Figure 7) and are currently shipping silicon etching tools to mainstream
logic/foundries, while TEL is mainly supplying dielectric tools to these chip-
makers. It would be hard for the latter to switch vendors due to process
reconfiguration costs.
123
Tokyo Electron Ltd
Technology Hardware
Deposition
TEL generates 17% of its revenue from the deposition market, and had a 12%
global market share in 2012. It generates 73% of its deposition revenue from LP
CVD tools (with a 51% market share; Hitachi is the next biggest player see
Figure 8) and the rest from atomic layer deposition (ALD) tools.
124
Tokyo Electron Ltd
Technology Hardware
45 25.0%
40
35 20.0%
30
15.0%
25
20
10.0%
15
10 5.0%
5
- 0.0%
2004 2005 2006 2007 2008 2009 2010 2011 2012 2013E 2014E 2015E 2016E 2017E
WFE $bn TEL/WFE
125
Tokyo Electron Ltd
Technology Hardware
3) Our FY 2017 revenue estimate for TEL (see Figure 9) is 662bn (27% lower
than the TEL bull-case scenario), comprising SPE revenue of 450bn (ie after
applying the 11% share to TELs 2017 $40bn WFE estimate), FPD/PV
revenue of 101bn (in line with 2007 peak levels, and includes solar revenue
of 32bn), and components revenue of 111bn (in line with the 2007 peak).
Our operating margin estimate for FY 2017 is 15% (TELs estimate: 19%),
including a 30bn cost saving compared to the 2012 146bn level.
4) TEL is aiming to gain share in the etching and cleaning markets, although so is
AMAT (which plans to gain a 2-4% WFE share by 2016) and LAM (which is
looking to gain by 3-5% in etching and by 5-10% in the cleaning market). In
our opinion, with neither an established market position nor experience of
these growing markets, TEL is in a weaker position than AMAT and LAM to
gain share.
126
Tokyo Electron Ltd
Technology Hardware
Key catalysts
In our view, the following factors will be the main share price catalysts.
127
Tokyo Electron Ltd
Technology Hardware
Valuation
Historically, TEL has traded at 0.7x-1.2x EV/sales in a semi recovery cycle. Our
price target of 4,331 implies a EV/sales of 0.9x, which is in the middle of this
range, but lower than its better quality peers (ASML, ASMI, AMAT, KLA and
LAM). We believe that the lower multiple is justified due to its lower growth
potential and profitability.
TOKYO ELECTRON 48.60 20.98 18.74 25.57 9.75 5.61 1.16 1.02 0.72
128
Tokyo Electron Ltd
Technology Hardware
Key risks
129
Tokyo Electron Ltd
Technology Hardware
Financials
Movement YOY
Orders 7% 3% 5% 10% -14% -3% 0% 9% 5%
Semiconductor Equipment 39% 21% 28% 9% -15% -4% -1% 9% 4%
Revenue - Total 262.5 286.7 549.2 308.5 287.1 595.5 289.9 303.2 593.1
Semiconductor Equipment 200.0 220.6 420.6 233.7 210.7 444.5 206.9 219.2 426.1
FPD Equipment 12.0 13.6 25.6 14.1 15.7 29.8 16.0 18.0 34.0
PV Solar 8.0 10.0 18.0 16.0 16.0 32.0 20.0 20.0 40.0
Electronic Components 42.5 42.5 85.0 44.6 44.6 89.3 47.0 46.0 93.0
Movement YOY
Revenue - Total -2% 24% 10% 18% 0% 8% -6% 6% 0%
Semiconductor Equipment -7% 24% 7% 17% -4% 6% -11% 4% -4%
FPD Equipment 30% 24% 27% 17% 15% 16% 13% 15% 14%
PV Solar - - - 100% 60% 78% 25% 25% 25%
Electronic Components 0% 1% 0% 5% 5% 5% 5% 3% 4%
130
Tokyo Electron Ltd
Technology Hardware
Profit margin
We expect TELs operating margin to increase from 3.2% in FY 2013 to 8.9% in
FY 2014, and 8.8% in FY 2015, due to factory loading improvement following
semiconductor order recovery.
131
Tokyo Electron Ltd
Technology Hardware
Company background
Etching tools:
Telius SP
UNITY Me
Tactras
Wafer bonding/debonding
Synapse V/Spnapse Z
Synapse S
132
Tokyo Electron Ltd
Technology Hardware
Management
Chairman, president and CEO Tetsuro Higashi
Mr Higashi joined TEL in 1996, and took over the role of president and CEO in
Apr 2013, after former CEO Hiroshi Takenake resigned for health reasons.
Executive vice president Hikaru Ito
Mr Ito was appointed executive vice president of the SPE sales division and general
manager in 2003.
133
Tokyo Electron Ltd
Technology Hardware
134
Tokyo Electron Ltd
Technology Hardware
Balance sheet
Year-end March ( bn) 2011 2012 2013E 2014E 2015E
Intangible assets 4.7 59.9 56.1 52.3 48.5
Property, plant and equipment 126.9 135.7 122.7 109.2 95.7
Financial assets 45.0 58.4 58.4 58.4 58.4
Fixed Assets 176.6 254.0 237.2 219.9 202.6
Liquid assets 211.8 190.5 190.5 190.5 190.5
Financial investments 35.4 49.6 59.7 84.1 130.8
Inventories 149.5 135.7 150.5 163.2 162.5
Accounts receivable 150.3 100.5 111.3 120.7 120.2
Other current assets 60.1 45.2 44.2 48.0 47.8
Other accruals - - - - -
Current Assets 607.1 521.5 556.3 606.4 651.8
TOTAL 783.6 775.5 793.5 826.3 854.4
Shareholders' equity 587.9 594.4 600.2 625.7 650.8
Minority interest 10.7 10.7 10.7 10.7 10.7
Bonds (Long term) 0.0 0.0 0.0 0.0 0.0
Long-term debt 0.0 0.0 0.0 0.0 0.0
Pensions provisions 54.6 56.6 56.6 56.6 56.6
Other liabilities 5.6 7.1 7.9 8.3 8.5
Non-current liabilities 587.9 594.4 600.2 625.7 650.8
Short-term debt 0.0 0.0 0.0 0.0 0.0
Accounts payable 47.0 36.3 40.1 42.4 43.4
Other current liabilities 0.0 0.0 0.0 0.0 0.0
Other liabilities 77.8 70.4 78.0 82.6 84.4
Current liabilities 124.8 106.7 118.1 125.0 127.8
TOTAL 783.6 775.5 793.5 826.3 854.4
Source: Company data, Berenberg estimates
135
Tokyo Electron Ltd
Technology Hardware
136
Semiconductor Equipment
Technology Hardware
Please note that the use of this research report is subject to the conditions and restrictions set forth in the
General investment-related disclosures and the Legal disclaimer at the end of this document.
For analyst certification and remarks regarding foreign investors and country-specific disclosures, please
refer to the respective paragraph at the end of this document.
(1) Joh. Berenberg, Gossler & Co. KG (hereinafter referred to as the Bank) and/or its affiliate(s) was Lead
Manager or Co-Lead Manager over the previous 12 months of a public offering of this company.
(2) The Bank acts as Designated Sponsor for this company.
(3) Over the previous 12 months, the Bank and/or its affiliate(s) has effected an agreement with this company
for investment banking services or received compensation or a promise to pay from this company for
investment banking services.
(4) The Bank and/or its affiliate(s) holds 5% or more of the share capital of this company.
(5) The Bank holds a trading position in shares of this company.
Historical price target and rating changes for Applied Materials Inc in the last 12 months (full coverage)
Date Price target - USD Rating Initiation of coverage
22 July 13 14.40 Hold 22 July 13
Historical price target and rating changes for ASM International NV in the last 12 months (full coverage)
Date Price target - EUR Rating Initiation of coverage
22 July 13 31.00 Buy 22 July 13
Historical price target and rating changes for ASML Holding NV in the last 12 months (full coverage)
Date Price target - EUR Rating Initiation of coverage
22 July 13 73.00 Hold 22 July 13
Historical price target and rating changes for Suess Microtec AG in the last 12 months (full coverage)
Date Price target - EUR Rating Initiation of coverage
22 July 13 9.40 Buy 22 July 13
Historical price target and rating changes for Tokyo Electron Ltd in the last 12 months (full coverage)
Date Price target - JPY Rating Initiation of coverage
22 July 13 4331.00 Sell 22 July 13
The recommendations for companies analysed by the Banks equity research department are either made on an
absolute basis (absolute rating system) or relative to the sector (relative rating system), which is clearly stated in
the financial analysis. For both absolute and relative rating system, the three-step rating key Buy, Hold and Sell
is applied. For a detailed explanation of our rating system, please refer to our website at
http://www.berenberg.de/research.html?&L=1
NB: During periods of high market, sector or stock volatility, or in special situations, the rating system criteria as
described on our website may be breached temporarily.
Legal disclaimer
This document has been prepared by Joh. Berenberg, Gossler & Co. KG (hereinafter referred to as the Bank). This
document does not claim completeness regarding all the information on the stocks, stock markets or developments
referred to in it.
On no account should the document be regarded as a substitute for the recipient procuring information for
himself/herself or exercising his/her own judgements.
The document has been produced for information purposes for institutional clients or market professionals.
Private customers, into whose possession this document comes, should discuss possible investment decisions with
their customer service officer as differing views and opinions may exist with regard to the stocks referred to in this
document.
This document is not a solicitation or an offer to buy or sell the mentioned stock.
The document may include certain descriptions, statements, estimates, and conclusions underlining potential market
and company development. These reflect assumptions, which may turn out to be incorrect. The Bank and/or its
employees accept no liability whatsoever for any direct or consequential loss or damages of any kind arising out of the
use of this document or any part of its content.
The Bank and/or its employees may hold, buy or sell positions in any securities mentioned in this document,
derivatives thereon or related financial products. The Bank and/or its employees may underwrite issues for any
securities mentioned in this document, derivatives thereon or related financial products or seek to perform capital
market or underwriting services.
138
Semiconductor Equipment
Technology Hardware
Analyst certification
I, Tammy Qiu, hereby certify that all of the views expressed in this report accurately reflect my personal views
about any and all of the subject securities or issuers discussed herein.
In addition, I hereby certify that no part of my compensation was, is, or will be, directly or indirectly related to the
specific recommendations or views expressed in this research report, nor is it tied to any specific investment
banking transaction performed by the Bank or its affiliates.
United Kingdom
This document is meant exclusively for institutional investors and market professionals, but not for private customers.
It is not for distribution to or the use of private investors or private customers.
(1) Berenberg Capital Markets LLC owned 1% or more of the outstanding shares of any class of the subject
company by the end of the prior month.*
(2) Over the previous 12 months, Berenberg Capital Markets LLC has managed or co-managed any public
offering for the subject company.*
(3) Berenberg Capital Markets LLC is making a market in the subject securities at the time of the report.
(4) Berenberg Capital Markets LLC received compensation for investment banking services in the past 12 months,
or expects to receive such compensation in the next 3 months.*
(5) There is another potential conflict of interest of the analyst or Berenberg Capital Markets LLC, of which the
analyst knows or has reason to know at the time of publication of this research report.
* For disclosures regarding affiliates of Berenberg Capital Markets LLC please refer to the Disclosures in respect of
section 34b of the German Securities Trading Act (Wertpapierhandelsgesetz WpHG) section above.
Copyright
The Bank reserves all the rights in this document. No part of the document or its content may be rewritten, copied,
photocopied or duplicated in any form by any means or redistributed without the Banks prior written consent.
139
Semiconductor Equipment
Technology Hardware
140