A Method To Etch Undoped Silicon Cantilever Beams

You might also like

Download as pdf
Download as pdf
You are on page 1of 5
A Method to Etch Undoped Silicon Cantilever Beams Wai-shing Choi, Sudent Member, IE Abstract—A simple method has been designed to etch cant lever beams oriented inthe (100) direction on (100) silicon wafers without back-tching, heavily doped boron etch stop, oF sod oxidation etch stop. The scheme requires only two levels ‘of masking Silicon dioxide and evaporated gold film are sed 15 passivation materials. Anlsotropk etching is performed in Sodium hydroxide bath. Silicon eantilevers with background ‘oping concentration levels are produced with vertical edges. 1. vrropuction ICROMACHINED silicon cantilever beams. are imple strictures commonly used in mierosensor and microactuator designs. Chen et al. fabricated a pi- czoelectri accelerometer by depositing a layer of Zn0 on a silicon cantilever beam and interfaced the ZnO stess- Sensing element with an on-chip amplifying circuit (1) Roylance eral. fabricated a solid-state accelerometer by incorporating diffused resistors at the base of a silicon cantilever beam for stess sensing [2]. Najaf etal. con- structed arrays of long and thin silicon needles for mul- tichannel recording of biopotentals (3. Blom ef al. fabricated low-frequency micromechanical actuators by sputtering piezoelectric ZnO on silicon can- tilevers. The cantilevers were deflected by an applied ‘voltage across the thin-film Zn0 [4]. Suski eal. also eon- structed Zn0-on-Si cantilevers which were actuated op- tially by an argon laser beam [5] ‘Micromachined silicon cantilevers are also used as. tools in the study and evaluation of elastic properties and residual stress of thin-film materials 6] ‘Micromachined silicon cantilever beams can be fabri- cated using several methods. The most direct one is to etch from both the front side andthe back sie of the wafer until cantilevers are obtained. This scheme requires spe- «ial tools and procedures forthe front-to-back mask align- ment (7) (8). Etching through the entire thickness of the wafer will reduce mechanical strength ofthe water. The second method requires doping the beam with a ‘boron concentration greater than $ x 10" em’*, which is, close to the solid solubility of boron in silicon. The heav~ ily doped region will resist etching in EDP and KOH [9]. Manuscript recived Dec. “The authors af with he Dearest of Eccl Eainerng, Boston Univesity. 4 Cummington Sst, Boson, Ma 0225 TEEE Log Nemter 9210971 1992; vind etary 5, 1993 Sub and Jan G. Smits, Senior Member, IEEE The resulting silicon cantilever will have large lattice strain owing to the high concentration of boron. Micro- electronics cannot be integrated onto the substrate of the cantilever. ‘The third method is electrochemically controlled etch- ing, also known as the anodic oxidation etch stop method {10}-{12}. It requires first doping the desired beam areas ‘o form a p-n junction with the staring substrate. The pon junction is reversed-biased during etching, The ex- posed p-type material willbe etched away, but the n-type material will be left intact. The thickness of the beam is determined by the p-n junction depth. Long diffusion time ig needed to obtain a deep junction, or a thick layer of polysilicon has to be growin by silicon epitaxy, TI, Procepures oF Propose MetHoD A layer of silicon dioxide 0.5 jm thick is grown on a (100) n-type wafer. The wafer is spin-costed witha layer ‘of positive photoresist ‘The masks needed to fabricate the cantilevers are shown| in parts (a) and (b) of Fig. 1. Crosshatched areas represent ‘opaque regions of the masks. The two masks share basi- cally the same pattern except that mask 2 has a smaller beam width than mask 1. The relationship between feature dimensions on mask 1 and mask 2 is derived below. ‘The wafer is pattemed with mask 1. The wafer is ori- ented in such a way that the length ofthe cantilever beam is in the (010) direction ofthe wafer, as indicated in Fig. 10. ‘The wafer is then immersed in a bath of buffered oxide ‘etch (BOE) to remove the silicon dioxide in the areas that, are not covered by photoresist, which is followed by dis- solving the photoresist in an acetone bath. Fig. 2(a) shows 4 transverse cross section of the beam region after the photoresist has been removed. The wafer is now ready 10 be etched in a 6.25M of NaOH at 55°C. Downward etching will take place in the moat areas where the {100} planes of silicon are exposed. Lateral etching of silicon directly undemeath the silicon dioxide passivation layer will also occur. The lateral planes being etched are the {100} equivalent planes. These planes are normal tothe substrates, The rate of downward etching is expected to be the sume asthe rate ofthe lateral etching. This behavior will result in walls that are almost or com- 1057-715193$03.00 © 1998 IEEE So Mask 2 : bs Fig. 1) Mat 1b) Matt 2 (c) The length detion of he Ream fone with ther te (100) or the (O10) ection of te (10) abe pletely vertical, as has been reported by Offereins er al. [13] and Termez er al. [14] Fig. 2(b) is an end view of the structure atthe end of. the first NAOH etching. {111} planes are formed at the clamped end of the cantilever beam, ‘After the anisotropic etching in NaOH, the wafer is etched in BOE to remove all the silicon dioxide and then cleaned and oxidized to obtain a fresh layer of silicon dioixide 1 ym thick. The wafer is spin-coated with a layer ‘of positive photoresist and patterned with mask 2. After the unprotected silicon dioxide is etched away in BOE, the photoresist is removed in acetone. The wafer is then @ Fig. 2 a) Wafer ror tthe ist etching In NUOM. () Wafer at he end ‘tthe nt thing NaOH 0) Water mth mde of he second ech {a NaOH shee er an opman tehing of lhe sicon strc ames. neath the ae pasate by icon soe are aking place te the ‘nuleterbeama() Wafers the en ofthe second ein a NaOH and etched in NaOH at 55°C until bulk silicon is completely tunderetched in the areas directly underneath the beam. Pars (c) and (d) of Fig. 2 depict the evolution of the sil- icon cantilevers etched in this way at different stages of the final etching in NaOH. IL, Diwensions oF Mask Features To construct a silicon cantilever beam of width wp. thickness fy and length /y, we need for mask 1 wy = 2b + 1) © Lhe ® where wy and [are respectively the width and the length of the beam pattem on the mask. In the first NaOH etching, the wafer will be etched downwards in the moat areas for a depth of fy + (5/2) lum. The same amount will be etched away laterally un- ‘demneath the beam area, as illustrated in Fig. 2(b) ‘To free the silicon cantilever inthe second NaOH etch- ing, we need, for mask 2, wy = wy + Od b= ls ® where ws and fy are respectively the width and the length ‘of the beam pattern on mask 2; d, a quantity smaller than twp, is the tolerance for mask misregistrtion and mis- alignment. ‘Equations (1) and (3) represent the lowest limits of the feature dimensions, These lowest limits imply the shortest etching time. From (3) the clearance between the bottom. Surface of the beam and the wafer Roor is calculated to be + wy, Larger clearances can be obtained with higher values of d IV. ResuLts AND Discussion [A set of the masks have been made with the following. specifications: wy = 190 pam d= 304m 6) 4 £430, 680, 900, 1360, 1810} um. (6) ‘The above specifications are expected to yield cant lever beams that are 50 umn wide, 45 um tick, and J, um Jong and with a clearance of 80 4m above the substrate, 48 sipulated by (1) and @). Parts (a)-(d) of Fig. 3 are the SEM pictures of the sil- icon cantilevers etched inthis way t various intermediate steps of the fabrication process. The beams obtained are 50 um wie and 47 um thick with a clearance of about 88 um above the silicon substrate. ‘The thickness of the beams and the clearance of the beams above the substrate are larger than expected, This is due to the fact that the downward etching rate of the (100) plane is found tobe slghily faster than the lateral and upward etching of the {100} planes. The difference inthe eich rates can be eaused by the concentration gra dient established in the boundary layers near the vertical sidewalls. The hydrogen gas bubbles released as a by- product of the etching can also prevent the diffusion and Convection of the reacting species from reaching the un- dersurface of the beam. AS the distance between the deeply etched cavity and the mask is significantly in- creased during contact photolithography, w; may actually we; = 110 pm be larger than expected because of diffraction effect and focus problems. On the other hand, the extra beam thick= ness can be trimmed by having a longer etching time in the NaOH bath during the lat etching step. “The beams are also 130 ym shorter than expected and have sharp-pointed free ends. The needle-shaped free ends are formed by the much faster etching of planes of higher Miller indices originating from the protruding comers. In the SEM picture of Fig. 3(a) we can observe these planes, of higher Miller indices atthe free end of the vertical wall. ‘The planes are estimated as {6.5 1.9 T} and their etch rates are found to be almost two times those of the {100} planes. ‘Compensation for the length contraction is needed when designing the mask set. Compensation can be achieved by letting nen=ae{@rn) ® ere eee reaeat rh tenet sere naod cant ues ee le a td tm Sb 6 ‘where tis the thickness of the silcon wafer. The relation established in (8) is illustrated in Fig. 2(0), ‘The silicon cantilever beams fabricated in this way have the same background doping level as that of the starting wafer, which allows microelectronics to be integrated on the cantilevers. A nearly rectangular in-plane cross sec~ tion is obtained. Btching is performed on one side of the wafer only and does not require front 10 back mask alignments. By modifying the mask patterns slightly, ‘lamped-clamped beams or bridges can also be fabri- cated. V. Conciusion We have designed a simple method to etch cantilever beams oriented in the (100) direction on (100) n-type sil- jcon wafers without back-etching, heavily doped boron etch stop, or anodic oxidation etch stop. The method re- {quires only two levels of masking and uses silicon dioxide Fp. 3. (0) A SEM pcre the wafert he edo theft echng in NAOH showing te procusony sutures of the caiever fmthick (b) ASEM pate fverngonerhe silcn sata The beans are SO pm wide an 4 yi hick. Shalls of slcon Sone hich posites He Seam pains NAOH etching. are leary vile) ASEM pce he male ale the shel fico Bonde wee emote Ie OE () © SEM picts showing he ead vicw of he sco enter bas. as passivation materials, Anisotropic etching is performed in a sodium hydroxide bath. Silicon eamtievers with back- ground doping concentration levels are produced with vertical edges. This method can be adopted to produce ‘micromachined solid-state pressure sensors, accelerome: ters, and electrodes for recording biopotentals Rererencrs UTP Chenoa. “Imeqrated siconmicmheam PLFET aceleome. ters TEEE Tnan_Becron Desc, vl 39: pp 37-33 Jon 98S 12] CM Roytince and. Bs Ange "A bat brated icons Ecler EEE Tan Eton Dee vol 3, pp. 1911 TT 18) KUNsjf KD. Whe, and, Mochicehic “A high ie ICs (4) FOR Blo fe "Thinley ZO on mroeshancl attra ‘enator and Eurosemors Ht (Montene, Seiad Jone 2-50 {5} Sus, Larges, A. Stayer, FC. M. van de Po and. Blo, ‘Onsiy acted 2901910, canter beams Sensors and 16) Schwinn "Mechta eharactration of thin Him by mir tmechancal ecg," MRS Balen 0) NVIc 0.1 py 43, 17) RM. White and. W, Wenzel, “nexpemive and acum soe Tio. 3. pp 39139 (a) E'S Kim’ § al and RS, High, romo-bstide align Mrececrchan Sirsa he pp 98.99. fe 1982 19) HA" Waggene,"Elsrchemiy contol thining of i fon. gel at eck J nol 9. mo p73, 19%, 110] TIN. jackuon M.A. Tischer an RD: Whe, "Aneto 1111 PUM Sean AW vs Herwanen Sco canilever beans 12] Ten 0 Tere and B. Hike A Bachata om ee 118) H. Ofercins. K. Kab, and. Sandman “Methods forthe 8b Eaton of come coos it anzctop etching 9 (100) sso gies KOH, Snsort and etanrt, App 913-1981 114) L"Teter,¥Baklug, 1 Tren, ae I. O°Connell, *Perpendiat ‘ral avicued i 06)orentedaicon by anisovopc wet th Ing” Senor and Materia 1p 313. ‘Wabshing Chol (6°91) as bom in Ta Po, Mont ong. He ected te bachelor of scnce epee In ome eninerog i 1987 andthe mstr to science degen elect eaginering 1988, tout om Boson Uniersty. Curent be pur Sing his PhD degre thee etre inclode Tuba pocesss,deposiuon and charter tation of pczoelctie thin materi or Iranlucer and actor appiaions ad model Ing of micotectomechanl syste, ‘Mecho tea member of Ta Bete Jan G. Sts (M'56-SM9) recived the BS. Eee pint in 1 anne ges De ihe Din aan 7 fom te Unnerty He's comely an Associate Profesor at Bos ton Univesity, whee be works on micomechsn Kel licon devices, He cy ofthe Mic Sleds the Sena, Actus and ictmechsn ics Latontory. He works om integrated accelerometer, tactile Ser sum auger, and an oman eminem mow sentra scenic mp et od rnember fhe IEE Standards Commits on erect and Uiraroniss

You might also like