Download as pdf or txt
Download as pdf or txt
You are on page 1of 7

26-09-2018

Applications of Sacrificial Anode


2. Cathodic Protection
• The principle involved in cathodic protection is • Protection of underground pipelines and
to force the metal to be protected to behave cables from soil corrosion.
like a cathode. • Magnesium rods are inserted in to domestic
• Since, there will not be any anodic area on the water boilers or tanks to prevent the
metal, corrosion does not occur. formation of rusty water.
• Calcium metal slag's are employed to
minimize engine corrosion.
There are two types of cathodic protection.
• Sacrificial anodic protection method
• Impressed current cathodic protection
method.

SACRIFICIAL ANODIC PROTECTION METHOD IMPRESSED CURRENT CATHODIC PROTECTION

• In this method, the metallic structure to be In this method, an impressed current is


protected is made cathode by connecting it with applied in the opposite direction to nullify the
more active metal (anodic metal). corrosion current and convert the corroding
• So that all the corrosion will concentrate only on metal from anode to cathode.
the active metal. This can be done by connecting negative
• The artificially made anode thus gradually gets terminal of the battery to the metallic
corroded protecting the original metallic structure. structure to be protected.
• Hence this process is otherwise known as Positive terminal of battery is connected to an
sacrificial anodic protection. inert anode. inert anode used for this purpose
is graphite or platinised titanium.

The anode is surrounded by ‘backfill’


Examples of sacrificial anode (containing mixture of gypsum, coke,
• This method is used for the protection of sodium sulphate) to improve the electrical
ships and boats. contact between the anode and the
• Sheets of zinc and magnesium are hung surrounding soil.
around the hull of the ship.
• Zinc and magnesium being anodic to iron APPLICATION OF IMPRESSED CURRENT
get corroded. PROTECTION
• Since they are sacrificed in the process of This type of cathodic protection is applied
saving iron (anode), they are called
to open water-box coolers, water tanks,
sacrificial anodes.
buried oil and water pipes, condensers,
marine piers, transmission line towers, etc.,

1
26-09-2018

Comparison of sacrificial anode and impressed Sample Preparation (contd..)


current cathodic method
• Alkali Cleaning – To remove old paints that are
soluble in alkaline medium using chemicals like
Sacrificial anodic Impressed current NaOH, Na3PO4 etc. After cleaning, the metal is
method method washed with 1% chromic acid solution.
No external power External power
supply is necessary. supply must be
present.
• Acid pickling and etching – Base metal is dipped
This method requires
inside acid solution at a higher tempt for a long
periodical replacement Here anodes are
duration. Acids used are HCl, H2SO4, H3PO4, HNO3,
of sacrificial anode. stable and do not
disintegrate. under dilute conditions.
Investment is low.
Investment is more.
10

ELECTROPLATING
Soil corrosion Soil corrosion
effects are not effects are taken in PRINCIPLE
taken in to account. to account.
Electroplating is the process in which the coating metal
This is most is deposited on the base metal by passing a direct
economical method This method is well current through an electrolytic solution containing the
soluble salt of the coating metal.
especially when suited for large
short-term structures and long Electroplating is probably the most important and most
protection is term operations. frequently applied industrial method of producing
metallic coatings. The metal film produced is quite
required. uniform with little or no pinholes per unit area.

When the thickness of the deposit increases, the


number of pinholes decreases.
11

Sample Preparation
• The base metal to be plated is made cathode
• Mechanical cleaning – To remove loose scale of an electrolyte cell, whereas the anode is
and rust, using hammer, wire-brushing, grinding either made of the coating metal itself or an
and polishing. inert material of good electrical conductivity.
• Sandblasting – To clean large surface areas in
order to produce enough roughness for good THEORY
adherence of protective coating, using sand If the anode is made of coating metal itself in
with air stream at 25-100 atm. the electrolytic cell, during electrolysis, the
concentration of electrolytic bath remains
• Solvent Cleaning – To remove oil, grease, rust unaltered, since the metal ions deposited from
using organic solvents like alcohol, xylene, the bath on cathode are replenished
toluene, hydrocarbons followed by cleaning hot continuously by the reaction of free anions
water or steam. with the anode.
9 12

2
26-09-2018

Objectives of electroplating:

(i) To increase the resistance to corrosion and chemical 2. Metal ion concentration: is normally kept from low to moderate for uniform
attack of the plated metal. and smooth deposit. To improve the conductivity of the electrolyte,
supporting electrolytes are also added which do not participate in
(ii) To obtain a polished surface electrodeposition. These act as buffers.
(iii) To improve hardness and wear resistance 3. Organic Additives: Certain organic additives have a remarkable influence
over the nature of electrodeposits. They are classified as i) brighteners ii)
Example: Electroplating of Cu, Au, Ag, Cr, Ni, Sn etc. levellers iii) structural modifiers iv) wetting agents according to the purpose
for which they are added
4. pH: If the pH is too low, H2 gas evolution may take place causing the
Uses of electroplating: deposit to be brittle and burnt. At high pH deposits of metallic oxide or
hydroxide may take place. Hence an optimum pH between 4 and 8 is
employed. To maintain the pH buffers are used.
(i) It is often used in electronic industries for making 5. Temperature: At low temperature, the electrodeposition may be slow, but
printed circuit boards, edge connectors, smooth. At high temperatures, deposition may be fast but decomposition
semiconductor lead-out connection of organic additives, corrosion of equipment and hydrogen evolution may
take place. Hence an optimum temperature in the range of 308 to 333k is
(ii) It is also used in the manufacture of jewelery, used
refrigerator, electric iron etc. 13 16

Electroplating of Cu 6. Position of Anode: The anode area and position are important
to efficient electrodeposition and uniformity of deposit. There
• For electroplating of Cu on metal surface, is a tendency for plating to be thicker on cathode area closest
• Electrolyte: (3-5%)H2SO4 / (15-30%) CuSO4 to the anode and thinner in areas hidden from anode. Correct
positioning of the anodes and a large anode area (compared
• Anode: Pure Cu metal or Graphite (inert)
to cathode area) is desirable for good plating.
• Cathode: Metal to be coated
• Additive: Boric acid or gelatin
Ionization reaction of electrolyte is observed,
CuSO4 Cu2+ + SO42- H2SO4 2H+ + SO42-

On passing current, Cu2+ + 2e- Cu (at cathode)


SO42- SO4 + 2e- (at anode)

Due to common ion effect, the ionization rate of Cu2+ is controlled


and the deposition process can also be controlled, with a current
density of 0.5 to 1.5 ampere/dm2. 14 17

Factors affecting electroplating


Thin-Film Coatings (µm)
A number of factors are found to influence the nature of electro
deposits. The more important of these are
1. Current Density: is defined as current per unit area. • PVD Coating (Physical Vapor Deposition)
At low current density: Bulk diffusion and electron transfer are slow • CVD Coating (Chemical Vapor Deposition)
and hence the atoms formed find time to move towards favorable
sites. This results in well formed deposit
Physical Vapour Deposition, PVD a group of vacuum
At higher current density: Bulk transfer predominates along with
coating techniques that are used to deposit thin film
electron transfer. Surface diffusion is poor resulting in rough,
powdery, nonadhesive deposit coatings that enhance the properties and performance of
At current density (above limiting value): Hydrogen evolution takes tools and machine components.
place resulting in a depletion of H+ ion concentrations in the
vicinity of the cathode. As a result metal hydroxide or oxide gets PVD coatings are used in a vast array of industries and
precipitated. When these get included in the electrodeposit, the thousands of applications as diverse as "self-cleaning"
deposit appears dark, burnt and spongy
windows, medical implants, cutting tools, decorative
fittings and Formula 1 racing parts.
15 18

3
26-09-2018

Classifications of thin-films based on their applications

Thin-film property Typical applications


category
Optical Reflective/antireflective coatings
Interference filters, Decoration (colour,
luster), Memory discs (CDs),
Waveguides
Electrical Insulation, conduction, Semiconductor
devices, Piezoelectric drivers
Magnetic Memory discs/devices
Chemical Barriers to diffusion or alloying
Protecting against corrosion or
oxidation
Gas/liquid sensors
Mechanical Tribological (wear resistant) coatings
Hardness, Adhesion, Micromechanics
Thermal Barrier layers, Heat sinks

19 22

Deposition techniques
DC or Direct Sputtering is a Thin film PVD Coating technique
where a target material to be used as the coating is bombarded with
ionized gas molecules causing atoms to be sputtered off into
Plasma. The vaporised atoms are then deposited when they
condensed as a thin film on the substrate to be coated.

Easy, Low cost, extensively used in semiconductor industry


(microchip) circulating on molecular level
Vacuum chamber maintained at 10 to the power -6 torr (removing
all contaminants) and inert gas injected usually Ar gas due to its
relative mass and ability to convey kinetic energy upon impact
DC electrical current typically in the -2 to -5 kV range is then
applied to the target cpating material (cathode). Electrons enter the
system (-ve bias), +ve charge is applied to the anode (substrate)

20 23

Deposition by Physical Vapour


Deposition (PVD) Ionized Ar gas atoms are then driven to the substrate which is the
anode/+ve charged bias attracting ionized gas ions , electrons and
PVD Chamber
vaporised target coating atoms which condense and form a thin
H2 = 50 psi
N2 = 15 psi flim on the substrate to be coated
Magnets are kept behind the negative cathode to trap electrons
over the negatively charged target material so that they are not free
Substrate
to bombard the substrate thus allowing for faster deposition rates
Ni film
(improves the efficiency of gas ion formation)

Heater

Suction valve
N2
(or) Ni Source
2000oC
H2
To vacuum pump
21 24
9/26/2018 4:30 PM 21
Nanotechnology

4
26-09-2018

Titanium Nitride coated punches


Aluminium Chromium
Titanium Nitride coated Sputtering
• Coating can be done for both conductive (dc) and non-
conductive (RF sputtering) materials
• DC sputtering – the workpiece and substance to be
coated are connected to high voltage dc power supply.
• Vacuum chamber is filled with controlled amount of
argon gas to establish a pressure of 10-4 torr.
Aluminium Titanium Nitride coated • The supplied direct current energizes the chamber
creating a plasma between the workpiece and the
material to be coated.
• The argon atoms get ionized and accelerated to bombard
on the workpiece resulting in the sputtering of atoms,
which are transported and coated on the substance
25 28

PVD Process
The parts to be coated are first cleaned. The cleaning
process varies depending on the level of quality from the
electroplater, substrate material and geometry.

The parts are loaded into the vacuum chamber on custom


fixtures designed to optimize the chamber load size and
ensure coating uniformity.

The vacuum chamber is evacuated to 10-6 torr (high vacuum)


to remove any contaminants in the system.

Structure of TiAlCN The vacuum chamber is backfilled with an inert gas argon
and ionized, resulting in a glow discharge (plasma). This is
the gas cleaning stage and prepares the parts for the initial
26
metal deposition. 29

Different types of PVD coating A high current, low voltage arc is initiated on the target
(solid material used for deposition). The metal is
• Cathodic Arc Deposition: In which an electric arc is used
to vaporize material from a cathode target. The evaporated and instantaneously ionized.
vaporized material condenses on a substrate, forming a
thin film. These metal ions are accelerated at high energies into
the vacuum through an inert gas or reactive gas and
• Evaporative deposition: In which the material to be
deposited is heated to a high vapor pressure by subsequently deposited on the part.
electrically resistive heating in "low" vacuum.
The basic properties of the metal being evaporated
• Sputter deposition: In which a glow plasma discharge (target) remain unchanged during the metal deposition
(usually localized around the "target" by a magnet)
bombards the material sputtering away as a vapor. cycle.

• Ion plating: In which the material is heated to a high Changing the volume of gas and type of gas during the
vapor pressure and a plasma is established to ionize the reactive deposition cycle changes the nature of the
evaporating species. These species physically implant coating.
into the substrate producing strong coating bond. 27 30

5
26-09-2018

3. Thin-Film Deposition
Zirconium nitride (ZrN) is a hard, yellow-gold colored
coating with exceptional wear and corrosion Chemical Vapor Deposition
resistance, used in plumbing and door hardware
industry.

Introducing measured amounts of nitrogen into the


chamber during the zirconium deposition cycle
produces zirconium nitride.

Chromium nitride is produced in much the same way.


Simply by adding an additional gas such as acetylene
(C2H2), you can create chromium carbonitride. This is a
gray to black color.
31

What is CVD?
• TiN – 2900 HV – Gold
• Chemical Vapor Deposition is the formation of a non-volatile solid
• ZrN – 2800 HV – Gold film on a substrate by the reaction of vapor phase chemicals
• TiAlN – 2600 HV – Brown (reactants) that contain the required constituents.

• TiCN – 4000 HV – Silver


• The reactant gases are introduced into a reaction chamber and are
• CrN – 2500 HV – Silver decomposed and reacted at a heated surface to form the thin film.
• DLC – 1000 to 5000 HV - Black
• Deposited films range from metals to semiconductors to insulators.

• Many different films can be deposited: elements and compounds,


Coating processes are performed at 300 0C or upto 2800 0C. crystalline, polycrystalline, and amorphous. Most films can be
The higher temperature processes usually produce optimum deposited from several different precursor systems.
coating properties but sometimes results in softening of
substrates especially steel. • High temperatures and low pressures are the most common process
conditions, but are not necessary.
Thickness usually in the range of 1 to 2 μm.
32
• All CVD involves using an energy source to break reactant gases
into reactive species for deposition.

Deposition by Chemical Vapour


• In a typical CVD process, reactant gases (often diluted in a carrier
Deposition (CVD) gas) at room temperature enter the reaction chamber.

• The gas mixture is heated as it approaches the deposition surface,


H2 = 50 mm Hg CVD Chamber
heated using radiation or placed upon a heated substrate.
N2 = 15 mm Hg
• Depending on the process and operating conditions, the reactant
Ni film gases may undergo homogeneous chemical reactions in the vapor
phase before striking the surface.
HTV

• Near the surface thermal, momentum, and chemical concentration


boundary layers form as the gas stream heats, slows down due to
Substrate viscous drag, and the chemical composition changes.
Pre
Vap Heater • Heterogeneous reactions of the source gases or reactive intermediate
species (formed from homogeneous pyrolysis) occur at the deposition
Suction valve surface forming the deposited material.
N2
Solid
complex
(or) • Gaseous reaction by-products are then transported out of the reaction
H2 chamber.
400oC To vacuum pump
33
9/26/2018 4:30 PM Nanotechnology 33

6
26-09-2018

In every case, CVD processes must: Advantages:


provide a volatile precursor containing the constituents of the film • high growth rates possible
• can deposit materials which are hard to evaporate
 transport that precursor to the deposition surface
• good reproducibility
encourage or avoid reactions in the gas phase • can grow epitaxial films
encourage surface reactions that form the film
Disadvantages:
and do it rapidly, reproducibly, and uniformly for industrial applications. • high temperatures
• complex processes
• toxic and corrosive by-product gasses

Chemical Vapor Deposition (CVD)

Thin film is formed from gas phase components.

Steps involved in a CVD process (schematic)


1. Transport of reactants by forced convection to the deposition region.

2. Transport of reactants by diffusion from the main gas stream through


the boundary layer to the wafer surface.

3. Adsorption of reactants on the wafer surface.

4. Surface processes, including chemical decomposition or reaction,


surface migration to attachment sites, site incorporation, and other
surface reactions.

5. Desorption of byproducts from the surface.

6. Transport of byproducts by diffusion through the boundary layer and


back to the main gas stream.

7. Transport of byproducts by forced convection away from the


deposition region.

You might also like