Third Year Ece-B Group Project Convert 4-Bit Binary To Gray Code Using Verilog

You might also like

Download as doc, pdf, or txt
Download as doc, pdf, or txt
You are on page 1of 2

THIRD YEAR ECE-B GROUP PROJECT

CONVERT 4-BIT BINARY TO GRAY CODE USING VERILOG

ABSTRACT

Binary Numbers is default way to store numbers, but in many applications binary numbers are
difficult to use and a variation of binary numbers is needed. This is where Gray codes are very
useful.Gray code has property that two successive numbers differ in only one bit because of this
property gray code does the cycling through various states with minimal effort and used in K-
maps, error correction, communication etc.
The reflected binary code, also known as Gray code after Frank Gray, is a binary numeral system
where two successive values differ in only one bit. The reflected binary code was originally
designed to prevent spurious output from electromechanical switches. Today Gray codes are
widely used to facilitate error correction in digital communications such as digital terrestrial
television and some cable TV systems.The gray code is a non weighted code.It is not suitable for
arithmetic operations. It is the most popular of the unit distance codes. An n-bit Gray code can
be obtained by reflecting an n-1 bit code about an axis after 2n-1 rows, and putting the MSB of
0 above the axis and the MSB of 1 below the axis.
This conversion technique requires a digital circuit.HDL is a extension to design and verification
of digital circuit at a register transfer level (RTL).To verify the function of verilog we use
systemverilog.Because it is library independent. So in this project we are proposing to design
Convert 4-Bit Binary To Gray Code Using Verilog code and verify its function.
BLOCK DIAGRAM

Software required

Xilinx

VERILOG

BY

16311A0493–Y.Saikiran Reddy

16311A04A3 –P.Akshay

16311A04A8-V.Santhosh

17315A0416-P.Prashant

You might also like