Download as docx, pdf, or txt
Download as docx, pdf, or txt
You are on page 1of 1

Department of Electronics and Communication Engineering

III B.TECH I Sem LAB INTERNAL EXAMINATION-OCT 2018(R-16)

DICA Laboratory Date:

1. Write a VHDL program and Implement Logic Gates(AND, OR, NOT) by using Spartan 3S FPGA Kit
2. Write a VHDL program and Implement full adder by using Spartan 3S FPGA Kit
3. Write a VHDL program and Implement 3 to 8 Decoder-741x38 by using Spartan 3S FPGA Kit
4. Write a VHDL program and verify simulation results for 8x 1 Multiplexer-74x151
5. Write a VHDL program and verify simulation results for 4- B it comparator-74x85
6. Write a VHDL program and verify simulation results for DFlip-Rop-74x74
7. Write a VHDL program and verify simulation results for Decade counter -74x90
8. Write a VHDL program and verify simulation results for 4 Bit counter-74x93
9. Write a VHDL program and verify simulation results for Shift registers-74x95
10. Write a VHDL program and verify simulation results for RAM (16 x 4)-74x189 for Read and Write
operations
11. Write a VHDL program and verify simulation results for ALU Design

Examiner-1 Examiner-2

You might also like