Professional Documents
Culture Documents
Multiplicacion Sumas Sucesivas Factores de 4 Bits
Multiplicacion Sumas Sucesivas Factores de 4 Bits
Factores de 4 bits.
Se utilizó la tarjeta cyclone 4.
CODIGOS:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity aplic_producto is
port(clk,reset,puls3,puls1,puls2:in std_logic;
end aplic_producto;
component anti_rebote
port(clk,entrada: in std_logic;
end component;
component contador0_9 is
end component;
component maq_producto
end component;
component control_producto
port(clk,z_sal,producto,reset: in std_logic;
end component;
component diplay_4
end component;
begin
end solucion;