Professional Documents
Culture Documents
Trafficlights (Uprogrammed)
Trafficlights (Uprogrammed)
Trafficlights (Uprogrammed)
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity microprogramed is
Port ( A : in STD_LOGIC;
B : in STD_LOGIC;
clk : in STD_LOGIC;
rst : in STD_LOGIC;
R : out STD_LOGIC;
Y : out STD_LOGIC;
G : out STD_LOGIC);
end microprogramed;
signal nsSelect:std_logic;
signal nState:unsigned(1 downto 0);
begin
condMux:process(A,B,TEST)
begin
if TEST='0' then
nsSelect <= A;
else
nsSelect <= B;
end if;
end process;
nsMux:process(NSF,NST,nsSelect)
begin
if nsSelect = '0' then
nState<=NSF;
else
nState<=NST;
end if;
end process;
G<=OUTPUTS(0);
R<=OUTPUTS(1);
Y<=OUTPUTS(2);
end Behavioral;