Professional Documents
Culture Documents
A Low-Voltage Radiation-Hardened 13T SRAM Bitcell For Ultralow Power Space Applications
A Low-Voltage Radiation-Hardened 13T SRAM Bitcell For Ultralow Power Space Applications
Abstract— Continuous transistor scaling, coupled with the circuits is to aggressively reduce the supply voltage (VDD)
growing demand for low-voltage, low-power applications, and operate all components of the chip in the near-threshold
increases the susceptibility of VLSI circuits to soft-errors, or subthreshold region [1], [2], thereby significantly reduc-
especially when exposed to extreme environmental conditions,
such as those encountered by space applications. The most ing both static and dynamic power consumption. However,
vulnerable of these circuits are memory arrays that cover large in addition to the well-known challenges of a low-voltage
areas of the silicon die and often store critical data. Radiation circuit design, such as increased delay, sensitivity to process
hardening of embedded memory blocks is commonly achieved variations, and temperature fluctuations, low-voltage circuits
by implementing extremely large bitcells or redundant arrays are much more susceptible to radiation effects than circuits
and maintaining a relatively high operating voltage; however,
in addition to the resulting area overhead, this often limits powered at nominal supply voltages [3].
the minimum operating voltage of the entire system leading to Soft errors or single-event upsets (SEUs) caused by
significant power consumption. In this paper, we propose the radiation strikes are the primary causes of failure in VLSI cir-
first radiation-hardened static random access memory (SRAM) cuits operating within a highly radiating environment. Accord-
bitcell targeted at low-voltage functionality, while maintaining ingly, maintaining data integrity in light of SEUs has become
high soft-error robustness. The proposed 13T employs a novel
dual-driven separated-feedback mechanism to tolerate upsets an integral aspect of memory cell design [4]. Soft errors
with charge deposits as high as 500 fC at a scaled 500-mV supply occur when an energetic particle hits and passes through a
voltage. A 32×32 bit memory macro was designed and fabricated semiconductor material, potentially causing a bit flip in the
in a standard 0.18-µm CMOS process, showing full read and memory cell [5], [6]. The energetic particle frees electron–
write functionality down to the subthreshold voltage of 300 mV. hole (e–h) pairs along its path in the material as it loses
This is achieved with a cell layout that is only 2× larger than
a reference 6T SRAM cell drawn with standard design rules. energy. When the particle hits a reverse-biased p-n-junction,
such as a transistor diffusion-bulk junction, the injected charge
Index Terms— Critical charge, low voltage, radiation effects, is transported by drift and causes a transient current pulse that
radiation hardening, single-event upset (SEU), soft errors,
space applications, static random access memory (SRAM), changes the node voltage. Data loss occurs when the collected
subthreshold, ultralow power (ULP). charge (Q coll ) exceeds the critical charge (Q crit ) that is stored
in the sensitive node. The charge deposited by a particle strike
I. I NTRODUCTION can be calculated from the integral of the transient current
pulse, and Q crit is defined as the minimum charge deposited
P OWER dissipation is one of the most important aspects
of current nanoscale VLSI design. Ultralow power (ULP)
operation is of particular importance in VLSI chips for space
in a sensitive node that results in a memory bit flip [7].
SEUs and other similar single-event effects (SEEs) are often
applications, where available energy resources are limited. considered when designing for space applications and other
Future small, low-cost satellites have an even lower power high-radiation environments. However, due to the reduction
budget, as the total satellite weight is often reduced by of Q crit with technology scaling [8], SEUs can also occur
restricting the use of heavy batteries and power supplies. The in standard terrestrial environments at nonnegligible rates [9].
most efficient way to achieve ULP operation in integrated Architectural solutions, such as error correction coding and
triple modular redundancy (TMR) [10], [11], are often not
Manuscript received July 28, 2015; revised November 27, 2015; accepted effective for small arrays in ULP systems operated at low
January 6, 2016. This work was supported by the Tashtiyot Program through
the Israeli Ministry of Science. supply voltages, due to their high complexity and the resulting
L. Atias, R. Giterman, P. Meinerzhagen, and A. Fish are with Emerging performance penalty. Technology solutions, such as silicon-on-
Nanoscaled Integrated Circuits and Systems Laboratories, Faculty of Engi- insulator and other process techniques, can improve the data
neering, Bar-Ilan University, Ramat Gan 5290002, Israel (e-mail: lioratias25@
gmail.com; robertgi316@gmail.com; pascal.meinerzhagen@gmail.com; reliability but do not entirely solve the SEE problems, and
alexander.fish@biu.ac.il). often high volume manufacturing is not feasible [12]. Previ-
A. Teman was with the Telecommunications Circuits Laboratory, Institute ously proposed bitcell solutions, such as the Dual Interlocked
of Electrical Engineering, Swiss Federal Institute of Technology Lausanne,
Lausanne 1015, Switzerland. He is now with Emerging Nanoscaled Integrated storage Cell (DICE) [13], are designed for superthreshold
Circuits and Systems Laboratories, Faculty of Engineering, Bar-Ilan operation and fail when operated at low voltages.
University, Ramat Gan 5290002, Israel (e-mail: adam.teman@biu.ac.il). In this paper, for the first time, a radiation tolerant bitcell,
Color versions of one or more of the figures in this paper are available
online at http://ieeexplore.ieee.org. specifically designed for low-voltage operation, is proposed.
Digital Object Identifier 10.1109/TVLSI.2016.2518220 The 13T dual-driven separated-feedback bitcell employs
1063-8210 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission.
See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.
Fig. 3. Stable states of the 13T bitcell. For simplicity, devices N6–N8 were
omitted from this figure.
Fig. 4. Write stability demonstrated through 3-D phase portraits for both
write operations.
Fig. 6. Distribution of write margin according to the WBL sweep method.
1000 MC samples were taken at VDD = 500 mV.
only some of the bits that share the same wordline are to be I (t) = (1)
t f − tr
written. In a standard 6T SRAM cell that shares wordlines
where Q coll is the charge collected due to the particle strike,
and bitlines for both read and write operations, biasing the
tr is the rise time, and t f is the fall time. Q coll depends on
bitlines for a read operation ensures that the cell will not
the type of the ionizing particle, trajectory, energy value, and
be written to. However, as read margin is often the limiting
impact location. The technology-dependent rise and fall times
factor in supply voltage scaling, single-ended readout is often
were taken as 10 and 200 ps, respectively, for the considered
used as an alternative to the standard differential readout.
0.18-µm process [3]. The critical charge is calculated from
This either leaves the cell susceptible to half-select failures
the numerical integration of the injected current pulse that
or eliminates the option of partial row writes—a real problem
causes a bit flip. Section IV-B describes the describes the
if bit-interleaving is desired for minimizing the probability of
bitcell tolerance to a 500-fC disruption at each cell node for
multiple-bit failures.
relevant standby states.
In the case of the proposed cell, a half-select situation will
indeed occur during a partial row write. However, due to
the strong, dual-driven feedback mechanism and the indirect B. Disrupt Tolerance
write operation through the weak feedback nodes, the cell The multiple internal nodes of the proposed 13T circuit
provides robust half-select stability. During a bit-masked write and the possibility of strikes of both positive and negative
operation, the tristate WBL drivers of the nonselected cells polarities require an analysis of each type of strike to evaluate
are set to their high-impedance state, floating the bitlines. In a disrupt tolerance. As previously mentioned, a correct readout
worst case situation, during which the nonselected WBL is only requires the data to be stable at node Q, and therefore,
driven to the opposite level than that stored in the cell prior it is sufficient to consider the voltage at this node for such an
to the half-select cycle, the floating charge will be discharged evaluation. Fig. 9 shows the reaction of the storage node, Q,
through Q without causing a bit flip. This is shown in Fig. 7 to particle strikes at each of the internal nodes of the proposed
for 1000 MC samples at VDD = 500 mV. Fig. 7 shows two bitcell. A typical 500-fC strike with VDD = 500 mV is shown
cells in the same row, storing 1 and 0, respectively, under for every node, and where applicable, the reaction to both
worst case half-select situations. Each WBL was initialized at positive and negative charge strikes is shown. Note that there
the voltage opposite to that stored in its bitcell, and at the are no plots shown for a negative upset at node A or a positive
onset of a write operation (rising-edge of WWL), the WBLs upset at node B, as there is no reversed-biased p-n junction
were floated. In all cases, only a slight disrupt can be seen connected to these nodes in the respective standby states. The
on Q, and this is quickly suppressed as the bitline charge is insets of each subfigure show the results of 1000 MC samples
discharged through A and/or B to Q. for this type of particle strike, all resulting in a successful
To summarize and demonstrate the cell operation described recovery. The recovery mechanisms that successfully enable
in this section, representative write, read, and upset the cell to recover and retain its initial state for every possible
suppression events are shown in Fig. 8 with a 500-mV supply upset are described hereafter.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.
Fig. 8. Subsequent write-upset-read events, demonstrating quick cell recovery. The waveforms were plotted for a 500-mV supply voltage with the particle
energies of 1 pC.
Fig. 9. Behavior of node Q under all possible SEUs with charge deposit of 500 fC and VDD = 500 mV. Insets: results of 1000 MC simulations.
rise on Q, as Q B1 is not affected. Since the value of Q B2 ensure that N1 and N2 remain close keeping the charge stored
changed to 0, A starts to charge to 1 through P5 closing at Q B1 to successfully combat the charge of Q by evacuating
P1 and P2. However, since N5 is in cutoff, B stays low, to the injected charge through N3.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.
TABLE I
Q crit OF B ITCELL N ODES
per cell, placing all pMOS devices in a single row and sharing
diffusion through abutment, wherever possible. However, node
positioning had to be considered, as well, in order to reduce
the probability of multiple-node disrupts.
A single high-energy particle strike can disrupt several
nodes simultaneously, especially if the proximity between the
nodes is small. However, as previously explained, a transient
current pulse will only occur if the particle hits a reverse-
biased p-n junction. Therefore, by separating nodes that may
be simultaneously reverse-biased, the probability of a bitcell
failure can be significantly reduced. The layout of the proposed
bitcell was designed, such that every pair of these sensitive
nodes is separated either by distance or by placing an unbiased
junction in between them. For example, in a logic 1 state,
the two sensitive nodes, Q B1 and Q B2 , in the pMOS row at
the top of the unit cell are equal to 0; hence, both nodes are
reverse-biased. Therefore, an unbiased junction was positioned
between them for protection. If a particle hits the Q B1 pMOS
junction (top left in Fig. 12), the unbiased diffusions of transis-
tors P3 and P4, connected to VDD and to Q, respectively, will
block this upset from reaching the second sensitive reverse-
biased node, Q B2 . The same separation was also implemented
for the sensitive nodes in the nMOS row at the bottom of the
cell, since at any given time, only one of the storage nodes,
Q or Q B1 /Q B2 , will be reverse-biased. As such, for any hold
state of the cell, a sensitive node is protected by an unsensitive
Fig. 12. Bitcell layout. node. In addition, nodes A and B are separated by distance
from the storage nodes.
While the cell functionality and radiation hardening
V. L AYOUT C ONSIDERATIONS described in Section IV can be achieved with minimum-sized
Standard SRAM bitcells, intended for high-density integra- transistors, the layout considerations and design, described
tion, give the highest priority to minimum area layout, often above, leave room for slight increases in transistor widths
trading off size for stability and performance. However, when without resulting in an overall increase in a cell area. This
designing a cell for high-radiation environments, silicon area feature was exploited to reduce the cell recovery time by
often takes a step back in favor of stability and soft-error upsizing transistors P3 and N2, as shown in Fig. 12.
suppression. Accordingly, one of the most simple and efficient
solutions that has been proposed to mitigate SEUs is the TMR
VI. T EST C HIP I MPLEMENTATION AND M EASUREMENTS
solution, which utilizes three identical memory arrays and a
voting circuit to decide on the correct readout. This solution A 32 × 32 bit (1 kb) memory macro based on the proposed
clearly comes at a high area penalty—at least tripling the size cell was designed and integrated into a 0.18-µm test chip.
of a standard SRAM block. Ultimately, such a heavy area All devices were implemented with standard VT transistors to
overhead limits the memory integration density. Therefore, in provide complete logic process compatibility. The test chip
the design of the proposed circuit, one of the goals was to was designed to enable three primary test modes: 1) full,
provide a significant area benefit, as compared with a similar at speed testing using an integrated built-in-self-test (BIST);
sized TMR block. 2) complete array control through a serial scan chain config-
The proposed layout for the 13T bitcell is shown in Fig. 12, uration; and 3) single-cycle external direct access to a portion
presenting a unit size of 7.5 µm × 4 µm, which is approxi- of the array. The test chip also included a 2-kb compiled
mately 2× larger than a standard 6T SRAM cell in the same SRAM for data comparison and several other test components.
technology process (0.18 µm) designed with standard rules. The BIST incorporates a finite-state machine for rigorous,
Note that the majority of industry standard SRAM macros are at-speed testing, utilizing an on-chip SRAM for comparison
based on pushed-rule bitcells, which provide a significantly of the data written to the custom array with the subsequent
reduced cell area. However, this also results in increased readout values. These three test configurations were used to
sensitivity to soft errors, including the MNU rate of the cell, test the functionality of the array and provide the presented
making a pushed-rule bitcell a very bad candidate for radiation measurement data.
hardening. Therefore, the vast majority of radiation-hardened A micrograph of the bonded test chip is shown in Fig. 13
circuits are designed with standard rules. along with the layout of the entire chip and an enlarged image
The reduced size of the proposed cell in comparison with the of the 13T array layout. In order to test the cell’s functionality
TMR solution was achieved by implementing a single n-well under scaled voltage supplies, the radiation-hardened SRAM
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.
Fig. 13. Full chip layout and the 13T cell memory array. Fig. 15. Measured frequency versus VDD .
Fig. 14. Combined shmoo plot of the minimal memory supply voltage as a Fig. 16. Measured hold leakage power versus M VDD .
function of the maximal operating frequency, for 12 measured chips.
Pascal Meinerzhagen received the B.Sc., M.Sc., Alexander Fish received the B.Sc. degree in elec-
and Ph.D. degrees from the École Polytechnique trical engineering from Technion–Israel Institute of
Fédérale de Lausanne (EPFL), Lausanne, Switzer- Technology, Haifa, Israel, in 1999, and the M.Sc. and
land, in 2014, 2008, and 2006, respectively, all in Ph.D. (summa cum laude) degrees from Ben-Gurion
electrical engineering, and the joint M.Sc. degree in University (BGU), Be’er Sheva, Israel, in 2002 and
micro and nanotechnologies for integrated systems 2006, respectively.
from Grenoble INP, Grenoble, France, Politecnico di He was a Post-Doctoral Fellow with the ATIPS
Torino, Turin, Italy, and EPFL, in 2008. Laboratory, University of Calgary, Calgary, AB,
He was a Post-Doctoral Fellow and a Lecturer Canada, from 2006 to 2008. In 2008, he again
with Bar-Ilan University, Ramat Gan, Israel, in 2014, joined BGU, as a Faculty Member with the Electrical
where he established the Advanced Digital VLSI and Computer Engineering Department, where he
Design course. He is currently a Senior Research Scientist with Intel Laborato- founded the Low Power Circuits and Systems Laboratory, specializing in low-
ries, Intel Corporation, Hillsboro, OR, USA, and a Visiting Lecturer with Bar- power circuits and systems. In 2011, he was appointed as a Head of the VLSI
Ilan University. His current research interests are broad, ranging from energy- Systems Center at BGU. In 2012, he joined Faculty of Engineering, Bar-Ilan
efficient and error-resilient circuits and systems in high-performance FinFET University, as an Associate Professor, and the Head of the Nanoelectronics
CMOS technologies, to power delivery and power management techniques, to Track. He also leads new Emerging Nanoscaled Integrated Circuits and
conventional and emerging memory circuits, to ultralow power VLSI. He has Systems Laboratories. His current research interests include development of
authored or co-authored two invited book chapters, 27 peer-reviewed journal secured hardware, ultralow-power embedded memory arrays, CMOS image
articles, and international conference papers, and holds four pending patents. sensors, and high-speed and energy-efficient design techniques. He has
Dr. Meinerzhagen received an Intel Ph.D. Fellowship and two best paper authored over 100 scientific papers in journals and conferences, including
nominations. He is a Reviewer of 16 international journals and conferences, the IEEE J OURNAL OF S OLID S TATE C IRCUITS , the IEEE T RANSACTIONS
including the IEEE T RANSACTIONS ON C IRCUITS AND S YSTEMS —PART ON E LECTRON D EVICES , the IEEE T RANSACTIONS ON C IRCUITS AND
I, the IEEE T RANSACTIONS ON C IRCUITS AND S YSTEMS —PART II, the S YSTEMS , and many others. He also submitted 22 patent applications. He
IEEE J OURNAL ON E MERGING AND S ELECTED T OPICS IN C IRCUITS AND has authored two book chapters.
S YSTEMS , and the IEEE Symposia on VLSI Technology and Circuits. Prof. Fish is a member of Sensory, VLSI Systems and Applications, and
Bio-medical Systems Technical Committees of the IEEE Circuits and Systems
Society. He was a co-author of papers that won the best paper finalist awards
at the IEEE ISCAS and ICECS conferences. He serves as the Editor in Chief
for the MDPI Journal of Low Power Electronics and Applications and an
Associate Editor of the IEEE S ENSORS , IEEE A CCESS , Microelectronics
and Integration (Elseiver), and VLSI Journals. He also served as a Chair
of different tracks of various IEEE conferences. He was a Co-Organizer of
many special sessions at the IEEE conferences, including IEEE ISCAS, IEEE
S ENSORS , and IEEE conferences.