Download as pdf or txt
Download as pdf or txt
You are on page 1of 12

This article has been accepted for inclusion in a future issue of this journal.

Content is final as presented, with the exception of pagination.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1

A Low-Voltage Radiation-Hardened 13T SRAM


Bitcell for Ultralow Power Space Applications
Lior Atias, Student Member, IEEE, Adam Teman, Member, IEEE, Robert Giterman, Student Member, IEEE,
Pascal Meinerzhagen, Member, IEEE, and Alexander Fish, Member, IEEE

Abstract— Continuous transistor scaling, coupled with the circuits is to aggressively reduce the supply voltage (VDD)
growing demand for low-voltage, low-power applications, and operate all components of the chip in the near-threshold
increases the susceptibility of VLSI circuits to soft-errors, or subthreshold region [1], [2], thereby significantly reduc-
especially when exposed to extreme environmental conditions,
such as those encountered by space applications. The most ing both static and dynamic power consumption. However,
vulnerable of these circuits are memory arrays that cover large in addition to the well-known challenges of a low-voltage
areas of the silicon die and often store critical data. Radiation circuit design, such as increased delay, sensitivity to process
hardening of embedded memory blocks is commonly achieved variations, and temperature fluctuations, low-voltage circuits
by implementing extremely large bitcells or redundant arrays are much more susceptible to radiation effects than circuits
and maintaining a relatively high operating voltage; however,
in addition to the resulting area overhead, this often limits powered at nominal supply voltages [3].
the minimum operating voltage of the entire system leading to Soft errors or single-event upsets (SEUs) caused by
significant power consumption. In this paper, we propose the radiation strikes are the primary causes of failure in VLSI cir-
first radiation-hardened static random access memory (SRAM) cuits operating within a highly radiating environment. Accord-
bitcell targeted at low-voltage functionality, while maintaining ingly, maintaining data integrity in light of SEUs has become
high soft-error robustness. The proposed 13T employs a novel
dual-driven separated-feedback mechanism to tolerate upsets an integral aspect of memory cell design [4]. Soft errors
with charge deposits as high as 500 fC at a scaled 500-mV supply occur when an energetic particle hits and passes through a
voltage. A 32×32 bit memory macro was designed and fabricated semiconductor material, potentially causing a bit flip in the
in a standard 0.18-µm CMOS process, showing full read and memory cell [5], [6]. The energetic particle frees electron–
write functionality down to the subthreshold voltage of 300 mV. hole (e–h) pairs along its path in the material as it loses
This is achieved with a cell layout that is only 2× larger than
a reference 6T SRAM cell drawn with standard design rules. energy. When the particle hits a reverse-biased p-n-junction,
such as a transistor diffusion-bulk junction, the injected charge
Index Terms— Critical charge, low voltage, radiation effects, is transported by drift and causes a transient current pulse that
radiation hardening, single-event upset (SEU), soft errors,
space applications, static random access memory (SRAM), changes the node voltage. Data loss occurs when the collected
subthreshold, ultralow power (ULP). charge (Q coll ) exceeds the critical charge (Q crit ) that is stored
in the sensitive node. The charge deposited by a particle strike
I. I NTRODUCTION can be calculated from the integral of the transient current
pulse, and Q crit is defined as the minimum charge deposited
P OWER dissipation is one of the most important aspects
of current nanoscale VLSI design. Ultralow power (ULP)
operation is of particular importance in VLSI chips for space
in a sensitive node that results in a memory bit flip [7].
SEUs and other similar single-event effects (SEEs) are often
applications, where available energy resources are limited. considered when designing for space applications and other
Future small, low-cost satellites have an even lower power high-radiation environments. However, due to the reduction
budget, as the total satellite weight is often reduced by of Q crit with technology scaling [8], SEUs can also occur
restricting the use of heavy batteries and power supplies. The in standard terrestrial environments at nonnegligible rates [9].
most efficient way to achieve ULP operation in integrated Architectural solutions, such as error correction coding and
triple modular redundancy (TMR) [10], [11], are often not
Manuscript received July 28, 2015; revised November 27, 2015; accepted effective for small arrays in ULP systems operated at low
January 6, 2016. This work was supported by the Tashtiyot Program through
the Israeli Ministry of Science. supply voltages, due to their high complexity and the resulting
L. Atias, R. Giterman, P. Meinerzhagen, and A. Fish are with Emerging performance penalty. Technology solutions, such as silicon-on-
Nanoscaled Integrated Circuits and Systems Laboratories, Faculty of Engi- insulator and other process techniques, can improve the data
neering, Bar-Ilan University, Ramat Gan 5290002, Israel (e-mail: lioratias25@
gmail.com; robertgi316@gmail.com; pascal.meinerzhagen@gmail.com; reliability but do not entirely solve the SEE problems, and
alexander.fish@biu.ac.il). often high volume manufacturing is not feasible [12]. Previ-
A. Teman was with the Telecommunications Circuits Laboratory, Institute ously proposed bitcell solutions, such as the Dual Interlocked
of Electrical Engineering, Swiss Federal Institute of Technology Lausanne,
Lausanne 1015, Switzerland. He is now with Emerging Nanoscaled Integrated storage Cell (DICE) [13], are designed for superthreshold
Circuits and Systems Laboratories, Faculty of Engineering, Bar-Ilan operation and fail when operated at low voltages.
University, Ramat Gan 5290002, Israel (e-mail: adam.teman@biu.ac.il). In this paper, for the first time, a radiation tolerant bitcell,
Color versions of one or more of the figures in this paper are available
online at http://ieeexplore.ieee.org. specifically designed for low-voltage operation, is proposed.
Digital Object Identifier 10.1109/TVLSI.2016.2518220 The 13T dual-driven separated-feedback bitcell employs
1063-8210 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission.
See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

2 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS

several novel techniques to achieve robust SEU suppression,


and is shown to tolerate upsets with charge deposits as
high as 500 fC when operated at a scaled 500-mV supply
voltage. Careful layout considerations were incorporated to
further improve multiple-node strikes, while maintaining a
unit cell size that is only 2× larger than a standard 6T static
random access memory (SRAM) bitcell, implemented in the
same 0.18-µm CMOS process. Extensive dynamic and static
analyses were carried out to prove functionality and upset Fig. 1. (a) Conventional 6T SRAM cell. (b) Example of an SRAM bit flip
tolerance. Silicon measurements of a 32 × 32 (1 kb) memory caused by an SEU.
macro show full functionality down to 300 mV.
The rest of this paper is organized as follows. Section II particle strikes a circuit storing a logic 1 (Q = VDD and
presents the issue of SEUs in SRAM cells. Section III Q B = 0 V). If the particle strikes the drain of the cutoff
describes the design and architecture of the 13T bitcell. pMOS transistor, M3, charge will be generated, temporarily
In Section IV, the radiation tolerance of the proposed changing the state of Q B. For notation purposes, we will refer
bitcell is described, based on a unique self-correction mecha- to this type of positively charged strike as a 0 to 1 upset at
nism. Section V presents the considerations in constructing node Q B, as opposed to a negatively charged strike, which
the bitcell layout, followed by test chip measurements in we will refer to as a 1 to 0 upset at this node. Before the
Section VI. Section VII concludes this paper. deposited charge can be evacuated to the power supply through
The contributions of this paper are as follows. the conducting transistor of the feedback inverter (M1), the
1) The proposed radiation-hardened bitcell is a pioneer feed-forward inverter (M2 and M4) switches and discharges Q.
solution for embedded memories in low-power space This, in turn, enforces the wrong state at Q B, thereby latching
applications. the error into the memory cell, as shown in Fig. 1(b).
2) Implementation of SRAM arrays based on the proposed The amount of charge needed in order to exceed Q crit is
bitcell reduces area and power consumption by 30% two orders-of-magnitude smaller than the charge that can be
compared with the common TMR approach. deposited by an energetic particle strike in space. Since Q crit
3) The proposed solution shows high stability under decreases with both voltage and technology scaling, both
varying voltage and process parameter variations, an out- of these trends impair the SEU tolerance of the SRAM.
standing advantage over the conventional 6T SRAM cell. Therefore, the typical cross-coupled inverter structure cannot
4) High-radiation tolerance is achieved under scaled achieve sufficient radiation tolerance under low supply
supply voltages, into the subthreshold region. voltages. Considering static-noise margin as a baseline metric
5) The novel dual-driven separated-feedback mechanism for measuring the stability of an SRAM cell [17], simulations
is introduced and implemented, in order to improve the show that a typical 6T bitcell implemented in a 0.18-µm
bitcell robustness. CMOS process and operated at 500 mV has ∼190 mV of
margin. For this margin, a deposited charge of ∼3 fC can
II. S TANDARD SRAM U NDER SEUs already cause a bit failure. However, the charge generated
SRAM blocks occupy the majority of the chip area by a particle in space can reach up to several hundreds
and are the primary contributors to leakage power in of femtocoulomb [4]. To overcome particle strikes of this
many modern systems, including those intended for space magnitude, an alternative cell topology must be considered.
applications [14]–[16]. These trends lead to two major
conclusions. First, due to their static power consumption, III. P ROPOSED 13T R ADIATION T OLERANT B ITCELL
scaling the supply voltage of the SRAM macros is an efficient
method to reduce total chip power. Second, the probability of A. Bitcell Design
a radiation strike on an SRAM bitcell is relatively high due SRAM design for low-voltage operation has become
to the large area that the SRAM core occupies. Therefore, increasingly popular in the recent past. Various bitcell designs
SRAM soft-error mitigation has become essential for robust and architectural techniques have been proposed to enable
system design. operation deep into the subthreshold region [15], [18]–[21].
The conventional 6T SRAM memory cell, shown These designs generally incorporate the addition of a number
in Fig. 1(a), utilizes an active feedback loop between two of transistors into the bitcell topology, compared with
cross-coupled inverters in order to retain its stored data value. the baseline 6T SRAM bitcell, trading off density with
This structure of the SRAM cell is very sensitive to SEUs, robust, low-voltage functionality. However, these bitcells were
as any upset that causes one of the data nodes to cross the designed for operation under standard operating environments,
switching threshold of the adjacent inverter will result in a bit and thereby, do not provide sufficient robustness to SEUs
flip. When operating at low voltages, the switching threshold under high-radiation conditions. In addition, the design
decreases, thereby increasing the soft-error susceptibility of architecture of these cells is based on the standard 6T cell;
the circuit. therefore, the 6T cell has the same hardening ability to most,
To demonstrate an SEU causing a failure in 6T SRAM if not all, these unprotected cells. As shown in Section II,
bitcell, the following example will assume that an energetic the radiation hardening ability of the 6T cell is extremely low,
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

ATIAS et al.: LOW-VOLTAGE RADIATION-HARDENED 13T SRAM BITCELL 3

Fig. 3. Stable states of the 13T bitcell. For simplicity, devices N6–N8 were
omitted from this figure.

discharge path to assist in holding Q B1 and Q B2 at 0. Note


that both nodes A and B are driven to a predetermined level
Fig. 2. Schematic of the proposed 13T radiation-hardened bitcell.
during the write operation, as described below, and therefore
are not reliant on the aforementioned leakage currents to set
especially when compared with radiation hardening solution the initial storage level of the cell.
designs. An almost symmetric process occurs in the logic 0 state,
The proposed bitcell is specifically designed to enable as shown in Fig. 3(b). In this case, Q B2 is high, allowing B
robust, low-voltage, ULP operation in space applications to discharge through N5 to Q and cutoff the pull-down paths
and other high-radiation environments. This is achieved by from Q B1 and Q B2 through N1 and N2, respectively. Any
employing a dual-feedback, separated-feedback mechanism charge stored at node A will leak through P5 to Q, enabling
to overcome the increased vulnerability due to supply voltage pull-up paths through P1 and P2 to Q B1 and Q B2 in order to
scaling. The schematic representation of the proposed 13T bit- replenish any charge lost at these nodes.
cell is shown in Fig. 2. The storage mechanism of this circuit
comprises five separate nodes: Q, Q B1 , Q B2 , A, and B, C. Inherent SEU Tolerance
with the acute data value stored at Q. This node is driven by Two basic principles provide the proposed bitcell with
a pair of CMOS inverters made up of transistors N3, P3, N4, inherent SEU tolerance.
and P4 that are, respectively, driven by the inverted data level, 1) The data are read out from node Q, such that any
stored at Q B1 and Q B2 . Q B1 and Q B2 are, respectively, temporary upset on other nodes can be tolerated.
driven to VDD or GND through devices P1, P2, N1, and N2 2) The assisting nodes are designed with redundancy
that are controlled by the weak feedback nodes A and B that to ensure that any upset will be mitigated by the
are connected to Q through a pair of complementary devices other nodes.
(P5 and N5) gated by Q B2 . By driving the acute data level When a radiation strike causes a value change on any node
by a pair of equipotentially driven, but independent, inverters, of the bitcell, the other four internal nodes are designed, so
a strong, dual-driven feedback mechanism is applied with that the state change at this node cannot flip the cell and the
node separation for SEU protection. This setup effectively disruption is suppressed within a deterministic recovery time.
protects Q from an upset, while achieving a high critical For example, an upset at Q will quickly be suppressed through
charge at node Q, as shown in Section IV. the dual-driven mechanism created by the internal inverters.
Due to their separated nature, upsets at Q B1 and Q B2 will
B. Storage Mechanism (Hold) not be able to change the state at Q and will return to their
original state. Detailed analysis of these disruptions, as well
The proposed 13T bitcell features two stable states, repre-
as every other possible node upset, is provided in Section IV.
senting a logic 1 and a logic 0, defined as the voltage level at
In addition, careful layout positioning considerations were
node Q. The ON / OFF states of the devices and the resulting
taken to protect the bitcell from multiple-node upsets (MNUs),
voltage state at the internal nodes are shown in Fig. 3. Similar
as described in Section V.
to a standard cross-coupled inverter structure, inverted voltage
levels are held at the internal data nodes. Starting with the
logic 1 state [Fig. 3(a)], the low level at Q B2 enables Q to D. Write Operation
charge A to VDD through P5, thereby cutting off P1 and P2 and Standard SRAM topologies, such as the 6T bitcell, write
eliminating any pull-up currents to Q B1 and Q B2 . Leakage data by driving the new level directly into the storage nodes,
currents from the strongly driven Q node through N5 charge and therefore are required to overcome the circuit’s strong
node B, thereby turning ON N1 and N2 and enabling a internal feedback. In contrast to this method, the proposed cell
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

4 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS

Fig. 5. Statistical MC simulations of writing 0 to cell 1 and 1 to cell 2 on


a postlayout netlist.

Fig. 4. Write stability demonstrated through 3-D phase portraits for both
write operations.
Fig. 6. Distribution of write margin according to the WBL sweep method.
1000 MC samples were taken at VDD = 500 mV.

achieves writes by driving the weak feedback nodes (A and B),


thereby removing much of the ratioed contention, inherent to the strike will move the cell to a different point in the state
direct access. A pair of write access transistors (N6 and N7) space.
connect a unified write bitline (WBL) to nodes A and B. These Writeability of the cell is further shown with dynamic stabil-
devices are controlled by a write wordline (WWL), such that ity simulations and write margin distribution according to the
when WWL is raised, A and B are pulled toward the level WBL sweep method [22]. Dynamic stability is shown in Fig. 5,
driven upon WBL. This virtual connection between A and B showing successful write 0 and write 1 operations applied to
creates inverters out of the transistor pairs of N1, P1 and two separate cells and simulated over 1000 Monte Carlo (MC)
N2, P2, driving Q B1 and Q B2 to the opposite level of WBL. samples on a postlayout netlist. The average duration of these
Accordingly, the written data level is driven back to Q through write operations is 8 ns for write 0 and 15 ns for write 1 with
the dual-driven feedback inverters, bringing the cell to a stable VDD = 500 mv. The write margin distribution of the cell at
state. this operating voltage is shown in Fig. 6 with a very robust
In order to demonstrate write stability of the proposed mean of 219 mV and a standard deviation of 12.4 mV.
bitcell, Fig. 4 shows the 3-D phase portraits of both types
of write operations (write 0 and write 1) with VDD = 500 mV.
These plots were assembled by initiating a write opera- E. Read and Half Select
tion under the assumption of any given initial state in the The proposed 13T bitcell features single-ended readout
(Q B1 , Q B2 , and Q) state space. Write stability is achieved through the read access transistor (N8). This device is
when only a single stable output state is possible for any controlled by a separate read wordline and connected to a
initial condition, or more clearly, when all vectors converge column-shared read bitline that is precharged prior to the
to the same point in the state space. This is the case for read operation and conditionally discharged, depending on
both operations—the write 1 operation [Fig. 4(a)] converges to the voltage stored at Q. Due to the dual-driven feedback that
(0, 0, and 0.5 V), and the write 0 operation [Fig. 4(b)] drives Q to its stable value, this read operation is both more
converges to (0.5, 0.5, and 0 V), as required. Other than robust and faster than the read operation of standard SRAM
the fact that this characteristic ensures a successful write bitcells. Read failures in 6T SRAM cells occur when the
operation, it is also important in case of a particle strike during access transistor is stronger than the pull-down transistor due
the write access, as the write will still succeed, even though to local variations. However, the proposed cell employs a pair
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

ATIAS et al.: LOW-VOLTAGE RADIATION-HARDENED 13T SRAM BITCELL 5

voltage. In this scenario, a 1 is written into a cell initially stor-


ing a 0, followed by a 1-pC particle strike that discharges Q to
a negative voltage. This upset is quickly mitigated, such that
the subsequent read operation outputs the correct data. A sim-
ilar sequence follows with a 0 written into the cell, followed
by a 0 to 1 upset at Q B1 and a subsequent read operation.
This strike is also mitigated, resulting in a correct readout.

IV. SEU T OLERANCE


A. Disrupt Modeling
Fig. 7. Half-select functionality for both, storing 1 (cell 1) and
storing 0 (cell 2), cases. The proposed 13T bitcell was designed for robust, upset
tolerant operation in a high-radiation environment, such as that
encountered by space applications. When a particle strike, the
of pull-down transistors (N3 and N4), which significantly characteristic of such an environment, passes through a semi-
decrease the probability of such a read failure, enabling robust conductor material, a disrupt occurs due to the drift current
operation at low voltages. In addition, these two devices also of the generated e–h pairs in a reverse-biased p-n junction.
provide a lower resistance pull-down path to achieve a faster If the particle hits an unbiased junction, the generated e–h
bitline discharge. The separated read and write ports also pairs will spontaneously recombine and not induce a current
provide two-ported functionality, often required in memory pulse due to the absence of an electric field. However, a strike
macros, such as those used for register files. on a reverse-biased junction causes a transient current [I (t)]
The majority of the previously proposed bitcells fea- at the connected node, characterized by a fast rise time and
turing a single-ended read, such as the standard two-port a gradual fall time. This current can be modeled according to
8T SRAM cell, suffer from susceptibility to half-select fail- the double-exponential model [23]
 t 
ures. Half-select situations occur during write operations when Q coll −
e t f − e− tr
t

only some of the bits that share the same wordline are to be I (t) = (1)
t f − tr
written. In a standard 6T SRAM cell that shares wordlines
where Q coll is the charge collected due to the particle strike,
and bitlines for both read and write operations, biasing the
tr is the rise time, and t f is the fall time. Q coll depends on
bitlines for a read operation ensures that the cell will not
the type of the ionizing particle, trajectory, energy value, and
be written to. However, as read margin is often the limiting
impact location. The technology-dependent rise and fall times
factor in supply voltage scaling, single-ended readout is often
were taken as 10 and 200 ps, respectively, for the considered
used as an alternative to the standard differential readout.
0.18-µm process [3]. The critical charge is calculated from
This either leaves the cell susceptible to half-select failures
the numerical integration of the injected current pulse that
or eliminates the option of partial row writes—a real problem
causes a bit flip. Section IV-B describes the describes the
if bit-interleaving is desired for minimizing the probability of
bitcell tolerance to a 500-fC disruption at each cell node for
multiple-bit failures.
relevant standby states.
In the case of the proposed cell, a half-select situation will
indeed occur during a partial row write. However, due to
the strong, dual-driven feedback mechanism and the indirect B. Disrupt Tolerance
write operation through the weak feedback nodes, the cell The multiple internal nodes of the proposed 13T circuit
provides robust half-select stability. During a bit-masked write and the possibility of strikes of both positive and negative
operation, the tristate WBL drivers of the nonselected cells polarities require an analysis of each type of strike to evaluate
are set to their high-impedance state, floating the bitlines. In a disrupt tolerance. As previously mentioned, a correct readout
worst case situation, during which the nonselected WBL is only requires the data to be stable at node Q, and therefore,
driven to the opposite level than that stored in the cell prior it is sufficient to consider the voltage at this node for such an
to the half-select cycle, the floating charge will be discharged evaluation. Fig. 9 shows the reaction of the storage node, Q,
through Q without causing a bit flip. This is shown in Fig. 7 to particle strikes at each of the internal nodes of the proposed
for 1000 MC samples at VDD = 500 mV. Fig. 7 shows two bitcell. A typical 500-fC strike with VDD = 500 mV is shown
cells in the same row, storing 1 and 0, respectively, under for every node, and where applicable, the reaction to both
worst case half-select situations. Each WBL was initialized at positive and negative charge strikes is shown. Note that there
the voltage opposite to that stored in its bitcell, and at the are no plots shown for a negative upset at node A or a positive
onset of a write operation (rising-edge of WWL), the WBLs upset at node B, as there is no reversed-biased p-n junction
were floated. In all cases, only a slight disrupt can be seen connected to these nodes in the respective standby states. The
on Q, and this is quickly suppressed as the bitline charge is insets of each subfigure show the results of 1000 MC samples
discharged through A and/or B to Q. for this type of particle strike, all resulting in a successful
To summarize and demonstrate the cell operation described recovery. The recovery mechanisms that successfully enable
in this section, representative write, read, and upset the cell to recover and retain its initial state for every possible
suppression events are shown in Fig. 8 with a 500-mV supply upset are described hereafter.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

6 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS

Fig. 8. Subsequent write-upset-read events, demonstrating quick cell recovery. The waveforms were plotted for a 500-mV supply voltage with the particle
energies of 1 pC.

1) 0 to 1 Upset at Q: Following a positive particle 3) 0 to 1 Upset at Q B1 : A positive strike at Q B1 in a hold 1


strike at node Q while holding 0 [Fig. 9(a)], both Q B1 state [Fig. 9(d)] will enable N3 to attempt to discharge Q.
and Q B2 remain high, quickly discharging the injected However, P4 will remain ON, as Q B2 is not affected, thereby
charge through N3 and N4. During this upset event, B starts limiting the temporary voltage drop at Q. The 0 level stored
to charge through N5, enabling N1 and N2 to discharge at Q B2 also keeps N5 cutoff N5, maintaining the level of B
Q B1 and Q B2 . However, since P5 remains in cutoff, A stays high. Therefore, N1 is able to discharge the current that was
low, causing P1 and P2 to successfully combat the discharge injected into Q B1 , as P1 is cutoff due to the high level stored
of Q B1 and Q B2 until the injected charge to Q has been on A.
discharged. 4) 1 to 0 Upset at Q B1 : A negative strike at Q B1 in a
2) 1 to 0 Upset at Q: Following a negative particle strike hold 0 state [Fig. 9(c)] will enable P3 to attempt to charge Q.
at node Q while holding 1 [Fig. 9(b)], both Q B1 and Q B2 Combating this operation is N4, limiting the temporary voltage
remain low, quickly replenishing the lost charge at Q through rise at Q, as Q B2 is not affected and retains its high state.
P3 and P4. During upset event, A starts to discharge through In addition, the 1 level stored at Q B2 cuts off P5, such that
P5, enabling P1 and P2 to charge Q B1 and Q B2 . However, the level of A remains low. Therefore, P1 is able to replenish
since N5 remains in cutoff, B stays high, causing N1 and N2 the lost charge at Q B1 , while N1 is cutoff due to the low level
to successfully combat the charge of Q B1 and Q B2 until Q stored at B.
has fully recovered. However, note that as shown in Fig. 8, 5) 0 to 1 Upset at Q B2 : A positive strike at Q B2 in a hold 1
a 1 to 0 upset at Q causes node B to switch from 1 to 0. state [Fig. 9(f)] will enable N4 to attempt to discharge Q.
This phenomenon occurs due to a higher than typical energetic Combating this operation is P3, limiting the temporary voltage
particle strike, which was intentionally used in this simulation fall on Q, as Q B1 is not affected. Since Q B2 switches its
to demonstrate the cell recovery capability. In cases of highly value to 1, it cuts off P5, maintaining the level of A high to
energetic particles, the double-exponential model of (1) can ensure that P1 and P2 remain close to keep Q B1 and Q B2
often cause the voltage to drop below 0. In this example, low. During this operation, B starts to discharge through N5,
the voltage of Q drops below 0, and therefore, even though closing N1 and N2. Since the two inverters are combating
the gate potential of N5 remains at 0, transistor N5 is now each other, B would not completely close N1 and N2, and
conducting, since the difference between the gate potential and this results a quickly discharge of Q B2 back to 0. Since Q B1
the drain terminal of this transistor (node Q) is greater than was always at 0, Q will charge back to 1.
the threshold voltage of N5. However, even in this worst case 6) 1 to 0 Upset at Q B2 : A negative strike at Q B2 in a
scenario of a drastic voltage drop in the storage node, Fig. 8 hold 0 state [Fig. 9(e)] will enable P4 to attempt to charge Q.
shows that the proposed cell is resilient and able to recover. Combating this operation is N3, limiting the temporary voltage
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

ATIAS et al.: LOW-VOLTAGE RADIATION-HARDENED 13T SRAM BITCELL 7

Fig. 9. Behavior of node Q under all possible SEUs with charge deposit of 500 fC and VDD = 500 mV. Insets: results of 1000 MC simulations.

rise on Q, as Q B1 is not affected. Since the value of Q B2 ensure that N1 and N2 remain close keeping the charge stored
changed to 0, A starts to charge to 1 through P5 closing at Q B1 to successfully combat the charge of Q by evacuating
P1 and P2. However, since N5 is in cutoff, B stays low, to the injected charge through N3.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

8 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS

TABLE I
Q crit OF B ITCELL N ODES

7) 1 to 0 Upset at A: Following a negative particle strike


at node A while holding 0 [Fig. 9(g)] will cut off P1 and P2.
However, both Q B1 and Q B2 remain high, and therefore,
P5 stays cutoff to prevent this upset from affecting Q. The
voltage at Q is only able to rise up to 70 mV and is quickly Fig. 10. Bitcell behavior under 1 to 0 SEUs at node Q with varying charge
deposits under (VDD = 500 mV).
discharge through N3 and N4. The cell data are always
available during this upset event. A would start to discharge
due to leakage currents through P5, reenabling P1 and P2 to
keep Q B1 and Q B2 charged. B is not affected during this
upset.
8) 0 to 1 Upset at B: Following a positive particle strike at
node B while holding 1 [Fig. 9(h)], N1 and N2 will both be
cutoff. However, both Q B1 and Q B2 remain low, and there-
fore, N5 stays cutoff, preventing this upset from affecting Q.
The voltage at Q drops to a lowest limit of 200 mV, and
its level is quickly replenished through P3 and P4. After this
upset event, B would start to charge due to leakage currents
through N5, reenabling N1 and N2 to keep Q B1 and Q B2
discharged. A is not affected during this upset.

C. Recovery Time and Critical Charge


Two important parameters to evaluate the tolerance of a
bitcell for particle strikes when operating in a high-radiation
environment are recovery time and critical charge. The recov-
ery time is the time it takes Q to return to its correct readable
data value following a particle strike, while critical charge, as
previously defined, is the minimum charge required to cause Fig. 11. Behavior of node Q under a particle strike on node Q B2 in 65-nm
a bit flip. Whereas a particle strike of a magnitude larger technology. Results of 1000 MC simulations.
than the critical charge is destructive and will lead to an
incorrectly stored data level, recovery time only characterizes a several particle energies, showing tolerance to charge deposits
temporal state, but can lead to an access failure if a subsequent as high as 1 pC under a 500-mV supply. This plot also shows
read is performed in close proximity to a disrupt. The joint that the recovery time increases with the particle energy. Fig. 8
consideration of both parameters is essential to ensure reliable also shows the reaction to a 1-pC positive strike—first at
functionality under SEUs. node Q, and subsequently at node Q B. This example clearly
The recovery time of the proposed bitcell was extracted shows the quick recovery of the cell, enabling a correct readout
from the simulations shown in Fig. 9 for each one of the during the following cycle. Note that the cell is not sensitive
possible node upsets. The resulting mean recovery time was to SEUs during a write operation, since at this time, the access
found to be approximately two orders-of-magnitude shorter transistors are conducting and the values at A and B are
than the previously proposed DICE solution under the same strongly held by the bit lines, as described in Section III.
supply voltage [13]. Similarly, Q crit was extracted for each The process technology node of the proposed cell was
node and disrupt polarity, as shown in Table I. Accordingly, chosen according to the specifications and requirements of
Q crit of the bitcell can be defined as 500 fC, the minimum the targeted space and military applications, which most
extracted value. In comparison with other bitcell solutions, often require very mature technologies. However, the proposed
designed in the same 0.18-µm CMOS process, Q crit of the bitcell architecture is fully compatible with technology scaling,
proposed circuit is two orders-of-magnitude larger than other as shown in Fig. 11 for a 65-nm CMOS technology. Fig. 11
SRAM solutions [24]–[27], and is at the same order-of- shows the recovery of node Q in the worst case scenarios
magnitude as the DICE solution operated at a 500-mV supply of a particle strike on node Q B2 . The robustness of the cell
voltage. under process variations, demonstrated through statistical MC
To demonstrate the reaction to various induced charge simulations, suggests that its radiation hardening capabilities
magnitudes, Fig. 10 shows positive strikes at node Q with are maintained under technology scaling.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

ATIAS et al.: LOW-VOLTAGE RADIATION-HARDENED 13T SRAM BITCELL 9

per cell, placing all pMOS devices in a single row and sharing
diffusion through abutment, wherever possible. However, node
positioning had to be considered, as well, in order to reduce
the probability of multiple-node disrupts.
A single high-energy particle strike can disrupt several
nodes simultaneously, especially if the proximity between the
nodes is small. However, as previously explained, a transient
current pulse will only occur if the particle hits a reverse-
biased p-n junction. Therefore, by separating nodes that may
be simultaneously reverse-biased, the probability of a bitcell
failure can be significantly reduced. The layout of the proposed
bitcell was designed, such that every pair of these sensitive
nodes is separated either by distance or by placing an unbiased
junction in between them. For example, in a logic 1 state,
the two sensitive nodes, Q B1 and Q B2 , in the pMOS row at
the top of the unit cell are equal to 0; hence, both nodes are
reverse-biased. Therefore, an unbiased junction was positioned
between them for protection. If a particle hits the Q B1 pMOS
junction (top left in Fig. 12), the unbiased diffusions of transis-
tors P3 and P4, connected to VDD and to Q, respectively, will
block this upset from reaching the second sensitive reverse-
biased node, Q B2 . The same separation was also implemented
for the sensitive nodes in the nMOS row at the bottom of the
cell, since at any given time, only one of the storage nodes,
Q or Q B1 /Q B2 , will be reverse-biased. As such, for any hold
state of the cell, a sensitive node is protected by an unsensitive
Fig. 12. Bitcell layout. node. In addition, nodes A and B are separated by distance
from the storage nodes.
While the cell functionality and radiation hardening
V. L AYOUT C ONSIDERATIONS described in Section IV can be achieved with minimum-sized
Standard SRAM bitcells, intended for high-density integra- transistors, the layout considerations and design, described
tion, give the highest priority to minimum area layout, often above, leave room for slight increases in transistor widths
trading off size for stability and performance. However, when without resulting in an overall increase in a cell area. This
designing a cell for high-radiation environments, silicon area feature was exploited to reduce the cell recovery time by
often takes a step back in favor of stability and soft-error upsizing transistors P3 and N2, as shown in Fig. 12.
suppression. Accordingly, one of the most simple and efficient
solutions that has been proposed to mitigate SEUs is the TMR
VI. T EST C HIP I MPLEMENTATION AND M EASUREMENTS
solution, which utilizes three identical memory arrays and a
voting circuit to decide on the correct readout. This solution A 32 × 32 bit (1 kb) memory macro based on the proposed
clearly comes at a high area penalty—at least tripling the size cell was designed and integrated into a 0.18-µm test chip.
of a standard SRAM block. Ultimately, such a heavy area All devices were implemented with standard VT transistors to
overhead limits the memory integration density. Therefore, in provide complete logic process compatibility. The test chip
the design of the proposed circuit, one of the goals was to was designed to enable three primary test modes: 1) full,
provide a significant area benefit, as compared with a similar at speed testing using an integrated built-in-self-test (BIST);
sized TMR block. 2) complete array control through a serial scan chain config-
The proposed layout for the 13T bitcell is shown in Fig. 12, uration; and 3) single-cycle external direct access to a portion
presenting a unit size of 7.5 µm × 4 µm, which is approxi- of the array. The test chip also included a 2-kb compiled
mately 2× larger than a standard 6T SRAM cell in the same SRAM for data comparison and several other test components.
technology process (0.18 µm) designed with standard rules. The BIST incorporates a finite-state machine for rigorous,
Note that the majority of industry standard SRAM macros are at-speed testing, utilizing an on-chip SRAM for comparison
based on pushed-rule bitcells, which provide a significantly of the data written to the custom array with the subsequent
reduced cell area. However, this also results in increased readout values. These three test configurations were used to
sensitivity to soft errors, including the MNU rate of the cell, test the functionality of the array and provide the presented
making a pushed-rule bitcell a very bad candidate for radiation measurement data.
hardening. Therefore, the vast majority of radiation-hardened A micrograph of the bonded test chip is shown in Fig. 13
circuits are designed with standard rules. along with the layout of the entire chip and an enlarged image
The reduced size of the proposed cell in comparison with the of the 13T array layout. In order to test the cell’s functionality
TMR solution was achieved by implementing a single n-well under scaled voltage supplies, the radiation-hardened SRAM
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

10 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS

Fig. 13. Full chip layout and the 13T cell memory array. Fig. 15. Measured frequency versus VDD .

Fig. 14. Combined shmoo plot of the minimal memory supply voltage as a Fig. 16. Measured hold leakage power versus M VDD .
function of the maximal operating frequency, for 12 measured chips.

3× higher power than the proposed bitcell across the entire


array was biased by a separate, low-voltage supply, (M VDD ), operating range. This is without taking into consideration the
keeping the digital voltage supply (VDD) at a nominal level power of the specialized peripheral circuits that the TMR
to ensure proper functionality of the BIST, compiled SRAM, solution would require in order to continue to function at such
and other digital peripheral circuits of the test chip. In order to a low operating voltage.
test the impact of process variations, 12 dies were measured,
all of which were operated successfully over the full range of VII. C ONCLUSION
supply voltages, from 300 mV to 1.8 V. This paper proposed a 13T SRAM bitcell, designed for
Fig. 14 shows the maximum measured frequency of the robust, low-voltage, ULP operation in high-radiation envi-
test chips across the range of supply voltages. This result ronments, such as those encountered by space applications.
is emphasized in Fig. 15, showing the average measured The proposed circuit displays a novel dual-driven separated-
frequency for each supply voltage in black. All measurements feedback mechanism to achieve high soft-error tolerance, for
were taken at room temperature. As expected, the performance robust operation down to 300 mV. Particle strike suppression
exponentially degrades as the voltage is scaled. However, according to the double-exponential model was tested across
the array maintains functionality for write and read at statistical MC simulations on a postlayout netlist for every
VDD = 300 mV for all packaged test chips. The measurement type of disrupt event, showing tolerance to upsets with the
of maximum frequency was limited by the test setup and magnitudes of up to 500 fC at a scaled, 500-mV operating
periphery, which was designed for low-frequency ULP voltage. Layout techniques were implemented in order to
applications and not optimized for high-speed measurements. decrease SEU probability, while maintaining a bitcell area
In addition to the area savings of the proposed topology, much smaller than alternative solutions, such as the previously
as compared with an alternative TMR solution, a significant proposed TMR, resulting in a unit cell area only 2× larger
improvement is also achieved in static power consumption. than a standard 6T bitcell in the same process. A 1-kb test chip
Fig. 16 shows the average leakage power per bit for each was designed, fabricated, and tested, showing full functionality
of the packaged test chips with the average consumption over a large range of operating voltages, providing average
emphasized in black. For comparison, the leakage of a TMR leakage power consumption of less than 5 pW per bit at
bit is displayed as a dashed line, consuming approximately 300 mV—over 3× lower than alternative proposals.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

ATIAS et al.: LOW-VOLTAGE RADIATION-HARDENED 13T SRAM BITCELL 11

R EFERENCES [25] J. S. Shah, D. Nairn, and M. Sachdev, “A soft error robust 32 kb


SRAM macro featuring access transistor-less 8T cell in 65-nm,” in
[1] A. Wang, B. H. Calhoun, and A. P. Chandrakasan, Sub-Threshold Proc. IEEE/IFIP Int. Conf. VLSI Syst.-Chip (VLSI-SoC), Oct. 2012,
Design for Ultra Low-Power Systems (Series on Integrated Circuits and pp. 275–278.
Systems). Secaucus, NJ, USA: Springer-Verlag, 2006. [26] Y. Shiyanovskii, F. Wolff, and C. Papachristou, “SRAM cell design using
tri-state devices for SEU protection,” in Proc. IEEE Int. On-Line Test.
[2] S. Fisher, A. Teman, D. Vaysman, A. Gertsman, O. Yadid-Pecht, and
Symp. (IOLTS), Jun. 2009, pp. 114–119.
A. Fish, “Digital subthreshold logic design—Motivation and challenges,”
[27] N. Axelos, K. Pekmestzi, and N. Moschopoulos, “A new low-power
in Proc. IEEE Conv. Elect. Electron. Eng. Israel (IEEEI), Dec. 2008,
soft-error tolerant SRAM cell,” in Proc. IEEE Comput. Soc. Annu. Symp.
pp. 702–706.
VLSI (ISVLSI), Jul. 2010, pp. 399–404.
[3] T. Heijmen, D. Giot, and P. Roche, “Factors that impact the criti-
cal charge of memory elements,” in Proc. IEEE Int. On-Line Test.
Symp. (IOLTS), Jul. 2006, pp. 1–6. Lior Atias received the B.Sc. (magna cum laude)
[4] R. C. Baumann, “Radiation-induced soft errors in advanced semicon- degree in electrical engineering from Ben-Gurion
ductor technologies,” IEEE Trans. Device Mater. Rel., vol. 5, no. 3, University, Be’er Sheva, Israel, in 2013, and the
pp. 305–316, Sep. 2005. M.Sc. degree from Ben-Gurion University, in 2014,
[5] T. Karnik and P. Hazucha, “Characterization of soft errors caused by as part of a fast-track program for outstanding stu-
single event upsets in CMOS processes,” IEEE Trans. Dependable dents.
Secure Comput., vol. 1, no. 2, pp. 128–143, Apr./Jun. 2004. He is currently a Design and Verification Engi-
[6] P. E. Dodd and L. W. Massengill, “Basic mechanisms and modeling of neer with Mellanox Technologies, Tel Aviv, Israel.
single-event upset in digital microelectronics,” IEEE Trans. Nucl. Sci., His current research interests include digital radia-
vol. 50, no. 3, pp. 583–602, Jun. 2003. tion hardened circuits design for low-power space
[7] P. E. Dodd and F. W. Sexton, “Critical charge concepts for CMOS applications, low-voltage embedded memories, and
SRAMs,” IEEE Trans. Nucl. Sci., vol. 42, no. 6, pp. 1764–1771, SRAM design with an emphasis on improved stability.
Dec. 1995.
[8] C. Detcheverry et al., “SEU critical charge and sensitive area in a
submicron CMOS technology,” IEEE Trans. Nucl. Sci., vol. 44, no. 6, Adam Teman received the B.Sc. degree in electrical
pp. 2266–2273, Dec. 1997. engineering and the M.Sc. degree from Ben-Gurion
[9] J. L. Barth, C. S. Dyer, and E. G. Stassinopoulos, “Space, atmospheric, University (BGU), Be’er Sheva, Israel, in 2006 and
and terrestrial radiation environments,” IEEE Trans. Nucl. Sci., vol. 50, 2011, respectively, and the Ph.D. degree from the
no. 3, pp. 466–482, Jun. 2003. VLSI Systems Center, BGU, in 2014, under Prof.
[10] M. A. Bajura et al., “Models and algorithmic limits for an ECC-based A. Fish, as a part of the Low Power Circuits and
approach to hardening sub-100-nm SRAMs,” IEEE Trans. Nucl. Sci., Systems Laboratory.
vol. 54, no. 4, pp. 935–945, Aug. 2007. He was a Design Engineer with Marvell Semicon-
[11] L. Sterpone and M. Violante, “Analysis of the robustness of the TMR ductors, Petah Tikva, Israel, from 2006 to 2007, with
architecture in SRAM-based FPGAs,” IEEE Trans. Nucl. Sci., vol. 52, an emphasis on physical implementation. From 2014
no. 5, pp. 1545–1549, Oct. 2005. to 2015, he was a Post-Doctoral Researcher with
[12] E. H. Cannon, D. D. Reinhardt, M. S. Gordon, and P. S. Makowenskyj, the Telecommunications Circuits Laboratory, École Polytechnique Fédérale
“SRAM SER in 90, 130 and 180 nm bulk and SOI technologies,” in de Lausanne, Lausanne, Switzerland, under a Swiss Government Excellence
Proc. IEEE Int. Rel. Phys. Symp., Apr. 2004, pp. 300–304. Scholarship. In 2015, he joined the Faculty of Engineering, Bar-Ilan Uni-
[13] T. Calin, M. Nicolaidis, and R. Velazco, “Upset hardened memory design versity, Ramat Gan, Israel, in 2015, as a Tenure Track Researcher with the
for submicron CMOS technology,” IEEE Trans. Nucl. Sci., vol. 43, no. 6, Department of Electrical Engineering and as a Partner with the Emerging
pp. 2874–2878, Dec. 1996. Nanoscaled Integrated Circuits and Systems Laboratories Research Center.
[14] ITRS. (2013). International Technology Roadmap for Semiconductors— His current research interests include low-voltage digital design, energy-
2013 Edition. [Online]. Available: http://www.itrs.net efficient SRAM, NVM, and eDRAM memory arrays, low-power CMOS image
sensors and low-power design techniques for digital and analog VLSI chips,
[15] B. H. Calhoun and A. P. Chandrakasan, “A 256-kb 65-nm sub-threshold
energy-efficient digital system implementation, approximate computing, and
SRAM design for ultra-low-voltage operation,” IEEE J. Solid-State
significance driven computing for reliability and power optimization. He has
Circuits, vol. 42, no. 3, pp. 680–688, Mar. 2007.
authored over 40 scientific papers and three patent applications, and has
[16] B. H. Calhoun, A. Wang, and A. Chandrakasan, “Modeling and presented excerpts from his research at a number of international conferences.
sizing for minimum energy operation in subthreshold circuits,” IEEE Dr. Teman was honored with the Electrical Engineering Department’s
J. Solid-State Circuits, vol. 40, no. 9, pp. 1778–1786, Sep. 2005.
Teaching Excellence recognition at Ben-Gurion University in 2010-2012,
[17] E. Seevinck, F. J. List, and J. Lohstroh, “Static-noise margin analysis and in 2011, he received the Outstanding Project Award at BGU. He
of MOS SRAM cells,” IEEE J. Solid-State Circuits, vol. 22, no. 5, received the Yizhak Ben-Ya’akov HaCohen Prize in 2010, the BGU Rector’s
pp. 748–754, Oct. 1987. Prize for Outstanding Academic Achievement in 2012, the Wolf Foundation
[18] A. Teman, L. Pergament, O. Cohen, and A. Fish, “A 250 mV 8 kb Scholarship for Excellence of 2012, and the Intel Prize for Ph.D. Students
40 nm ultra-low power 9T supply feedback SRAM (SF-SRAM),” IEEE in 2013. His doctoral studies were conducted under a Kreitman Foundation
J. Solid-State Circuits, vol. 46, no. 11, pp. 2713–2726, Nov. 2011. Fellowship. He is also an Associate Editor of the Microelectronics Journal
[19] J. Mezhibovsky, A. Teman, and A. Fish, “Low voltage SRAMs and and a member of the technical and review boards of several conferences and
the scalability of the 9T supply feedback SRAM,” in Proc. IEEE Int. journals.
Syst.-Chip Conf. (SOCC), Sep. 2011, pp. 136–141.
[20] N. Verma and A. P. Chandrakasan, “A 256 kb 65 nm 8T subthreshold
SRAM employing sense-amplifier redundancy,” IEEE J. Solid-State Robert Giterman received the B.Sc. degree in
Circuits, vol. 43, no. 1, pp. 141–149, Jan. 2008. electrical engineering from Ben-Gurion University,
[21] I. J. Chang, J.-J. Kim, S. P. Park, and K. Roy, “A 32 kb 10T Be’er Sheva, Israel, in 2013, and the M.Sc. degree
sub-threshold SRAM array with bit-interleaving and differential read from Ben-Gurion University, in 2014, as a part
scheme in 90 nm CMOS,” IEEE J. Solid-State Circuits, vol. 44, no. 2, of a fast-track program for outstanding students.
pp. 650–658, Feb. 2009. He is currently pursuing the Ph.D. degree, under
[22] J. Wang, S. Nalam, and B. H. Calhoun, “Analyzing static and dynamic Prof. A. Fish, as part of the Emerging Nanoscaled
write margin for nanometer SRAMs,” in Proc. ACM/IEEE Int. Symp. Intergrated Circuits and Systems Laboratory at Bar-
Low Power Electron. Design (ISLPED), Aug. 2008, pp. 129–134. Ilan University, Ramat Gan, Israel.
[23] G. R. Srinivasan, P. C. Murley, and H. K. Tang, “Accurate, predictive His current research interests include embedded
modeling of soft error rate due to cosmic rays and chip alpha radiation,” DRAM design and optimization for low-power and
in Proc. IEEE Int. Rel. Phys. Symp., Apr. 1994, pp. 12–16. high-performance operation, SRAM design with an emphasis on improved
[24] S. M. Jahinuzzaman, D. J. Rennie, and M. Sachdev, “A soft error tolerant stability, error-correction and fault-tolerant circuits, and development of mul-
10T SRAM bit-cell with differential read capability,” IEEE Trans. Nucl. tilevel embedded memories for use in low-power applications and high-end
Sci., vol. 56, no. 6, pp. 3768–3773, Dec. 2009. processors.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

12 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS

Pascal Meinerzhagen received the B.Sc., M.Sc., Alexander Fish received the B.Sc. degree in elec-
and Ph.D. degrees from the École Polytechnique trical engineering from Technion–Israel Institute of
Fédérale de Lausanne (EPFL), Lausanne, Switzer- Technology, Haifa, Israel, in 1999, and the M.Sc. and
land, in 2014, 2008, and 2006, respectively, all in Ph.D. (summa cum laude) degrees from Ben-Gurion
electrical engineering, and the joint M.Sc. degree in University (BGU), Be’er Sheva, Israel, in 2002 and
micro and nanotechnologies for integrated systems 2006, respectively.
from Grenoble INP, Grenoble, France, Politecnico di He was a Post-Doctoral Fellow with the ATIPS
Torino, Turin, Italy, and EPFL, in 2008. Laboratory, University of Calgary, Calgary, AB,
He was a Post-Doctoral Fellow and a Lecturer Canada, from 2006 to 2008. In 2008, he again
with Bar-Ilan University, Ramat Gan, Israel, in 2014, joined BGU, as a Faculty Member with the Electrical
where he established the Advanced Digital VLSI and Computer Engineering Department, where he
Design course. He is currently a Senior Research Scientist with Intel Laborato- founded the Low Power Circuits and Systems Laboratory, specializing in low-
ries, Intel Corporation, Hillsboro, OR, USA, and a Visiting Lecturer with Bar- power circuits and systems. In 2011, he was appointed as a Head of the VLSI
Ilan University. His current research interests are broad, ranging from energy- Systems Center at BGU. In 2012, he joined Faculty of Engineering, Bar-Ilan
efficient and error-resilient circuits and systems in high-performance FinFET University, as an Associate Professor, and the Head of the Nanoelectronics
CMOS technologies, to power delivery and power management techniques, to Track. He also leads new Emerging Nanoscaled Integrated Circuits and
conventional and emerging memory circuits, to ultralow power VLSI. He has Systems Laboratories. His current research interests include development of
authored or co-authored two invited book chapters, 27 peer-reviewed journal secured hardware, ultralow-power embedded memory arrays, CMOS image
articles, and international conference papers, and holds four pending patents. sensors, and high-speed and energy-efficient design techniques. He has
Dr. Meinerzhagen received an Intel Ph.D. Fellowship and two best paper authored over 100 scientific papers in journals and conferences, including
nominations. He is a Reviewer of 16 international journals and conferences, the IEEE J OURNAL OF S OLID S TATE C IRCUITS , the IEEE T RANSACTIONS
including the IEEE T RANSACTIONS ON C IRCUITS AND S YSTEMS —PART ON E LECTRON D EVICES , the IEEE T RANSACTIONS ON C IRCUITS AND
I, the IEEE T RANSACTIONS ON C IRCUITS AND S YSTEMS —PART II, the S YSTEMS , and many others. He also submitted 22 patent applications. He
IEEE J OURNAL ON E MERGING AND S ELECTED T OPICS IN C IRCUITS AND has authored two book chapters.
S YSTEMS , and the IEEE Symposia on VLSI Technology and Circuits. Prof. Fish is a member of Sensory, VLSI Systems and Applications, and
Bio-medical Systems Technical Committees of the IEEE Circuits and Systems
Society. He was a co-author of papers that won the best paper finalist awards
at the IEEE ISCAS and ICECS conferences. He serves as the Editor in Chief
for the MDPI Journal of Low Power Electronics and Applications and an
Associate Editor of the IEEE S ENSORS , IEEE A CCESS , Microelectronics
and Integration (Elseiver), and VLSI Journals. He also served as a Chair
of different tracks of various IEEE conferences. He was a Co-Organizer of
many special sessions at the IEEE conferences, including IEEE ISCAS, IEEE
S ENSORS , and IEEE conferences.

You might also like