Professional Documents
Culture Documents
Electronics For You Plus - July 2015 IN PDF
Electronics For You Plus - July 2015 IN PDF
20 Futuristic
chennai : Ph: 044-42994363
Do-IT-Yourself
E-mail: efyenq@efy.in
Autonomic Computing hyderabad :
Ph: 040-67172633
E-mail: efyenq@efy.in
Without Human Intervention
Kolkata : Ph: 033-22294788
42
Gujarat: : Ph: 079-61344948
Space E-mail: efyahd@efy.in
46
• Android Application for an RC Charging
Chips
JAPAN :
Tandem Inc., Ph: 81-3-3541-4166
and Discharging Circuit......................................105 E-mail: tandem@efy.in
Photonic ICs Now Compete • Electronic Door Lock Using Arduino..................108 singapore : Publicitas Singapore Pte Ltd
Ph: +65-6836 2272
With Electronic ICs • See and Speak Using Raspberry Pi.................. 110 E-mail: publicitas@efy.in
50
• Daytime Running Lights Controller.................... 112
Design • AC/DC Signal Mixer, Follower, Buffer and
taiwan : J.K. Media, Ph: 886-2-87726780 ext. 10
E-mail: jkmedia@efy.in
Circuit Protection: Too Important Inverter with 10 Inputs........................................ 114 United States : E & Tech Media
to be an Afterthought Ph: +1 860 536 6677
• Low-Frequency Electronic Muscle Stimulator.... 116 E-mail: veroniquelamarque@gmail.com
56
• Over-Heat Detector............................................ 118
Test & Measurement • Verilator.............................................................. 119
SUBSCRIPTION RATES
Period Newstand Price You Pay Digital Overseas
Test and Measurement Tools Year (`) (`) (`) Digital Print
for LED Lighting Two 2400 1800 750 US$ 15 —
EFY Plus DVD One 1200 960 280 US$ 5 US$ 120
62 Design
Basic User Interface Design
TarsosDSP: A Real-Time Audio Analysis
and Processing Framework
Ngspice: Spice Circuit Simulator IV
I
Please send payments only in favour of EFY Enterprises Pvt Ltd
Valid till August 31, 2015, only
for Electronics Engineers CImg: A Powerful C++ Library for Image Processing VII Printed, published and owned by Ramesh Chopra. Printed at Nutech
Photolithographers, B-38, Okhla Industrial Area, Phase-1, New Delhi, on the first day
of each month and published from D-87/1, Okhla Industrial Area, Phase-1, New Delhi
76 Innovation
STAR: A Multi-Purpose Wearable
84 EFY Plus DVD
This Month’s DVD Contents
110020. Copyright 2015. All rights reserved throughout the world. Reproduction of
any material from this magazine in any manner without the written permission of
the publisher is prohibited. Although every effort is made to ensure accuracy, no
responsibility whatsoever is taken for any loss due to publishing errors. Articles that
cannot be used are returned to the authors if accompanied by a self-addressed and
Gadget from India
88 Viewpoint sufficiently stamped envelope. But no responsibility is taken for any loss or delay in
returning the material. EFY will not be responsible for any wrong claims made by an
80 Interview
“Manage those fans in a better
Product Quality Perceptions
of Chinese SMEs and the Best Option
advertiser. Disputes, if any, will be settled in a New Delhi court only.
way and easily cut down power to Buy Quality Products from China
Regulars
92
consumption” — Vivek Sharma,
regional vice president, Greater China Make in India 06 Feedback
and South Asia region - India operations, Market Survey: Safer, Smarter, 08 Q&A
director - India design centres, Greener Mobility Fuelling Automotive 10 Useful Websites
STMicroelectronics Electronics in India 14 Tech News
125
“Processors will have more Five Tablets Under ` 25,000 123 First Look
processing power than the human 128 Business Pages Ads
brain” — Rahman Jamal, global
126
141 Electronics Mart Ads
technology and marketing director, Buyers’ Guide: Why Buy
National Instruments Bluetooth Speakers 146 Product Categories Index +
Attractions During 2015
• Smarthomes • PCB Industry in India: • Microcontroller Based
next issue Suppliers & Manufacturers Development Boards 147 Advertisers’ Index
Q1.Ihow
have two questions. First,
does a processor or
mechanism has to compute the ad-
dress for one slot out of 1536 slots.
(CDMA) and global system for
mobiles (GSM) are two major radio
controller access data from memory? Twelve bits will be needed for systems used in mobile phones. These
Second, what is Raspi? this; four bits from the bank selecting incorporate multiple-access technolo-
Megha Wali resistor (BSR) for selecting the bank. gies, multiple phone calls or Internet
Through email Once we are in that bank, further connections into one radio channel.
Automotive Electronics
With the growth of technology, electronics has become a major game changer in the
automotive industry. Here are a few websites that could help you understand more
niraj sahay
electro-tech-online.com
Electro Tech is an online community with over 100,000 members, who enjoy talking about and
building electronics circuits, projects and gadgets. The forum has a dedicated section for discussion
on automotive electronics. In order to participate, you need to register, and registration is free.
www.electro-tech-online.com/forums/automotive-electronics
cvel.clemson.edu
Clemson Vehicular Electronics Laboratory (CVEL) conducts targeted research related to
automotive and aerospace vehicle electronics including electronics components, circuits, sensors,
communication and power distribution with emphasis on systems integration, electromagnetic
compatibility and modelling. The website is a rich resource for learning these topics. It has details of
electronics systems for automobiles.
www.cvel.clemson.edu/auto/index.html
openautoalliance.net
Open Automotive Alliance (OAA) is a group of technology and automotive companies that have come
together to bring the best of Android into the automobile world in a safe seamless way. Members
of OAA share a vision for the connected car and are committed to collaborating around a common
platform to make this vision a reality. The website is a place to know who, why and what about OAA.
www.openautoalliance.net
infineon.com
Infineon is the world’s second-largest chip supplier to the automotive industry. They
manufacture innovative semiconductor products covering the complete control loop,
contributing to a more sustainable mobility in terms of reduced fuel consumption/emission,
improved safety and affordability. The website works as a learning centre for available
electronics products for the automotive industry. It has e-learning and Videos sections that can
be of interest to people interested in automotive electronics.
www.infineon.com/cms/en/product/applications/automotive/download-eLearning.html
bosch-mobility-solutions.com
Bosch Group is a global supplier of technology and services. The group’s strategic objective is to
create solutions for a connected life. Bosch improves the quality of life worldwide with products and
services that are innovative and spark enthusiasm. The website is a good place to learn more about
the latest in automobile and electronics technology.
www.bosch-mobility-solutions.com/en/de/index.html
10 July 2015 | Electronics For You www.efymag.com
Tech News
discusses how a Wi-Fi router can be used to provide far- where ultra-fast nano-scale memories were developed
field wireless power for gadgets. In their first prototype, using a functional oxide material in the form of an ultra-
for the first time in the world, they have demonstrated thin film, which is 10,000 times thinner than a human hair.
how Wi-Fi chipsets can power camera sensors or li-ion
coin-cell batteries from more than 6m (20-feet) away. Google, Levi Strauss to launch smartclothes
Wi-Fi receivers had so far been used to capture informa-
tion from Wi-Fi radio broadcasts. The research team has
sought a way to harvest energy from these broadcasts.
G oogle is all set to tieup with popular jean maker Levi
Strauss to launch smartclothes using particular woven
fabric with touchscreen-control capabilities. Named Project
The engineers connected an antenna to a temperature Jacquard, the plan would be implemented by a small team
sensor and put it near a Wi-Fi router so that voltages at Google called Advanced Technology and Projects (ATAP).
in the device could be measured to determine the time The project is named Jacquard after a Frenchman who
for which the device could operate on a remote power invented a type of loom. While the clothes are expected
source. To make things work, they programmed these to be stretchable and washable like normal fabric, these
devices for broadcasting continuous power to an energy- would also be able to connect with devices. Special
harvesting sensor. The results showed that a temperature threads would be woven into a wide array of fabrics.
sensor could operate at a distance of up to six metres. However, conductivity will be limited to desired parts of
the fabric or spread across entire cloth.
Pocket-size drone that can fold up Google has said that, with the use of standard,
Autonomic Computing
Without Human Intervention
I
n August 2013, computer scientists operate together is leading to the scarcity of
from Japan and Germany managed to skilled IT manpower to manage all systems.
simulate one per cent of human brain The smartphone has become an integral
activity for a single second. To achieve part of our life today as it often remains
this apparently simple task, they had to connected with our desktop, laptop and
Deepak Halan is currently deploy as many as 82,000 processors. tablet. This concurrent burst of data and
associate professor at These processors were part of Japan’s information and, further, its integration
School of Management
Sciences, Apeejay Stya K computer, the fourth most powerful into everyday life is leading to new require-
University supercomputer on Earth. The computer ments in terms of how employees manage
scientists simulated 1.73 billion virtual and maintain IT systems.
nerve cells and 10.4 trillion synapses, each As we know, demand is currently
of which contained 24 bytes of memory. exceeding supply of expertise capable of
The entire simulation consumed 40 min- managing multi-faceted and sophisticated
utes of real, biological time to produce computer systems. Moreover, this issue is
one virtual second. only growing with the passage of time and
This shows the complexity and prow- our increasing reliance on IT.
ess of the human brain. It is extremely The answer to this problem is autonom-
difficult to recreate human brain perfor- ic computing, that is, computing operations
mance using computers, since the brain that can run without the need for human
consists of a mindboggling 200 billion intervention.
neurons that are interlinked The concept of autonomic computing
Central
nervous by trillions of connections is quite similar to the way the autonomic
system called synapses. As the tiny nervous system (ANS) (Fig. 1) regulates
Brain electrical impulses shoot and protects the human body. The ANS in
Spinal across each neuron, these our body is part of a control system that
cord
have to travel through these manages our internal organs and their
Peripheral synapses, each of which con- functions such as heart rate, digestion,
nervous
system tains approximately a thou- respiratory rate and pupillary dilation,
Peripheral sand different switches that among others, mostly below the level of
nerve
direct an electrical impulse. our consciousness. The autonomy controls
Human beings have man- and sends indirect messages to organs at
aged to automate increasingly a sub-conscious level via motor neurons.
complex tasks. However, per- In a similar manner, autonomous IT
Fig. 1: The autonomic nervous system has two
divisions: sympathetic and para-sympathetic
haps, we have only seen the systems are based on intelligent compo-
(Image courtesy: blogs.scientificamerican.com) tip of the iceberg. While a nents and objects that can self-govern in
large number of enterprises rapidly varying and diverse environments.
Autonomic system use information technology Autonomous computing is the study of
IN1 Purpose Know-how OUT1 (IT) by way of thousands of theory and infrastructures that can be used
... ...
INn Logic diverse gadgets and devices, to build autonomous systems.
OUTn
in majority of cases, it is In order to develop autonomous sys-
...
human beings who operate tems, we need to conduct interdisciplinary
S1 2S Sn these devices, such as smart- research across subjects such as artificial
Sensors intelligence (AI), distributed systems, par-
phones, laptops and scanners.
Fig. 2: A fundamental building block of an The intricacy of these systems allel processing, software engineering and
autonomic system is its sensing capability
(Image courtesy: http://en.wikipedia.org) and the way these link and user interface (UI).
Even though AI is a very impor- platform-agnostic manner. And much The system configuration, or set-up,
tant aspect for autonomic computing like the human body, this system is and the dynamic adjustments to the
to work, we do not really need to expected to carry out its functions configuration, in order to manage
simulate conscious human thoughts and adapt to its user’s requirements dynamic environments, must occur
as such. The whole emphasis, today, without the need of the user to go into automatically.
is on developing computers that can minute details of its functioning. Self optimising. Interestingly, an
be operated intuitively with minimum autonomic computing system is never
human involvement. This demands Self-management in satisfied with the status quo and is
a system that can crunch data in a autonomic computing forever looking for ways to optimise its
The very core of auto- working. It monitors its constituent el-
nomic computing sys- ements and makes adjustments to the
tems is self-management, workflow to achieve predetermined
which aims to provide system goals.
freedom from tasks of Self healing. An autonomic com-
system operation and puting system must act like the hu-
maintenance, and to man body in terms of healing itself.
make available a de- It should be able to bounce back
vice that works at peak from everyday, as well as unforeseen,
performance 24 hours problems that might cause some of its
a day. The day-to-day parts to fail.
working is maintained in It should be able to find out exist-
Fig. 3: Arnold Schwarzenegger, in the Terminator franchise, which a dynamic environment ing or potential problems and then
first came out in the 1980s, has an expert self-healing computer
system at its core (Image courtesy: www.hollywood.com/news/movies) of rapidly and constant- seek out an alternative way of using
ly-changing workloads, resources or reconfiguring the system
user requirements and to keep functioning efficiently.
virus attacks, and so on. Self protecting. The virtual world
The system can also faces as many threats as those faced by
repeatedly keep an eye a physical world. Hence, an autonomic
on its own functioning, computing system should be very well-
for example, let us say, versed in the art of self protection. It
a particular component should be capable of detecting, iden-
needs to be checked for tifying and guarding itself against dif-
upgradation. If an error ferent types of attacks to preserve the
is detected, the system overall system security and integrity.
automatically goes back
to the last error-free ver- The self-learning aspect
sion, while its problem- Like human beings, computers are
Fig. 4: A biologically-inspired processor attached to
a robotic arm in a laboratory at Stanford University, the USA determination algorithms slowly evolving into devices that learn
(Image courtesy: www.nytimes.com) work towards identifying from their own mistakes. The concept
and removing the source is based on the human nervous sys-
of the error. tem, particularly the way our neurons
The IBM autonomic act in response to stimuli and link up
computing team has with other neurons to construe infor-
broken the self-man- mation. This phenomenon enables
agement aspect further computers to digest new information
into four dimensions, while executing a job and then make
namely, self healing, self changes based on varying inputs.
optimising, self protect- In the near future, a new genera-
ing and self controlling. tion of AI systems is expected to per-
Self controlling. An form tasks such as speaking and lis-
autonomic computing tening, among others, which humans
system should be able to can easily do.
configure and reconfig- There is a gradual shift from en-
Fig. 5: A computer-simulated protein-folding image ure itself under diverse gineering computing systems to one
(Image courtesy: www.isgtw.org/feature/) and volatile conditions. that has several characteristics of
Future scope
Perhaps, we have only discovered the tip of the autonomic
computing iceberg and are oblivious of the many technical
roadblocks that will come in the way. Autonomic comput-
ing is at an embryonic stage and there are several critical
challenges to be overcome.
Some questions that need to be answered are:
How will we design our systems to define and redefine
themselves in dynamic environments? (A system should
know its periphery limits before it transacts with other
systems.)
How will we build reliable interfaces and points-of-
control while permitting a heterogeneous environment?
(Multi-platforms create a multi-faceted situation for system
administrators.)
How will we develop human interfaces that eliminate
complexity and enable users to interact naturally with IT sys-
tems? (The final result needs to be crystal clear to the user.)
How can we bring together a group of autonomic com-
ponents into a federated system? (Just creating autonomic
components is insufficient.)
How can we design and support open standards that
will perform? (Standardisation is critical as the era of pro-
prietary solutions has ended.)
How can we produce adaptive algorithms to take past
system knowledge and use those insights to perk up the
rules? (Creative and new methods will be required to equip
our systems to tackle the dynamic nature of environments
and transactions.)
Research related to development of autonomic systems
is indeed complex and challenging. However, future com-
puter systems will need higher levels of automation if these
are anticipated to manage the rapidly-increasing amounts
of data, the ever-growing network and the rising force of
processing power. While there are computers with various
levels of automation, fully-autonomic systems remain a
dream for the future.
Defence Science and Technology as will become car factories. Perhaps on California’s roads have been
Laboratory (DSTL) have developed a one day, you could use your garage involved in four accidents since
quantum compass that can achieve for more than parking your car; you September 2014.
similar functions using the subatomic could be making cars in it! Foreseeing this danger, University
changes in Earth’s magnetic field. What makes us think so? Strati, of Michigan has set up M City, a US$
The technology used is totally the co-created car that was being 6.5 million, 23-acre, driverless mini
unlike anything you have heard of printed at the motor show, is a two- metropolis. The city, set to open in
before. Special lasers are used to seater that can go up to 40km per July, has 40 building facades, angled
cool atoms to temperatures much hour and is meant for local usage. It intersections, a traffic circle, a bridge,
colder than outer space. At such low takes about 44 hours to digitally print a tunnel, gravel roads, obstructed
temperatures, the slow-moving, low- the car as of now, but the company views and even a four-lane highway
energy atoms become extremely sen- expects that by the end of the year, with entrance and exit ramps. It tries
sitive to changes in Earth’s magnetic they will be able to do it in 24 hours, to emulate real-life chaos as well. It is
and gravitational field. According to gradually taking it down to 10 hours possible to reroute traffic, change sig-
the team’s press report, “If trapped to 12 hours. The frame and panels nal durations and alter road layouts
on a small device, their tiny fluctua- of the car are printed using carbon- and building facades.
tions can then be tracked from great fibre-infused plastic. It comprises Bad weather, traffic jams, people
distances away and their locations 200+ layers and weighs approxi- jaywalking, moms pushing strollers
pinpointed with a huge degree of mately 800kg. And all this is done and senior citizens crossing the roads
accuracy.” using a machine that can comfortably are all a common sight at M City. For-
What makes this technology ap- sit in your garage. tunately, these are just mechatronic
pealing to smartphone companies The company believes that the car pedestrians testing the sensors and
and autonomous carmakers is that, would last five to six years if parked automatic brakes of autonomous ve-
it has a very high degree of security. outside, exposed to the weather, hicles. Self-driving cars being tested
Unlike a GNSS, no outside interfer- and much longer if parked safely in there can sense each other, the envi-
ence can disrupt it. Bob Cockshott of a garage. It is fully-recyclable. So, ronment and so on, to ease conges-
National Physics Laboratory, the UK, when it is time for a change, you can tion and improve road safety.
commented in a media report that, salvage the recyclable material and Car pooling in driverless cars,
“There is nothing in physics that sell it to the company. Local Motors inter-car communications to optimise
could be used—given the knowledge has already opened bookings for the routes and pick-ups, etc can all be
we have now—to disrupt one of car, which is expected to be available tested to help taxi operators improve
these devices.” this year. the efficiency of operations. It is no
It is expected that usable quan- Quick to follow was Chinese wonder industry majors from Ford
tum compasses will hit the market company Sanya Si Hai 3D Technol- and Toyota to General Motors are
by 2019. These will be so small that ogy Ltd, that also 3D printed a 500kg eagerly awaiting the facility’s inau-
these could easily be fitted into small car in March this year. Dubbed guration.
chips, making these easy to use, not Shuya and later called Tyrant Gold,
just in vehicle navigation but also in this car was printed with a compos- Taking care of every small detail
a variety of devices including smart- ite material in around five days. Al- No road is 100 per cent safe to drive
phones. though the car took much longer to on. There are invariably some blind
print, its electric motor is capable of spots, which sometimes lead to ac-
Game to print your car achieving the 40km per hour speed cidents. In a quest to avoid this,
Talking of smartcars, here is a smart of Strati. Jaguar Land Rover is developing a
way to make your car! At the an- smartwindscreen that uses two tech-
nual motor show in Detroit this year, Robot city to test driverless cars nologies, which they call transparent
Local Motors was seen 3D printing Our stories this month might seem pillars and follow-me ghost cars.
a car. The American motor vehicle to be aimed at convincing you of Based on the understanding that
company attaches no fixed location the possibility of seeing driverless the pillars supporting the roof of the
or mammoth factory to their brand. cars on our roads a decade down the car obstruct the driver’s view, the
They call themselves a free online line, but we can surely not convince company is developing transparent
and physical workspace where crea- you enough to let driverless cars pillars. This would be achieved by
tivity, collaboration and design drive be tested on the roads in the near embedding screens on the insides of
vehicle innovations. They claim that future. Just recently, an investiga- the pillars to relay a live video feed
the future will be characterised by tion by Associated Press revealed from cameras covering various blind
microfactories, where small work are- that four of the 48 self-driving cars spots around the car. Their futuristic
Did you see a driver in that car Nano gives superpower to super-
cars. Another nanotech breakthrough
Here are some of the autonomous cars expected to hit the roads in the very near future: in this space comes from Queensland
Tesla Motors’ self-steering Model S sedan
University of Technology (QUS).
General Motors’ hands-free highway-driving Cadillac
Mercedes-Benz hands-free system Here, researchers have developed
Apart from this, numerous car makers ranging from Honda and Hyundai to Toyota lightweight supercapacitors that can
are gradually introducing some of their autonomous steer-and-stop features in cars in a be combined with regular batteries
phased manner. Google’s autonomous cars are also seen driving around Silicon Valley, to give a power boost to electric cars.
California, and it is believed that these will be commercially available within five years. An electrolyte is sandwiched
between two all-carbon electrodes
heads-up display technology will end. The battery can be fully charged to make a thin and strong film with
add to this unobstructed view, by in 12 minutes and can be recharged high power density. These film-like
providing information to keep the thousands of times. supercapacitors can be easily embed-
driver’s full attention on the road. For Millions of these nanopores can ded into a car’s body panels, roof,
example, the movement of others on be combined into one larger battery, doors and so on. Being super-efficient
the road could be highlighted with the size of a postage stamp. Since all and capable of covering a large area,
an onscreen halo moving across the nanopores are sized uniformly, it is these can store enough energy to
car’s virtual windscreen. possible to cram innumerable units charge a car’s battery in just a few
Further to these navigation aids, a into a single battery. Such thin, small minutes.
ghost car could be projected in front and efficient batteries are expected to According to a press report,
of the car for the driver to follow, revolutionise electric vehicles (EVs) “Supercapacitors offer a high power
in case of difficulty in navigating as it is possible to store lots of energy output in a short time, meaning, a
through busy urban roads. within a small footprint and in a very faster acceleration rate of the car and
These concepts are part of a suite light package, too. a charging time of just a few min-
of connected technologies being Pop goes the crystal, catching utes, compared to several hours for a
developed by Jaguar Land Rover to all the light. Recently, a team of sci- standard electric car battery.”
improve road safety. According to entists led by Prof. Jagadese J. Vittal While currently supercapacitors
the company’s press reports, the full at National University of Singapore are used along with li-ion batteries,
potential of this windscreen would (NUS) discovered a chemical reaction in the future, they hope that super-
be delivered by connecting it to the that can make microscopic crystals capacitors will be capable of storing
cloud. leap distances of hundred times their more energy than li-ion batteries and
own size when exposed to ultraviolet releasing this energy up to ten times
More power to electric vehicles (UV) light. This distance is compa- faster, so that a car can be entirely
While smartness is one of the rable to a human jumping several powered by the supercapacitors in
dominant quests in the automotive metres. its panels. Expected to become a
industry, the other is the quest for In simple terms, this is the con- reality in a decade or so, such a car
efficient electric vehicles that could version of light energy into mechani- can run up to 500km on a single full
reduce environmental hazards of the cal motion. But, what makes it so charge.
ever-increasing number of vehicles exciting is that, it is the first time Indeed, so much is happening in
plying on roads today. Understand- scientists have found such a photosa- the automotive industry to improve
ably, several research initiatives are lient effect driven by a photochemi- driving comfort, safety and energy-
targeted at this. cal reaction in solids, which makes efficiency that, it is mind-baffling.
Tiny yet powerful nanopores. In it amenable to several applications. In an amazing opinion piece in
a US Department of Energy-funded For instance, it could result in a fresh The Guardian, Tom Chatfield writes,
research at University of Maryland, new approach for directly converting “For those of us who do drive, the
the team has invented a tiny struc- solar power into mechanical motion, moment we get behind the wheel, we
ture that includes all components of a such as the movement of light-driven are embarking upon the most skilled,
battery, representing what they claim actuators and mechanical devices. perilous and logistically fraught act
to be the ultimate miniaturisation of Another positive note in this of our daily lives. We are sitting
energy-storage components. research is that, this phenomenon inside the most expensive hunk of
Called nanopore, this structure comes into effect even when crystals consumer technology we own.” No
features a tiny hole in a ceramic are irradiated with weak UV light. wonder, he believes that smartcars
sheet that holds the electrolyte to Perhaps one day, this would lead to will become much more popular that
carry the electrical charge between EVs driven directly by the sun with wearables. Yes, we are at the thresh-
the nanotube electrodes at either not many middle men in between. old of the age of the drivables!
T
he car woke from its nap and drove 2015, earlier this year, but the bigger news
over to where they were waiting. As is that, Google has recently released an ap-
they approached, a female’s voice plication program interface (API) that has
rang out from the car to say, “Please go triggered the race among app makers to
ahead,” letting them know that it was safe begin creating apps for your car.
Dilin Anand is a senior to pass in front of the car. Using sensors, Apart from minor things like using
assistant editor at EFY the car had detected that there were others WhatsApp through your car, Android Auto
nearby and automatically started slowly should allow you to control your phone and
opening the car’s four bay doors. car functionality through the now familiar,
Sounds futuristic? Of course, it does. ‘Ok, Google…’ command. Google touts in-
But what you just read was what had been vehicle messaging as one of the features, but
experienced by the very fortunate Verne is that really a smart move for the driver?
Kopytoff of Fortune.com, as he took a ride Apple CarPlay. On the other hand, Ap-
in Mercedes-Benz F 105 autonomous car, ple has its CarPlay that was also featured in
earlier this year. Hyundai’s Sonata. However, since the API
A world with vehicles as intelligent as has not been made public as yet by Apple,
those in the Disney movie, Cars, would truly there is not much to do for it right now. This
be a wonderful place to live in, but there is also means that there will be limited apps
quite some distance to go. As kids on a fam- upon launch.
ily trip would say, “Are we there yet?”
Controlling more than just
Your car is your new gadget the infotainment system
The colossal power of the Internet is now Of course, Auto and CarPlay are just how
within your car’s grasp. regular consumer electronics firms look at
Android Auto. Android Auto is a tele- this emerging space.
matics standard that allows you to connect Security. Car manufacturers like Chevro-
your car to an Android operating system let and GMC have their own elaborate sys-
(OS) on your phone or tablet. Hyundai had tems, which include features like over-the-
demonstrated this on a Sonata car at CES air (OTA) updates for your car, just like your
smartphone has now. This means, (V2V) communication in every GMC ogies (INVENT), led by Grace Wang
new engine-management functions car. from New Jersey Institute of Technol-
and security features would be just Nokia announced a US$ 100 mil- ogy (NJIT), the USA, is developing
a download away. These software lion Connected Car fund in May 2015 computing, sensor and networking
updates in cars even add features like to drive innovation in connected and technologies for next-generation ve-
automatic emergency braking and intelligent cars. hicles.
blind-spot warning. The month of May also saw the These could gossip, too. These
Engine management. What is US transportation secretary make an connected cars could very well do the
more interesting is that, in some cars announcement; a mandate propos- automotive flavour of gossip. This
like Tesla, these software updates ing all new cars and trucks to have means that these would be constantly
can increase acceleration, economy V2V communication equipment will swapping basic and minor data that
or top speed of your car after it gets be proposed to the government ear- would become useful when a related
installed. Expect a day in the future lier than expected. event occurs, while at the same time
when you can buy car-performance Back in 2006, V2V and vehicle-to- giving us an idea of how vehicles in
upgrades through app-like down- infrastructure (V2I) communication the vicinity are behaving.
loads, instead of going to the work- using dedicated short-range commu- Sensor networks and Big Data.
shop to get the engine modified. nications (DSRC) based on wireless Sensors are a very big part of this
Convenience. Our own desi local area network (WLAN) technol- game. An example is a sensor network
company, Mahindra Reva Electric ogy were tested by what was known across roads, somewhat similar to the
Vehicles (MREV), has an amazingly as Vehicle Infrastructure Integration ones surrounding some secure areas
connected electric car in the guise of (VII) initiative. of Area 51 (a remote detachment of
e2o. Users are able to control vari- The Federal Communications Edwards Air Force Base, within the
ous elements in their car through a Commission has dedicated the Nevada Test and Training Range, the
smartphone from anywhere in the 5.9GHz frequency band for automo- USA), which detects motion along
world—from turning on the AC to tive communication between vehicles the area.
pre-cool the car before you take your and roadside units (RSUs). In our case, it could be used to de-
family out on a hot summer after- IEEE 802.11p is a standard to add tect animals crossing the road and alert
noon, to remotely locking the car and wireless access in vehicular environ- incoming cars to slow down, accord-
even charging it. ments (WAVE), thus enabling the ingly. Now, if a vehicle brakes hard, it
An interesting feature is REVive, support of intelligent transportation can use its V2V network to alert other
which enables the driver to use the systems (ITS) applications. It is an cars in the same lane to slow down
smartphone to activate an additional amendment to IEEE 802.11, which is urgently; technology like this could go
reserve of eight kilometres of range if the same as the Wi-Fi we all use in a long way in preventing pileups.
the car runs out of power. our homes and phones today. Traffic re-routing and avoiding
Safety. Chevrolet is believed to be “V2V communications is still congestion on the road are other
planning to use its onboard 4G LTE not mainstream with the Internet of benefits with technology like this.
Wi-Fi and OnStar RemoteLink smart- Things (IoT), since many car manu- Currently, smartphone users are able
phone app to alert owners if their car facturers are contemplating the risks to detect congestion along a stretch of
is about to break down. associated with it. However, some road by using applications like Google
Another example is when Na- car manufacturers such as Volkswa- Maps. Dynamic traffic assignment
tional Highway Traffic Safety Admin- gen and Audi are working on V2V (DTA) algorithms are examples of
istration made two recall announce- features for their own cars, such that technology that could enable people
ments. While this would normally one Volkswagen may not talk to all to reduce travel time. However, these
require owners to bring in their cars cars around it but all Volkswagen lack scalability and robustness and
to get it fixed, Tesla was able to solve around it,” explains T. Anand, man- require higher computation time.
the problem via a simple software aging director of Knewron. The INVENT team also proposed
update. He adds, “For all cars to talk to road based vehicular traffic (RBVT)
each other, either single protocol routing, which uses real-time traffic
Cars can now talk to each other or language has to be agreed upon data from vehicles and roadside sen-
Perhaps, the first bit towards a world (which is another debate topic for all sors like the ones mentioned earlier.
with friendlier cars would be when things in the IoT) or, alternatively, all Interference in the spectrum.
these can start talking to each other. communication must pass through a Scott Belcher, chief operating officer of
Major firms are already running broker or translator, which will then the advocacy group Intelligent Trans-
with the idea. GMC already has plans make cars another thing in the IoT.” portation Society of America, stated
to begin installing vehicle-to-vehicle Inter-Vehicular Network Technol- in a news report on Voice of America
actuators should be controlled. These to provide machine-to-machine capabilities in a small vehicle pack-
actuators are placed in the steering (M2M) communication services for age. This will allow users to charge
wheel, gas pedal and brake pedal, the e2o range of cars and a central their electric vehicles (EVs) easily
and receive commands from the IR application. A Times of India report and quickly. In addition to that, a sup-
sensors,” explains Natarajan M.M., mentions that, AT&T claimed about portive tech for Halo, named WiP-
vice president for South Asia and 20 million connected devices from ower, enables consumer electronics to
Bhartendu Mishra, director - market- cars to cargo ship container sensors charge wirelessly in-vehicle.
ing of Arrow Asia-Pacific in an inter- in 2014, up 21 per cent from the year We have all heard of the super-
view with EFY. earlier. While it has not yet revealed capacitor vs battery debate. Could
“There are also IR cameras that its revenue from its IoT business, replacing batteries with supercapaci-
also monitor the driver’s subtle head the fourth quarter of 2014 saw AT&T tors be feasible in cars?
movements. A central processor in adding 800,000 connected cars out “Theoretically, yes. All major or-
the car translates the sensor input of 1.3 million connected devices in ganisations are working towards the
into motion commands for the car. its network. realisation of this ideal scenario. One
It is aided by an onboard global po- focus area of Bosch is electrification;
sitioning system (GPS) that updates Some exciting technologies how do we unlock the potential of
100 times per second, creating virtual The Mercedes-Benz F105 car we men- energy for the benefit of life? Gradu-
boundaries, and provides data for the tioned in the beginning has another ally, we will see this happening as
car’s self-correction in certain cases,” impressive feature, in that, its win- the energy density of supercaps
adds Natarajan. dows are almost invisible from the increases. We do not have to match
outside while, the same panels func- the li-ion energy density to see sig-
An Internet of Vehicles tion as TV screens from the inside. nificant market share being taken
The Internet of Vehicles (IoV) seems Structural electronics certainly by supercaps with respect to li-ion,
to be a new concept based on the would not make the windows disap- as the power density is much higher
IoT. However, Huawei’s website says pear, but it would allow integration and these have significantly higher
that, nascent forms of the IoV are of sensors and other components operational lives,” adds Bairampalli.
already in existence. within the vehicle’s body and under- Is all this safe? “Apart from hack-
“Intelligent transport systems (ITS) carriage. The present implementation ing by third parties, there is a much
in Europe and Japan have adopted of this technology, although at a very bigger issue that is now stalling the
certain forms of IoV technology. In basic level, is the highly-sensitive overall smartcar development; it is
New Delhi, all 55,000 licensed rick- microphones in the very front and cross border data transfer (CBDT).
shaws have been fitted with GPS back part of some cars that record By law, customer data cannot re-
devices so that drivers can be held ac- the structural sound, and in case of side outside the country. And this is
countable for their questionable route an accident, send the signal to the a somewhat bigger impediment. Most
selection. China’s Ministry of Trans- airbag controller. advanced car manufacturers such as
port (MOT) had ordered that GPS Could structural electronics be VW, Audi, Mercedes and Toyota do
systems be installed and connected on the next big thing in cars, especially not have local IT infrastructure, and
all long-haul buses and hazmat vehi- when it is biomimetic or imitative of it is usually based at their headquar-
cles by the end of 2011 to ensure good nature? ters (outside India). This means, in
driving habits and reduce the risk of “It is a fascinating and largely order to just make the basic system
accidents and traffic jams. The Brazil- under-explored area right now. Com- fully-compliant with law, there is a
ian government has set a goal for all mercial adaptation will probably start longer process of infrastructure set
cars in circulation to be fitted with with the aeronautical sector—smart- up. Then, sharing customer data or
electronic identification (ID) chips skins, printed electronics, structural even customer’s car data with any
from its National Automated Vehicle super capacitors where the chassis other customer and privacy concerns
Identification System (Siniav).” can also act as both load-bearing and raised from those sharing are next-in-
Huawei also claims that the energy-storage structure,” explains line issues,” explains Anand.
launch of the US National Strategy Sandeep Bairampalli, expert on robot-
for Trusted Identities in Cyberspace ics, Robert Bosch Engineering and Is KITT here
(NSTIC) is a milestone for IoV, as it Business Solutions. Who knows, years down the line
requires that security chips be em- Another innovative use of elec- we might have something like KITT
bedded in all online devices, includ- tronics is with charging. Qualcomm’s from the 1982 television series Knight
ing those in vehicles. recently announced Halo Wireless Rider, a robotic car with enough ar-
The telecom connection. In India, Electric Vehicle Charging (WEVC) tificial intelligence (AI) that would
Vodafone has partnered with MREV technology provides wireless-charging help it pass the Turing test!
and climbed into the craters of active Guidance, navigation and control
volcanoes in Alaska and Antarctica. software (developed at Carnegie
Polaris and Red Rover are the two Mellon, the USA) will keep the rock-
rovers being developed by Astrobotic. et on the right path. Due to lack of a
Polaris, though, may not be global positioning system (GPS), the
a candidate for GLXP; its goal of vehicle will be guided by plotting its
searching water ice at the pole is trajectory to the moon by referring
interesting. It is designed for drill- to stars, the moon and Earth. Once
ing at moon’s surface on polar in moon’s orbit, the spacecraft and
region, which is characterised by rover must descend to the moon’s
low-glancing sun angles and near surface.
cryogenic temperatures. The rover It is interesting to note that Late
is tall enough to deploy a 1.2m Astronaut Neil Armstrong piloted
(4-feet) drill and produce 250W of the lunar module from orbit to the
power with solar panels oriented specific location on the moon, avoid-
toward the sun. The rover will also ing local hazards like boulders and
prospect for water, oxygen, methane craters. However, the Earth-to-moon
and other volatiles, which could be distance imposes a time lag that does
useful for energy, supporting life and not facilitate real-time control from
producing rocket fuel. Astrobotic an- Earth, so the spacecraft’s software
nounced the completion of Polaris must accomplish autonomously what
prototype on October 8, 2012. Armstrong did manually.
Red Rover, a candidate for GLXP, A primary descent engine will
is a mobile explorer that scouts the burn to slow the spacecraft down
moon’s mid-latitudes near Equator as it approaches the moon, while
with cameras and science payloads. small thrusters will keep the vehicle
It navigates, detects obstacles and stabilised. Touching down two days
captures 3D video footage and maps. after lunar dawn, Lander will deploy
The launch of Red Rover is two ramps.
planned by SpaceX Falcon 9 rocket. Bolts that hold the ramps folded
After achieving a low-Earth orbit, against the ladder are rigged to break
Falcon 9 stage two reignites for trans- apart under immense heat. After
lunar injection (TLI) to propel the the ramps fall from the spacecraft to
spacecraft into a 4.5-day cruise to the ground, the rover will roll down
the moon. to the moon’s surface. Binocular
that are relatively cheap to make and allows for the integration
easy to operate. of various optically-active
Photonic IC chip
and passive functions on
Photonic equivalents the same chip.
A PIC or integrated optical circuit is Initial examples of
a device that integrates multiple (at PICs were simple two-
least two) photonic functions and as section distributed Bragg
such is analogous to an electronic IC. reflector lasers, consisting
The major difference between the two of two independently-con-
is that, a PIC provides functionality trolled device sections: a
for information signals imposed on gain section and a DBR
optical wavelengths, typically in the mirror section.
visible spectrum or near infrared (IR) Fig. 2: A photonic integrated circuit C o n s e q u e n t l y, a l l
850nm to 1650nm. Unlike electronic (Image courtesy: www.research.a-star.edu.sg) modern monolithic tun-
integration, where silicon is the domi- able lasers, widely tun-
nant material, system PICs have been technology has helped in large-scale able lasers, externally-modulated la-
fabricated from a variety of material manufacturing of PICs at a low cost. sers and transmitters, and integrated
systems, including electro-optic crys- Also, current leading players have receivers, among others are examples
tals such as lithium-niobate, silica on developed monolithically-integrated of PICs. Current state-of-the-art de-
silicon, silicon on insulator, various InP based PICs that can integrate vices integrate hundreds of functions
polymers and semiconductor materi- more than 600 components/func- onto a single chip.
als, which are used to make semicon- tions on a single chip. Thus, there is Some technologists report the
ductor lasers such as gallium-arsenide a huge competition in the market as development of the first integrated
(GaAs) and indium-phosphide (InP). each player is trying to innovate PIC optical circuit with a photonic crystal
Different material systems are used based products, which would be able capable of both localising and inter-
because each provides different advan- to integrate large amounts of func- facing atoms with guided photons
tages and limitations, depending on tions/components at a low cost. in the device. The device is a pho-
the function to be integrated. Photonic tonic crystal made of silicon-nitride
equivalents of these devices have been Fabrication techniques that acts as a waveguide for laser
equally challenging to develop and are PIC fabrication techniques are similar light to carry light tuned to certain
widely used to manipulate and control to those used in electronic ICs, in atomic transitions in cesium. When
the signals in optical fibres. But, it is which photolithography is used to a cesium atom absorbs and scat-
fair to say that these have yet to reach pattern wafers for etching and mate- ters these wavelengths, the process
their full potential. rial deposition. generates forces that can be used to
Photonic crystals are useful be- Unlike electronics, where the pri- trap and manipulate the atom. The
cause their optical properties are mary device is the transistor, there is photonic crystal is integrated into a
determined by the physical geometry, no single dominant device. The range system that provides a ready supply
size of the waveguide and so on. This of devices required on a chip includes of cesium atoms, and the result is an
allows these to be precisely tuned to low-loss interconnect waveguides, IC capable of manipulating individual
carry only certain wavelengths of light. power splitters, optical amplifiers, cesium atoms.
PIC is a breakthrough technology as optical modulators, filters, lasers and Recently, the field of plasmon-
it uses photons (smallest unit of light) detectors. These devices require a ics, which exploits surface plasmons
as data carriers instead of electrons variety of different materials and fab- generated when photons hit a metal
(smallest unit of electricity) used in rication techniques, making it difficult structure, has opened up the real pos-
electronic ICs. As light travels at very to realise all of these on a single chip. sibility that photonic circuits could
high speeds, PIC technology is widely Newer techniques using resonant pho- duplicate what electronic ICs do.
used to transfer huge amounts of data tonic interferometry are making way Previously, photonic circuits were just
at a very high speed. Thus, PIC based for UV LEDs to be used for optical too large to be functional because of
products are primarily deployed in the computing requirements with much their need to accommodate different
field of optical-fibre communications. cheaper costs, leading the way to wavelengths of light. Despite several
PICs market is growing at a phe- petahertz (PHz) consumer electronics. advances, plasmons still lost energy
nomenal rate as it provides significant too quickly, which reduced the dis-
improvements in system size, power Development status tance these could travel. Now, re-
consumption, reliability and cost. The most commercially-utilised ma- searchers have developed a solution to
Development of silicon photonics terial platform for PICs is InP, which this issue by combining graphene and
ratings, that were achievable in larger Patil adds, “A digital isolator is Listen up, you
form factors, are now being imple- a unique ADI product that helps to It has been mentioned umpteen times
mented in smaller surface-mount form an isolation barrier between that circuit protection is extremely
devices. two sections of the circuit, namely, critical. Let us make that umpteen
Additionally, in over-voltage pro- the low voltage section (very sensi- plus one, with this take by Tim Patel,
tection, surge-handling capabilities of tive) and the other side that is fac- technical marketing manager, Electron-
larger metal-oxide varistor products ing the field (prone to damage by ics Business Unit, Littelfuse, “Circuit
are now being achieved in smaller unwanted signals). A digital isolator protection is a critical part of design;
form factors, and even in surface- prevents unwanted high-potential it should not be an afterthought. You
mount technologies. voltage from affecting the sensitive need to anticipate what faults can
A recent practice is to pack to- portion of circuit by creating a bar- occur, what can cause reliability is-
gether sub-assemblies of a combina- rier. Another impressive technology sues, what faults can come into the
tion of two protection schemes. Nata- is our Latch-Up Proof multiplexers system that can cause surge events,
rajan M.M., vice president for South (MUXes).” how a short-circuit event occurs, and
Asia of Arrow Asia-Pacific, explains, He continues, “A latch-up is a what will happen if you do not protect
“To overcome electrical over-stress condition where a low-impedance against it. You may need to redo the
(EoS) and to protect equipment from path is formed between supply and board layout, costing money and lost
consequent damage, an innovative ground, causing excess current to development time. You may end up
solution is brought about by hybrid flow, heating up the affected part and with a less-than-optimal protection
micro-assemblies, also called inte- eventually burning it out. The MUX device or location, which results in
grated product devices, in which two or the switch is typically the first functional failures, poor reliability and
circuit protection devices, usually element to get exposed in a signal/ safety issues such as shock or fire.”
a combination of over voltage (OV) data-acquisition system, so one of the He adds, “Let me tell you a story.
and over current (OC), are packaged ways to protect the circuit is to make Smartphone and tablet industries have
together to provide efficient, cost- this MUX as robust as possible. We evolved so much that chargers are now
effective and space-saving circuit- do this by using a circuit-design tech- directly connected to the wall; the wire
protection solutions.” nique known as trench isolation.” is just a USB cable. Any short-circuit
index, to name a few. Unlike Light- LM-80: A standard to make LED products standout
ing Passport that needs to be con-
nected to a smartphone, the new Standards ease the evaluation and comparison of LED components, luminaries and lamps.
LM-80 is one such standard. Before the arrival of LM-80, LED manufacturers measured
GL SPECTIS 1.0 (Fig. 3) is a smart lumen depreciation using their own contrasting systems.
spectrometer that requires no con- Members of Illuminating Engineering Society (IES) created LM-80, a standard
nection to a computer or smartphone methodology that allows customers to compare lumen maintenance of LEDs from various
to take and show measurements. It companies. Tom Juel, inside sales representative, CSA Group/Orb Optronix, feels that LM-
uses Android operating system (OS) 80 is not a required test for LEDs, but it is highly recommended in order for a company to
differentiate its LEDs from its competitor’s.
to show critical data such as chroma- LM-80 is a test that determines the LEDs’ expected lifetime under various conditions
ticity charts and full spectral profile including temperature and drive currents. The world standard to determine the LED lifetime
on its touchscreen interface. Boasting performance is by running LM-80 data through TM-21 calculation. Juel says, “Our own
of a six-hour battery life, microSD LM-80 thermal chambers are modular, allowing our laboratory to expand as needed,
card slot and storage of up to 1000 without long lead times as we build more space. This scalable system is important as
demand for more testing at longer durations and multiple temperatures continues to
measurements, this device also looks increase.” He adds, “Other LM-80 systems require large ovens that hold a population
to tackle fluctuations in temperature of LEDs at one temperature. With our test chambers, a user is able to control multiple
with a temperature sensor installed temperatures across the system.”
on the its printed circuit board (PCB),
providing measurement stability. It is on the basis of luminous flux and
Wi-Fi- and USB-2.0-enabled. radiant power. These measurements
can be made by coupling this spec-
Meeting demands trometer with an integrating sphere
This high-end spectrometer was cre- from GL Optics such as GL OPTI
ated to accommodate the demands of SPHERE 500.
production control in the manufac-
ture of LED lamps or chips, and also Making accurate measurements
conform to the requirements of inter- over a range of intensities
national lighting standards such as As light measurement is mostly about
CIE 127:2007 for LED measurements. data, it is essential to have a device
CIE 127:2007 defines capabili- that can accurately collect data over
ties for determining radiometric and the broadest range of light intensities
photometric quantities such as total possible.
radiant flux or partial LED flux. It International Light Technolo-
also defines Illuminating Engineering gies (ILT) recently released ILT5000,
Society of North America’s (IESNA) which is a combination of radiom-
LM-79-08 standard that describes eter, optometer and pico ammeter.
procedures to perform reproducible Making possible rapid measurements
measurements of electrical power, up to 100Hz and wider dynamic
total luminous flux, luminous inten- range from 100fA to 1mA, this de-
sity distribution or chromaticity of vice supports numerous light-meas-
solid-state lighting products. urement applications. Key features Fig. 3: GL Optic’s GL SPECTIS 1.0,
GL SPECTIS 6.0 from GL Optics included are faster data transfer, a handheld, Android based, smart spectrometer
comes in a rack-mountable format broader calibrated range, wireless
that supports measurements in an connectivity, 4mA to 20mA output measurement device manufactured
extensive spectral range of 200nm and easy-to-use software. The auto- today, this instrument comes with a
to 1050nm, covering from ultraviolet matic-ranging feature enables rapid mini universal serial bus (USB) port
(UV) to near infrared (NIR) range switching through all current levels. for powering the device, data transfer
with a resolution of 3.5nm. Available in wired and wireless as well as battery-charging purposes.
A key characteristic of LED light- versions, the broader dynamic range Photometers are widely used
ing is the computation of energy of this device coupled with SubMini- in the development and testing of
efficiency in comparison to conven- ature version A (SMA) input connec- lighting, LED and in-vehicle lighting
tional light sources. Using luminous tor enables it to also function as a applications. With better cameras
efficacy (measured in lm/W), effi- pico ammeter. ILT5000 comes with a embedded inside photometers, these
ciency is determined by quantifying detachable antenna that allows it to can provide a wider dynamic range,
spectral characteristics of respective send data at the rate of 16 readings thereby reducing image-noise levels.
luminous elements, assessed mainly per second. As with almost any new See the difference in Figs 5 and 6.
Analyser that could reduce LED testing time by 90 per cent Tools to test LED drivers
The life and light quality of LEDs has always been heavily influenced by its thermal Some interesting systems in the mar-
performance. A high-tech research and development (R&D) institution, Industrial Technology ket from Chrome Instruments (Fig.
Research Institute (ITRI), has developed an automatic thermal analyser that provides a 7) for testing drivers include high-
measurement speed of 12,000 LEDs per hour, against traditional lab methods that offer a precision power meters, programma-
measurement speed of six components per hour. Touching such high thermal-resistance ble DC and AC sources and LED load
measurement speeds minimises the component thermal-resistance testing time of an LED to
simulators specifically designed for
0.3 seconds. While offering a significantly decreased testing time, InLine Compact Thermal
Analyser (ICTA) aims to enhance production yield, performance and lifespan of LED devices. LED power drivers.
It uses a high-speed temperature sensitive parameter (TSP) measurement technique that With output powers ranging from
looks to reduce LED testing time by more than 90 per cent. 500VA to 2000VA, the 61500 series
After receiving the 2014 R&D Award, ITRI’s ICTA has also bagged Frost & Sullivan’s programmable AC power sources of-
Best Practices New Product Innovation Award for 2015. fer three different modes, namely, AC
plus DC, AC and DC output modes.
For very high accuracy measure- These feature parameters such as
ments, SphereOptics introduced a measure peak and inrush current, root
new feature known as high-dynamic- mean square voltage, current, power,
range (HDR) mode for their I-Series crest factor, power factor, volt-ampere,
and Y-Series ProMetric imaging volt-ampere reactive with programma-
photometers. For a more accurate lu- ble voltage and frequency slew rate.
minance analysis, this feature can be On the other hand, the Chroma
used on a device under test to attain 66200 series are digital power meters
the lowest and highest light-illumina- with a wide range of power, voltage
Fig. 4: ITL5000 research radiometer tion levels. Considering streetlights as and current parameters. A user-de-
an example of the device under test, fined benchmark provides automatic
HDR mode in I-Series and Y-Series pass or fail indications, and total
ProMetric imaging photometers can harmonic distortion (THD), inrush
be used to measure the illumination current and other energy measure-
pattern and light directly emitted ments are available.
from these. For companies into volume manu-
Another imaging-measurement facturing of LED drivers, fixtures and
system for quick recording of colour arrays, it is important to verify the
distributions and luminance, Konica quality of their production swiftly.
Minolta Sensing Americas’ (KMSA) Time-to-market is an important factor
Fig. 5: High-dynamic-range mode turned off and Instrument Systems’ Lumi- to keep in mind, apart from improv-
Cam 1300 Advanced can be used ing product performance, validating
particularly for narrow-band LED its design and quality.
colour-light measurements in today’s As the name suggests, ASE Test’s
controls and displays to meet ex- SSL 3.0 Test System (Fig. 8) is in-
tremely high requirements. It boasts dustry’s first automated test system
of a unique camera concept, which for solid-state lighting products. It
uses two filters having six optical is basically used for design verifica-
filters in total. tion, volume manufacturing testing
Lighting professionals can make and performance validation. It tests
Fig. 6: High-dynamic-range mode turned on use of this concept for highly accurate DC-driven LED arrays, AC-driven LED
feedback to refine the UI. Usability Operator Machine at the types of user in-
(Man)
study for some critical products can Display instrument teractions and types of
Interpretation
go through three to four iterations decision Perception users for better under-
before freezing on the final one. Over standing of UI design.
a period of time, this practice has Production Human-machine
matured and, with experience, it has interaction types
generated two streams of output for 1. Conventional sys-
designers: tems or products with
1. Standard design inputs, which Control instrument
switches, keyboards,
Handling of
are common to most products, are controls displays and alarms
related to UI components like key- Fig. 1: Relationship between a human being and a machine 2. Computer in-
boards, displays, knobs and levers, terface, which is also
their layout and grouping, and can to the product’s UI when they are called human-computer interaction
be categorised as must-have features. interacting with the product. (HCI); typically uses keyboards,
2. Product specific inputs, which Human-workspace. This interac- touchscreens and monitor displays
need special design inputs depending tion involves the user, his or her pos- Types of users. Users are classi-
on product functionality (for exam- ture, position, how much he or she fied into three categories:
ple, touch based slider for volume has to reach out to use the product, Novice. Someone who is a first-
control), need to be specially as- product size, structure of the product, time user of the product (has less
sessed by ID engineers. and so on. Essentially, this means the exposure to the product)
In this article, we will see some impact on the user, especially physi- Expert. Someone who has used
of the must-have features specially cally, while using the product. the product earlier (knows how to
related to keys and knobs, among Human-environment. This inter- use the product)
others. These inputs will range from action involves the behaviour of the Casual. Someone who can use the
selection of parts to location and user based on the working environ- product; may not be as well-versed
colour to be used. These inputs will ment like light, temperature, sound as an expert, but would have been
help designers of small products and (acoustics), noise, ventilation and exposed to other similar products
designers from small- and medium- radiation. Essentially, this deals with This means UID actually needs to
size company designers to have a the environment in which the prod- cater to the types of usage, as well as
low-cost but acceptable UID. Howev- uct works and how that will impact the types of users, to be successful.
er, they need to be careful about one the user. Having understood the usage and
point; if their designs are applicable A good example can be an indus- user types, let us now see the actual
to safety-critical industrial systems trial control system with an audio design of a UI and components used
and medical devices, getting help alarm on a noisy shop floor. Nor- for the same.
from professional designers is a must. mally, the user in this environment
will use earplugs to muffle the sound Essential UIs
Basic usability engineering (UID) (noise). So if the product has to work For a design engineer, an important
When a human being interacts with in an environment like that, he or element of the UI are keys. Let us
a machine (product), there are three she needs to use a visual alarm along understand the design using these.
types of interactions that take place: with an audio alarm. Controls (input devices). These
1. Human-machine include switches, potentiometers
2. Human-workspace UI-human interaction chain and valves, among others, and can
3. Human-environment A good UID is a closed-loop feedback be further classified into two groups:
A good UI will balance the impact design. Fig. 1 shows the relationship Discrete controls. Each position
of all these equally and ensure that between a human and a machine. in a switch represents a separate
the user is not adversely impacted When this loop gets disrupted, function, and switches are typically
while using the product. external errors happen. While we discrete controls. Fig. 2 shows some
Let us see what each of these in- normally call this human error, the of the discrete control elements.
teractions mean to designers. trigger is from the external world. Continuous controls. Continuous
Human-machine. This interaction This means that the display and controls change in value from a mini-
involves the influence of the product controls of a system (product) need mum to a maximum. Rotary valves,
on the user and his decisions on to be designed in a way that induced potentiometers and rotary switches
displays, controls, panel layouts, rate errors are minimised or removed are good examples of continuous
of information dissemination, etc. completely, wherever possible. controls. Fig. 3 shows some continu-
Essentially, it means how users react With this background, let us look ous control elements.
Table II
Minimum Distance between Different Keys as a Matrix
Switch type Push Push button Legend Slide and Toggle Thumb Rotary Large J Small J
button array switch rocker switch switch wheel switch handle handle
Push button 13 50 50 13 13 13 13 152 76
Push button array 50 50 50 38 38 38 50 152 76
Legend switch 50 50 50 38 38 38 50 152 76
Slide and rocker switch 13 38 38 13 19 13 13 127 50
Toggle switch 13 38 38 19 19 13 19 152 76
Thumb wheel 13 38 38 13 13 13 19 127 50
Rotary switch 13 50 50 13 19 19 25 127 50
Large J handle 152 152 152 127 152 127 127 76 127
Small J handle 76 76 76 50 76 50 50 127 25
Note: All measurements are in mm
a steam iron becomes too high, it Table IV let us now see, when we
switches off, which is indicated by a Illumination Needed for Different design a system, how these
small red light (bulb) that goes off. two have to be put together
Representative displays.
Displays and Other Work Areas in a balanced way. When a
1. These displays can portray Work areas or objects viewed Lighting needed (lux) product is designed, there are
either working models or simpli- Panel and primary working areas 540 three primary aspects that
fied diagrams of a complex process, Auxiliary panels 540 need to be addressed, which
system or machine. These enable Scale indicator readings 540 are:
the perception of the functioning of Seated operator stations 1080 Aesthetics. Deals with
each part of the system or machine in Maintenance and wiring areas 540 how the product looks
correct relation to the whole system. Emergency operating areas 110 Ergonomics. Sees how
Most underground rails (metros) and easy it is to operate the prod-
ordnance survey maps, railway signal uct and that the product does not
panels and plant mimic diagrams are impact the user adversely
examples of these displays. Engineering. Checks how easy it
Now, let us see the factors that is to manufacture the product, with-
impact displays when these are out increasing the manufacturing cost
used in products. When displays are A UI is guided by the following
combined with input devices, the three principles from an ergonomics
challenges are many. Some key ele- Fig. 8: Before change perspective:
ments are: Operation sequence. Determines
Viewing distance. This is the dis- angle should be with respect to the how the product is operated using
tance from where users can read the slant. This angle of slant is important keys (input) and displays (output)
display and operate controls. Typi- when keys are mounted along with Frequency of use. Checks how
cally, distances vary from 300mm to the display. Table IV gives the illumi- frequently the UI will be used
750mm. Any distance beyond this nation needed for different displays Centre of attention. Check which
will strain the user. and other work-related areas. elements in the UI need higher at-
Illumination of displays. LED Combining displays and inputs. tention
based devices have built-in light Displays and their associated controls Let us see how a user can use
emission and, hence, illumination is should be designed and located such this concept, with the following ex-
easy to control. However, when the that the user can select the correct ample. Refer to Fig. 8, where a front
product uses liquid crystal displays control and operate it effectively, panel with a display, switch and
(LCDs), the design becomes complex. without errors. knobs are shown, and where arrow
While some LCDs are reflective and Combining multiple displays. marks show how the user uses the
need external lights to work, other When multiple displays provide in- interface.
LCDs work with backlights, the in- formation to the user, he or she will You can see how the key sequenc-
tensity of which is key for display il- invariably have to divide the attention es go across the product face. This
lumination. Designers need to choose between a number of tasks, as well as could have been due to the func-
the right illumination, depending on displays. Any inconsistencies in the tional grouping of keys, knobs and
external light availability where the manner of information representation displays. However, when the user
product will be used. among displays will be confusing. will use this, he or she must remem-
Viewing angle. This is a critical This will reduce the speed of reaction ber the sequence of travels across the
parameter, which most designers to change, indicated by displays. This face of the product every time he or
miss. The best view is possible when can even cause reading or decision she uses the product.
the user is at 90° to the display plane errors. If a number of displays look Instead, if keys are arranged in
(right in front of the display). alike, the user may interpret data the use sequence order shown in
Viewing angle of the display can incorrectly. Each display should be Fig. 9, the user can remember the
be defined as the angle at which easily distinguishable from, and its sequence of use easily and use the
the display will be legible from the information should not be easily con- product with ease. This effectively
90° position. LCDs have a restricted fused with, any other display. demonstrates how an ergonomic UI
viewing angle (typically about 20° to can be designed.
30°). Higher the viewing angle, better Designing the keyboard Next is the frequency of use. To
the viewing, but greater the cost. and display together (UI) help users use the product quickly
Also, when the LCD is mounted Now that we have seen the design of and easily, following rules will help
in a slanted position, the viewing input devices and displays separately, in designing an effective UI:
Pocket-Friendly Oscilloscopes
Under ` 50,000
D
o you feel your old oscilloscope ments or introductions transpiring in the
has served you long enough; is it entry-level, benchtop oscilloscope segment.
bulky, cumbersome and feature- However, there are many interesting univer-
starved? Or, are you planning to buy an sal serial bus (USB), do-it-yourself (DIY)
entry-level, digital oscilloscope for your and pocket-sized oscilloscopes that have
Abhishek A. Mutha basic test and measurement (T&M) needs been released in the last couple of years.
is a senior technical but cannot figure out which one to buy? From benchtop to portable and miniature
correspondent at EFY
Look no further. In this article, we attempt ones, let us take a look at the wide variety
to help you find the best oscilloscope for of digital oscilloscopes available out there,
your application from a wide range avail- which are also pocket-friendly.
able in the market.
Being a widely-used T&M instrument, Benchtop scopes are reliable,
an oscilloscope is a useful tool for any provide solid performance
engineer, maker or hacker. It comes in a Many companies today are offering bench-
variety of form factors. top oscilloscopes targeted at engineers,
Some pocket-friendly
oscilloscopes currently There are hardly any exciting develop- hobbyists, do-it-yourselfers (DIYers) and
available in the market
Table I
Some Benchtop Oscilloscopes
Brand Product Channels Bandwidth Sampling Memory Display# Warranty Other notable features Price
rate depth
Rigol DS1102E/ Two 50MHz/ 1GSa/s 1Mpts 14.5cm Three • Vertical sensitivity ranges between ` 21,080**/
DS1052E analogue 100MHz (5.7-inch) years 2mV/div and 10V/div ` 25,564**
TFT • Standard interfaces such as USB host and
device, RS232, P/F out
Tektronix TBS1052B Two 50MHz 1GSa/s 2.5kpts 17.8cm Five years • 34 automated measurements ` 32,801*
analogue (7-inch) • Dual-window FFT
TFT • Automated, extended data-logging feature
• Auto-set and signal auto-ranging
• Small footprint and lightweight
• Only 124.5mm (4.9-inch) deep and 2kg
Keysight DSO1052B Two 50MHz Up to Up to 14.5cm Three • 23 automatic measurements ` 34,696*
1GSa/s 16kpts (5.7-inch) years • Waveform math including FFT
LCD • Free education student lab guides and
professor slide sets
• Sequential acquisition of up to 1000
trigger events, go/no-go mask testing and
selectable digital filters
Tektronix TBS1052 Two 50MHz 1GSa/s 2.5kpts 17.8cm Five years • Same as TBS1052B but comes with ` 37,911*
B-EDU analogue (7-inch) integrated courseware feature, which
TFT gives the ability to create lab descriptions
and instructions and then upload the
material directly onto a TBS1000B-EDU
oscilloscope
Tektronix TBS1022 Two 25MHz 500MSa/s 2.5kpts 14.5cm Five years • 16 automated measurements ` 39,555*
analogue (5.7-inch) • Automated, extended data-logging feature
TFT • Auto-set and signal auto-ranging
• Small footprint and lightweight
• Only 124.5mm (4.9-inch) deep and 2kg
Rohde & HMO722/ Two/four 70MHz Up to 2Mpts 16.5cm Three • Mixed-signal oscilloscope with eight logic N/A
Schwarz HMO724 analogue 2GSa/s (6.5-inch) years channels
TFT • Component tester, 6-digit counter, auto-
measurement six parameters (maximum)
• Trigger modes: Slope, video, pulsewidth,
logic, delayed and event
Scientech Scientech One 50MHz 500MSa/s 32kpts 17.8cm One year • Real-time sampling rate 500MSa/s, N/A
Technolo- 401 (7-inch) equivalent sampling rate 50GSa/s
gies TFT • Unique digital filter function and
waveform recorder function
• 32 parameters auto-measure function
Scientech Scientech One 70MHz 1GSa/s 2Mpts 17.8cm One year • With a higher bandwidth, memory depth N/A
Technolo- 402 (7-inch) and sampling rate, other notable features
gies TFT are same as above
*Price listed as on e-commerce websites like element14.com and others
# Colour displays
** Price converted from US$ to ` (1 US$ = ` 64). Landing price may vary slightly when bought from Indian distributors
design houses, providing affordable sonal computer and data storage pur- ` 50,000 and below, majority of the
performance on a tight budget. Al- poses, signal auto ranging, numerous general-purpose digital scopes offer
though, these limit mobility and con- automated measurements, automated bandwidths up to 70MHz with dual
sume considerable amount of bench data logging, frequency counter, analogue channels. The sample rate
space, most oscilloscopes available auto set with selective bandwidth for such oscilloscopes is usually
under this category come with reli- models like 25MHz, 40MHz, 50MHz, between 1GSa/s and 2GSa/s. Higher
able features required for basic T&M 60MHz, 70MHz, 100MHz, 150MHz bandwidth and four analogue chan-
needs such as USB connectivity for and 200MHz. nels for educational and industrial
easily connecting to a laptop or per- Considering a price point of purposes exceed the ` 50,000 mark.
Table II
Some USB/PC Based Oscilloscopes
Company Product Channels Bandwidth Sampling Display Price
rate memory depth
Bitscope Bitscope Micro (oscilloscope + logic analyser) Two analogue, six digital 20MHz 40MSa/S — ` 11,641*
Pico Technology PICOSCOPE 2204A-D2 Two analogue 10MHz 100MSa/S 8kpts ` 15,312*
Pico Technology PICOSCOPE 2205A-D2 Two analogue 25MHz 200MSa/S 16kpts ` 19,502*
Table III
Interesting Miniature, Pocket-Size Oscilloscopes
Oscium’s iMSO-204 and iMSO-204L (lightning-compatible) are mixed-signal oscilloscopes designed specifically for the iPhone,
iPad and iPod.
Highlights: Two analogue + four digital channels, sample rate: 50MSa/S, bandwidth: 5MHz, 200ns/div-10s/div
Price: US$ 399.97
Gabotronics’ Xminilab Portable is a small mixed-signal oscilloscope with an arbitrary waveform generator and protocol sniffer.
Highlights: Two analogue inputs, maximum sampling rate: 2MSa/S, analogue bandwidth: 200kHz, resolution: 8-bits, input
impedance: 1MΩ 15pF, buffer size per channel: 256, input voltage range: -14V to +20V
Price: US$ 118
LabNation’s SmartScope is a 100MSa/s open source oscilloscope for iPad, Android and PC. A must-have for every Arduino and
Raspberry Pi developer.
Highlights: 2x100MSa/s 45MHz oscilloscope, 50MSa/s arbitrary waveform generator digital-logic analyser at 100MSa/s, digital
waveform generator at 100MSa/s, 200 waveforms/second data updates
Price: US$ 229
Gabotronics’ oscilloscope watch
Highlights: Two analogue inputs, maximum sampling rate: 4MSa/s, analogue bandwidth: 200kHz, resolution: 8-bits
Price: US$ 150
RedPitaya
Highlights: DIY oscilloscope with dual channels with a sampling rate of 125MSa/s, spectrum analyser with 50MHz, 14-bit arbitrary
waveform generator, frequency response analyser with 60MHz bandwidth and a 2x2 MIMO PID programmable controller
Price: ` 46,750
Q. What aspect of power the engineers should know? has a chance of going wrong. What happens is that, the
A. Smartpower is a very important aspect. Power system alerts the driver, hence preventing the accident.
generation has both conventional and non-conventional We also have anti-skid features where the system de-
aspects. Many electronics are going into non-conventional tects a skid and implements measures to stop the vehicle
areas. Solar, for example, is a solution that ensures there from skidding, thus preventing accidents.
is no reduction in power consumption even if a part of
the panel comes under shade. When you look at power Q. Automotive infotainment seems to be a very exciting
inverters, it is a need specific to our country and not segment too; what is happening there?
something seen on the international stage. A. Automotive infotainment has migrated from what
Power-line communications is another technology that used to be a CD player to something that provides naviga-
works in the international arena but not in India. When it tion in your car, like a GPS, and connected cars.
comes to transmission of power in India, we end up using You no longer just monitor car conditions but also
power even when we are transmitting. transmit these online. This means, if you have given
Pilferage is one aspect; non-efficient way of transmis- your car to a friend, you can see what is happening apart
sion is another that lets you optimise these areas. Power- from where the car is. You can also see how the driver is
line communications and smartmetering can help identify driving. This is very helpful for people who are running
where the leakages are happening. fleets of buses or trucks. Fuel consumption could also
be monitored and optimised through this. So, connected
Q. What are some ways to reduce power consumption? vehicles are expected to be very important.
A. Motor control is an important area here. Motors
worldwide consume more than 55 per cent of power. If Q. What is exciting thing about industrial Internet?
we can just have a better, more efficient way of control- A. Industrial Internet is a beautiful thing. An indus-
ling motors, like in a fan, we could save a a lot of power. trial cycle is like a supply chain; you can let these devices
We have millions of fans being used every year. If we communicate among themselves, thus reducing the bur-
had those fans with more electronics, call it electronic den on humans. It can help enable parts requirement and
fans, we could manage those fans in a better way and production management to be done by computers, thus
easily cut down power consumption by 40 per cent. This speeding up the process and enabling humans to focus on
can be done by utilising the latest sensor, microcontroller more intellectual challenges. For instance, if a machine’s
and communication technologies. health is deteriorating, you can have the machine com-
municate to you when its health hits a pre-set low, and it
Q. What makes sensor technology so important? will let you know exactly when to interfere.
A. A sensor like an accelerometer is not something new.
But, it has been miniaturised and the volume of production Q. How is automation we previously had different from
has made it so cost-effective that you can put it almost any- what we have now?
where, including toys, wearables and even vehicles. A. Let us take an example of an air-conditioner at
home. When it is running, the thermostat is communicat-
Q. How has electronics helped improve vehicular safety? ing with the air-compressor. It checks the room tempera-
A. Proactive safety is where we try to prevent the ture and when the temperature goes down a particular
event from happening, and electronics plays an impor- limit, it stops the compressor. This was previously hap-
tant role here. Radar systems or driver-assist systems are pening within the machine, but now the Internet flattens
examples where drivers are warned according to the data everything and allows all machines to come on to the
collected by electronics systems if something somewhere same platform.
Q. From the technological perspective, what is driving the 10Gbps (over 1000 times 4G). To provide a frame of refer-
Internet of Things (IoT)? ence, a user can download an HD video in seconds with 5G,
A. There are multiple technologies driving this trend. while it took about 40 minutes to download the same video
There are laws that make these things affordable, such using the highest speed networks in good conditions.
as Moore’s Law that states that the number of transistors
per unit area on integrated circuits doubles every 18 to Q. What kind of 5G research is happening right now?
24 months. Other driving elements are Metcalfe’s Law, A. There are many universities and research institutes
Neilsen’s Law, an increasing number of systems from wired that are looking at different aspects of 5G. For instance, the
to wireless and, finally, sensors that are the sense organs 5G wireless lab in Germany, Technische Universität Dres-
of the IoT. den, led by Dr Gerhard Fettweis, is looking at 5G from the
waveform perspective and designing orthogonal frequency
Q. How are these laws and technologies driving the IoT? division multiplexing (OFDM) prototyping systems based
A. Moore’s Law has guided us over the past few dec- on NI’s RF and communication tools.
ades as we have made processors smaller, faster and more At New York University, Dr Theodore S. Rappaport is
affordable, putting a sea of transistors on a tiny chip. In the looking into millimetre waves around 28GHz, 38GHz and
coming decades, technologists estimate that processors will the 71GHz to 76GHz range.
have more power than the human brain. Then, Nokia Networks has prototyped a mmWave com-
Metcalfe’s Law has shown us that every time we add a munication link and is achieving data rates 100 times above
node to a network, the value increases by a factor of two, current 4G rates with deterministic latency. By using NI’s
encouraging us to connect everything and synthesise data. integrated hardware and software baseband platform, Nokia
Nielsen’s Law is about the bandwidth of the data high- plans to demonstrate the viability of high-frequency millime-
way, namely, the Internet, and states that a high-end user’s tre wave as an option for 5G radio access technology.
connection speed grows by 50 per cent every year.
The battery technology needs to improve continually Q. How is technology taking this to the next level?
with new compounds and enabled electronics to become A. Let us take an example from India. The traffic here
detached from the grid for longer amounts of time. seems to regulate itself. There are lanes but no one follows
With sensors, the more sensing you add to things that these. Roads keep changing from one-lane to multiple-
are connected, the more context-aware these become. lanes, and back. This happens because there is this collec-
Combined with data analytics, these can give more valu- tive intelligence of the people operating the vehicles.
able information. In contrast, in the west, we find a more centrally-
controlled traffic system. There is no flexibility because the
Q. How important will the 5G telecommunications net- lanes are of fixed size and the whole bandwidth is not used
works be to the success of the IoT? all the time; you might find one side of a four-lane highway
A. Though today’s 4G networks continue to offer faster completely empty, while the other side is jammed. In India,
data access, the road beyond LTE and LTE-A is far from clear. the whole bandwidth gets regulated.
5G networks must accommodate many more users and
devices while delivering more data to each user at any Q. Will the next generation of connected cars need 5G?
instant. Researchers envision not only a 5G network with A. When cars begin communicating with each other,
unprecedented data rates and mobile access but also an op- these would not just be sending single data; these would
portunity to redefine the network to accommodate a wealth also send waveform data, which requires a different band-
of new and diverse connected devices. width when these cars really need to talk to each other,
5G targets peak data rates per user in the range of and 5G will hopefully meet these needs.
I
n last month’s EFY Plus, we dis- The framework consists of easily- the algorithms unmodified on An-
cussed Essentia, a C++ library extendable practical audio-processing droid platform.
for audio analysis. In this issue algorithms. Owing to the educational With the exception of the stand-
we will discuss a Java based real- goal of music information retrieval ard Java runtime, there are no other
time audio analysis and processing (MIR), these algorithms are made as external dependencies. For real-time
framework known as TarsosDSP. simple and self-contained as possible applications, the operating environ-
The tool is counted as one among using a straightforward pipeline. ment is optimised to provide a low-
the few frameworks in Java ecosys- Whether you are a student trying latency audio pipeline.
to understand the concepts of MIR or
an experienced researcher working Simple processing pipeline
on music analysis, TarsosDSP is the Another notable feature of this frame-
ideal choice for you. The real-time work is its extremely simple process-
feature extraction and synthesis ca- ing pipeline. Limiting the input to a
pabilities make this software an ideal single-channel input makes the pro-
candidate for music education tools cessing chain very straightforward. A
and music video games. Try out the multichannel audio input is automati-
latest release of the software version cally down-mixed to a single channel
2.2 that comes with this month’s before it is taken to the processing
DVD for EFY Plus. pipeline.
Input samples are chopped and
Implementation in Java arranged into samples in blocks of
ecosystem variable sizes having a defined over-
The framework, written in Java lap, with the help of AudioDispatch-
ecosystem, allows clean implementa- er. The blocks are then wrapped and
Fig. 1: Pitch Shifting, an application of tion of audio-processing algorithms. encapsulated in an AudioEvent object
TarsosDSP as an example Compared to the ones written in having a pointer for timing and some
C++, this Java based auxiliary methods for computing the
Framework overview framework ensures bet- energy of the blocks.
ter portability between AudioEvent is passed through a
Name: TarsosDSP
various platforms. series of AudioProcessor objects by
Latest release: Version 2.2
The automatic AudioDispatcher. The core of the
Functionality: Real-time audio analysis and synthesis in Java
memory-management algorithms such as pitch estimation
Licence: GNU general-public licence (GPL) version 3
For more information: github.com/JorenSix/TarsosDSP
facilities are yet an- or onset detection is carried out in
other advantage imple- AudioProcessor.
SINGmaster: An Android app that uses TarsosDSP changing the speed. A resampling
algorithm with related software
From version 2.0 onwards, the framework is Android-compactable. SINGmaster is the first package is also included.
Android application developed using TarsosDSP. This smartphone application helps a user
TarsosDSP consists of two onset
to learn how to sing. There are practical exercises based on the important building blocks
of melodies. The application makes use of pitch-tracking capabilities of TarsosDSP to give detectors and several infinite im-
visual feedback, which allows the user to learn from mistakes. pulse response (IIR) filters includ-
ing low-pass, high-pass and band-
pass filters. Audio
effects like delay
and flanger effect
are also provided.
In a flanger, two
copies of the same
signal are mixed,
with one of these
slightly delayed
for not more than
20 milliseconds to
produce a swirling
Fig. 3: Visualisation of spectral peaks of a flute effect.
Another impor-
tant functionality
of the tool is audio
synthesis. We can
find sine-wave and
noise generator in
the tool. A low-
frequency oscilla-
tor is also incorpo-
Fig. 4: Screenshot of SINGmaster application rated for amplitude
control. Spectrum-
sic analysis as well as synthesis, and estimating algo-
is based on C language. Fig. 5: Constant-Q transformation with an overlay of pitch estimations
rithms based on
Create Signal Library (Sizzle) and corresponding waveform fast Fourier trans-
and SndObj are more C++ based forms and other
projects that allow users to have as SoundTouch are again less benefi- techniques are also available.
both audio analysis and synthesis in cial for beginners on account of low
real-time. readability. Lossy audio compression
Beads, JASS, JSyn and Minim are using Haar Wavelet Transform
Java based projects that allow users Main features implemented Haar Wavelet Transform (HWT) is
to have real-time audio synthesis. The tool was originally devised as a widely used in various image- and
TarsosDSP is introduced as a sin- library for pitch estimation. Therefore signal-processing applications. It
gle framework that could cater real- you will find several pitch-estimating could be effectively used in signal
time music analysis and synthesis algorithms in this framework in- compression or for edge detection
needs in Java—something that no cluding YIN, McLeod Pitch Method in images. Let us take a look at one
other competing tools provide—as (MPM), Average Magnitude Differ- such use case of HWT algorithm
per the research conducted by the ence Function (AMDF) and an esti- implemented in TarsosDSP.
developers of the tool. mator based on dynamic wavelets. HWT used for a simple Lossy
Although algorithms used in There is a time-stretch algorithm audio compression consists of the
software like jAudio and YAAFE are using which the user can alter the following steps:
more efficient due to the reuse of speed of audio without changing the First, it compresses the audio by
calculations for feature extraction, pitch. dividing it into blocks of 32 sam-
these are less readable compared to Alternatively, we also have a ples. These are then transformed
TarsosDSP. pitch-shifting algorithm that allows using HWT, and samples with the
Highly-optimised libraries such the user to change the pitch without least difference between these are
N
gspice is an open source Spice
circuit simulator with its code
based on three open source
software packages, namely, Cider1b1,
Xspice and Spice3f5. This general-
purpose mixed-signal or mixed-level
simulation tool works for both linear
and non-linear circuit analysis; it can
work with circuits containing both
active and passive components.
Successor to Spice,
Cider and Xpice
Although Spice3 is the most popular
circuit simulator, Cider provides bet-
ter simulation accuracy and Xspice
extends the code-modelling support,
Ngspice is considered to be the suc-
cessor to all three.
Generally, circuit simulators Fig. 1: Ngspice working on Fedora
are quite complex, and introducing
improvements in such software is a
tough task. However, Ngspice (the
project) tries to bring these improve-
ments by fixing bugs, refactoring
codes and creating new features in
Ngspice (the software), making it the
improved version of Spice3f5.
Want to
Invest in
Electronics
Industry?
Get latest
Updates,
Analysis
Fig. 3: Ngspice simulation output
few specifications to be
How to use Ngspice
and Industry
set. In case of bipolar
1. Using MS Explorer window (interactive mode): junction transistor (BJT),
Double-click on ngspice.exe in C:\Spice\bin Ngspice has three built-
Feedbacks on
In the Ngspice window, type the following: in models, all of which
• cd c:\spice\tests\bsim4 are based on the integral
• source comprt.cir charge model of Gummel
Government
• run and Poon; BJT reduces
• display it to Ebers-Moll model,
• plot v(1) v(2) v(3) v(8) v(9)
Policies &
which is the simplest
• write comprt2.raw
one.
• quit
Event-driven simula-
2. Using MSYS window (interactive mode):
Schemes
tion. User-defined nodes
Open an MSYS window
allow event-driven simu-
In the MSYS window, type the following codes:
lation, where users are
• export PATH=$PATH:/c/Spice/bin
allowed to specify the
• cd /c/Spice/tests/bsim4
nodes for data propaga-
• ngspice comprt.cir
tion of values other than M-SIPS
Ngspice window will open up; in that window, type:
current, voltage and digi- M-SIPS EMC
• run
tal states. However, state PMA
• display
• plot all values in these cases PMA PMA
Write the data into a raw file to the actual directory might be an arbitrary
/c/Spice/tests/bsim4 using the following command: data type. Ngspice imple- National Electronic Policy
• write comprt.raw ments these user-defined EMC
• and then type “quit” nodes in such a way that PMA
Note. MSYS is specific about the file name and directory. the data structure defines National Electronic
Also, you should have administrator rights to the directory M-SIPS
only the digital state held Policy
you are running these files from. by a strength value and a M-SIPS
3. If the circuit file your are using is in a different directory
(for example, C:\test\comprt.cir) than the interactive
Boolean logic state. PMA
mode, then the following codes can be used to call Supports multiple
analyses. Ngspice sup-
Ngspice:
ngspice C:\\test\\comprt.cir or ports multiple analyses Read
ngspice C:\\test/comprt.cir like AC/DC analysis,
transient analysis, pole- Electronics Bazaar
over other circuit simulators.
Built-in models. For every semi-
zero analysis,
analysis,
small-signal-distortion
sensitivity analysis and
or Visit
conductor device, Ngspice has a noise analysis, where most of these www.electronicsb2b.com
built-in model that only requires a are exclusively used by analogue
C
Img is a simple C++ toolkit
intended to simplify the ef-
forts of developers trying to
implement new image-processing al-
gorithms from scratch. A set of useful
classes and functions that helps users
to load/save, process and display
various types of images is provided
under a single header file CImg.h.
Computer scientists or student
community working on computer vi-
sion and image processing will find
this tool extremely useful. Even if Fig. 1: Using CImg for computation of Hough transform to detect lines in 2D images
you are a programmer, occasionally
coming across some level of image
processing, this tool will be of great CImg classes
help as there is no standard C++ The namespace CImg library encompasses all classes and methods. There are four classes
library for this purpose yet. The included in CImg library, in which only the first two have one template parameter.
package is distributed under CeCILL CImg. This class represents an image having width, height, depth and spectrum as
dimensions. A simple linear buffer stores the pixel data.
licence.
CImgList. This class represents a collection of images of varying sizes.
Motivation behind CImg CImgDisplay. This class represents a display window. We can have multiple windows
and user interactions that could be managed through class methods.
People who use image processing CImgException. When errors are encountered in the library method, CImgException
come from various scientific disci- class throws exceptions.
plines; we may find a doctor trying
to have a better understanding of a ing on different kinds of images (JPEG), 16-bit portable network
patient’s health condition with the di- and having different programming graphics (PNG), 3D object file for-
agnostic image of the patient’s heart capabilities. These designs should mat, tagged image file format (TIFF)
or a civil engineer trying to get an follow some basic rules that ensure and more.
idea of a telemetric image of a dam simplicity, genericity, usefulness, Image drawing. In order to fa-
to find out how strong it is. There extensibility, portability and freedom cilitate drawing on images, there are
could be a mathematician, biologist of use of the library. CImg library was many methods such as lines, poly-
or computer programmer making developed keeping in mind all these gons, ellipses, texts and vector fields.
use of image processing. A doctor design considerations. Usual image-processing opera-
might have a working knowledge of tors. These include filters, interpola-
programming but we cannot expect The framework tors, fast Fourier transforms (FFTs),
him to know every minute detail of A handful of functions are included histograms, colour-base conversions
C++. Also, we cannot expect all in order to write complex algorithms and non-linear blur/sharpening,
users to deal with the same kind of using minimal code. Let us take a among others.
images for their image-processing look at some of these: Arithmetic operators. Usual
requirements. Supported file formats. CImg arithmetic operators like cosine,
So, the design of a general-pur- supports a large number of input and square-root and inverse tangent are
pose image-processing tool should output file formats. These include available.
cater to the needs of people work- joint photographic experts group Expression evaluator. Synthetic
Industry News
Electronics to drive growth,
revenue and employment In Focus
T he government of India has
received proposals worth ` 650
billion for electronics manufacturing
Kazuo Ninomiya named
Nikon India MD
decided to move on from the company.
He will now play digital advisor to brands
and agencies.
in the country under modified special As managing director Hiroshi Takashina
incentive package scheme (M-SIPS). moves to take charge of Nikon’s Chinese Kiran Visweswaraiah appointed
Approval has been given to 21 elec- operations, Kazuo Ninomiya takes over as new GM of Bharat Electronics
as managing director for India. Kiran Visweswaraiah has taken
tronics manufacturing clusters worth
charge as the new general manager
` 90 billion. Rohit Sandal joins Lenovo - international marketing of Bharat
IT industry body Nasscom and as India HR head Electronics Ltd (BEL).
India Electronics and Semiconductor Chinese computer technology company
Association (IESA) have entered into Lenovo has appointed Rohit Sandal as IBM hires Stephen R. Pratt
an agreement to work together with India HR head. Sandal will be responsible for billion-dollar Watson project
the aim to push the share of electron- for handling the company’s HR strategy Former Infosys consulting head Stephen
and operations in India. R. Pratt has joined the world’s biggest
ics manufacturing and information
computer services company, International
technology (IT) to 25 per cent of the Ashok Lalla quits Infosys Business Machines (IBM), where he will
country’s GDP by 2025. After three years as global head - digital work on the company’s billion-dollar path-
India has been a global leader in marketing of Infosys, Ashok Lalla has breaking Watson project.
building and delivering software and
IT services, which contributes more
than nine per cent of the GDP but its products to the list that has not been manufacturing facilities at less than
electronics market is almost entirely updated since the treaty was signed ` 1 billion.
dominated by imports. in 1997, which, if implemented, will
Both organisations would work remove tariffs on an additional US$ 1 STPI, IESA to set up
on identifying key growth areas, fa- trillion global trade, annually. Electropreneur Park
cilitate business tieups among mem-
bers and work with the government
for setting up an incubation centre.
Currently, 203 ICT products,
including laptops, mobile devices,
network equipment and set-top boxes
S oftware Technology Parks of
India (STPI) has partnered with
India Electronics and Semiconduc-
are covered under the ITA. About 75 tor Association (IESA) to set up an
India to oppose WTO move WTO countries, including the USA, Electropreneur Park, which is aimed
to expand ITA products list China, Japan and all 27 European at supporting 50 start-ups working
Another incentive for the Indian 6th World Renewable Energy An international platform for promoting the 6th World Renewable Energy Technology
Technology Congress - 2015 global renewal energy industry Congress - 2015
solar sector is renewable energy being August 21-23, 2015 Website: www.wretc.in
Manekshaw Centre, New Delhi
included by the RBI for priority lend-
AUTOMATION 2015 Catering to industrial automation, robotics, IED Communications Ltd
ing, but with a cap of ` 150 million August 24-27, 2015 drives and controls, logistics, hydraulics and Phone: 022-22079567, 22073370
for renewable energy generators and Hall No.1 & 5, NSE–Mumbai, pneumatics, and building automation Email: jyothi@iedcommunications.com
Mumbai Website: www.iedcommunications.com/
` 1 million per borrower for residen- index.php
tial customers. However, according to Embedded Systems A conference and exhibition that caters dmg events
Technology Forum to the requirement of the electronics Email: aneesahmed@dmgeventsme.com
Mercom, impact of this policy would September 2-4, 2015-05-14 engineering community in India Website: www.estf.in
be minimal in the short-term, be- NIMHANS Convention Centre,
Bengaluru
cause the Indian solar market mostly
IFA Berlin World’s leading trade show for consumer Messe Berlin GmbH, Messedamm 22
comprises large-scale projects at the September 4-9, 2015 electronics and home appliances Phone: +49-30-3038-2217
Berlin, Germany Email: vonderropp@messe-berlin.de
moment. Website: b2b.ifa-berlin.com
Union minister for science and Gizworld Wearable Tech and Over 20 dynamic TED-style keynotes, 50 Gizworld Wearable Tech and IoT SF
IoT SF conference fast-track start-up pitches, product demos Website: gizworldconf.com/san-francisco
technology and earth sciences, Harsh October 27, 2015 and unique networking opportunities
Santa Clara Convention Centre,
Vardhan, has extended support to the Santa Clara, California, the USA
project, which is spread over 45 acres CeBIT India A digital marketplace to understand what Hannover Milano Fairs India Pvt Ltd
of land and is expected to generate October 29-31, 2015 new technology can do for a business Phone: +91-22-66875527
BIEC, Bengaluru Website: www.cebit-india.com
10,000 direct jobs, according to G.C. OSI Days 2015 Open source conference that aims to nurture EFY Enterprises Pvt Ltd
Pillai, managing director, KINFRA. November 19-20, 2015 and promote the open source ecosystem Phone: 011-26810601/2/3
Nimhans Convention & in Asia Email: info@osidays.com
Keltron, the first state electronics Exhibition Centre, Bengaluru Website: www.osidays.com
development corporation in Kerala LED Expo 2015 Country’s No. 1 exhibition on LED lighting Messe Frankfurt Trade Fairs India Pvt Ltd
that ventured into electronics manu- December 3-5, 2015 products and technologies Phone: 022-61445900
Pragati Maidan, New Delhi Website: www.theledexpo.com
facturing in 1973, will also be part of Energy Storage India International conference and exhibition on Customised Energy Solutions
this initiative. December 8-9, 2015 energy storage and microgrids in India Website: www.esiexpo.in
India Habitat Centre, New Delhi
Philips India to focus on LED WIN India
December 9-11, 2015
From hydraulics and pneumatics to electro-
mechanical transmission, automation
Hannover Milano Fairs India Pvt Ltd
Phone: 9167522998
P hilips India is demerging its light- Pragati Maidan, New Delhi components to process and factory Email: nikhil.desai@hmf-india.com
automation systems, among others Website: www.win-india.com
ing business to focus on the fast- India Electronics Week An Indian exhibition for the global electronics EFY Enterprises Pvt Ltd
growing LED lighting market in the January 11-13, 2016 industry showcasing concurrently five Phone: +91 11 40596605
Bengaluru events: Electronics For You Expo, Email: growmybiz@efy.in
country in line with its global strat- Electronics Rocks, T&M India, LED Asia and
IoT Show
iPhones to be manufactured
Snippets in Maharashtra
I
have 55 years of experience as a de- in China, and personally interviewed them.
signer of electronic products for profes- This was part of a project for India-China
sional use. I have been manufacturing Economic and Cultural Council to study the
these with the best quality possible for our growth and success of China’s SME sector
Indian and European customers. I have and identify reasons for their spectacular
P.S. Deodhar is Life also been a buyer of components and growth. During my visit, quality of Chinese
Fellow, IEEE, the USA equipment in bulk during my ten years products was the major aspect of the study.
with the government in Delhi as chairman
of Electronics Commission and managing What is quality
ET&T, central government’s trading com- In the course of almost six decades in an
pany. Quality has been my prime concern, engineering career, I found that manu-
and I have managed to imbibe quality as facturers have varied perceptions about
a work culture of the organisations I have quality of what they deliver. Most of them
worked for and its deployment in produc- relate to delivering quality that customers
tion and customer service. demand. Most, therefore think of quality as
I have had a chance to take a close something that meets or exceeds custom-
Workers in an electronics
look at China’s SME sector when, in 2008, er’s expectations. Others think that, even in
factory in Shenzhen, China I travelled to China and visited 231 SME a more limited sense, quality means ‘meet-
(Image courtesy: wikimedia.org/ companies in seven urban production hubs ing what customer will accept.’
wikipedia/commons)
several definitions for their quality also pay a low price. If the price is are important to secure quality from
goals, depending on how high up in low, quality should be expected to be China. That is why, in 2003, I set up
the sky they choose to be. At the very low, they say. a company in China to help ourselves
least, it is about meeting customers’ The problem is, Chinese SME and other friends’ world over to find
specifications. The better objective suppliers, in a vast majority, are in- the right sources and get assurance
is to give customers a product that capable of illustrating what quality that we could get quality goods.
meets their need and continued ef- standard they follow. Any reputed In order to secure on-time deliv-
forts to improve processes. Some company that cares for its quality ery of quality goods from Chinese
SME manufacturers feel that quality reputation will refuse business than suppliers, you will need to consider
needs to be good enough to ensure dilute its benchmark quality. But, three areas.
that customers come back and prod- most Chinese suppliers are willing to 1. Your supplier needs to be a
ucts do not. accommodate such customers, who genuine manufacturer. Many Chinese
Unfortunately, most SMEs in are willing to accept cheap goods. It manufacturers found on the Internet
India, China and other low-cost is common in China for manufactur- are just catalogue/website compa-
Asian countries, are still at the base ers to explain the difference for sev- nies. You can never get quality from a
level. For them, producing a quality eral pricing levels. Chinese supplier who is not properly
product means complying with rules Let me, for a moment, move away equipped to manufacture products;
imposed by a customer. With that from SMEs and talk about the con- such as, the right test and produc-
mindset, higher quality means extra cept of quality in the new business tion equipment, necessary skills and
inspectors for quality policing. They paradigm. A lot of us are not aware experience. He also should be will-
complain that it costs more money. that iPhone 4 had several problems ing to cooperate with you on regular
One Chinese SME said, “If customers and Apple was aware of those. Yet, improvements.
use statistical quality-control stand- they released that version to avoid 2. You need a local arm to work
ards based on acceptable quality delaying the launch. They knew that upstream to further reduce the risk of
level (AQL) limits, or if buyers set a they might have to replace some getting below-standard goods, instead
tolerance tighter than what is usu- iPhones for free. It was considered of just filtering these at the end of
ally considered normal for general the least-costly solution to their the process. Local check is necessary
consumer goods, they will raise the company. For them, the problem was even though quality remains the re-
price”. known but they were sure that only sponsibility of the supplier. One must
This mindset is reactive and not a minority will detect it. In fact, free go deeper and dig in the details of
proactive. The manufacturer here replacement for a few even raised the what actions the supplier has imple-
does not wish to take any initiative value of the company in the eyes of mented to fulfil the desired quality.
to improve the design and produc- the customers. They knew that prob- Even after you have identified a good
tion process but simply tighten the lems were minor and acceptable to supplier, you will want to review the
in-company inspection of quality. risk the release. quality-control plan to make sure that
I have observed that Chinese The same thing is largely true of all aspects are covered. One needs
SMEs, like in India, consider quality many software products, classically, to be explicit in highlighting quality
and price to be tightly-linked. One Microsoft’s Window versions. This requirements and expectations. Many
conscious of quality must refuse to probably happens in other markets misunderstandings will be avoided
produce low-quality products, as too because manufacturing is now during this step.
happens in Germany or Japan. I find controlled by businessmen with 3. Pre-shipment inspection is
that trader importers too focus on MBAs, rather than technologists like always good insurance. There is no
low cost. They too get lured by nice in Germany or Japan. Money has point in carrying out the inspection
samples that they see or receive from become a centre-piece, not quality. and stopping poor products just be-
China and by their low price, not Companies have now become com- fore shipment. What good it is for
realising that sample quality is not modities for corporate growth. your business if you do not get the
indicative of mass production quality, desired product at the right time? Dis-
and many, eventually, regret receiv- Getting quality goods cussing the inspection plan with the
ing products in bulk that are not up from China supplier is the best way to avoid this.
to the standard of the sample. One of the biggest barriers in China In my experience, there is no
During my SME study in China, I is language. Chinese SME suppliers shortcut to the above-mentioned
found that Chinese do not like Indian do not speak or understand English steps. Even if you are lucky enough
trader importers. There is a cultural and their business perceptions too to find a good supplier, you must fol-
disconnect. Chinese wonder how are different. I, certainly, therefore low these steps so that the process is
anyone can demand high quality and believe that pre-shipment inspections faster and more straightforward.
Market Survey:
A
utomotive electronics plays an im- cation of the automobile will be key. In
portant role in sensing, computing addition, stricter emission norms make it
and actuating the different features necessary to have more electronics in the
and functionalities of a car. “In the 1980s, vehicle,” says Prabhu Panduranga, manag-
total electronics content of a car accounted ing director, Bosch Automotive India Ltd.
Sudeshna Das is senior for around three per cent of the total vehi- Given that, one of the ways automotive
executive editor at EFY cle value; today, it is more than 20 per cent companies look to expand their market
and by 2020, it is likely to further increase share is by introducing novel features and
to 35 per cent,” says Edoardo Merli, direc- most of these features are driven by elec-
tor, marketing and application automotive tronic components, the auto-electronics seg-
product group, Greater China and South ment can continue on a positive run even if
Asia Region, STMicroelectronics, while dis- the auto sector as a whole underperforms.
cussing the growth potential of the Indian In this report, we will take a peek into
automotive electronics market. the significance of electronics in the evolu-
Automotive electronics has gained tion of automotive technology, followed by
importance on account of the growing a discussion on the capability and growth
consumer demand for performance, safety, potential of the Indian automotive electron-
comfort, convenience and entertainment ics sector.
from their vehicles. The car of the future
is expected to be equipped with even more From mechanical to electronic
advanced features that would help prevent Innovation in automotive electronics has
accidents, entertain occupants and at the grown in leaps and bounds in the last four
same time, be gentler on the environment. decades, driven primarily by advancement in
In fact, in the not-so-distant future, cars microcontroller (MCU) technology and soft-
may not require drivers at all. ware systems that control vehicle systems.
“With growing demand around safety, “Adoption of electronics in the automo-
comfort and entertainment, electronifi- tive sector began in the 1970s, with the use
2007 2014
BMW
Volkswagen
Skoda
Renault Nissan
Mercedes Benz Mercedes Benz
Ford Ford
Toyota Toyota
Honda Honda
General Motors General Motors
Foreign
Fiat Fiat
Hyundai Hyundai
Maruti Suzuki Maruti Suzuki Maruti Suzuki
Mahindra Tata Motors Tata Motors Tata Motors
Local
Hindustan Motors Mahindra Mahindra Mahindra
Premier Hindustan Motors Hindustan Motors Force Motors
Premier
1980 1990 2000 2014
tive clusters across the country (Fig. ics. In the early years of the automo- potential for the automotive electron-
1). In comparison with the 1980s, tive industry in India, manufacturers ics market.
when only a few local OEMs were would use streamlined manufactur- Consumer behaviour and de-
present, India is now a manufactur- ing processes as their competitive mand. Demand patterns of automo-
ing hub with significant presence of advantage as opposed to innovation. tive electronics in the country are
global and local OEMs (Fig. 2). Electronics features, adopted by driven by local consumers and export
“From being a low-key supplier global car makers, would typically requirements. Consumer needs typi-
providing components exclusively be given a miss by their Indian coun- cally focus around affordability, pres-
to the domestic market, the industry terparts. tige, mobility, environmental con-
has emerged as one of the key auto Process efficiency having reached science, safety, comfort and a host of
centres in Asia and is today seen its peak, the focus is now on innova- other factors.
as a significant player in the global tion or additional novel features that Affordability, for example, plays a
automotive supply chain,” says Nitin are based primarily on electronic huge role behind the miniscule share
Pai, senior vice president, TATA Elxsi. components. In fact, regional auto- of the premium car segment in India.
While specifying the opportuni- motive manufacturers and design The ultra-low-cost (ULC) segment
ties that exist for automotive elec- teams of global manufacturers pre- targeted by Tata Nano addresses the
tronics, S. Ganesh Kumar, country sent in India are increasing their fo- consumer’s need for affordability,
sales manager, Atmel R&D India Pvt cus on utilising technology to differ- which is in head-on competition with
Ltd, opines, “Indian automotive prod- entiate themselves from their peers. the motorcycle—the current domi-
uct range varies from ultra-low-cost The need for reduced production nant form of private transportation in
as the majority share up to mid-level cost, coupled with increased qual- India. The major challenge for sup-
cars. Each of these specific target ity along with customisable novel pliers in this segment is to innovate
products definitely requires some sort features, all within shorter business technologically and business-wise in
of electrification and electronic con- cycles, is driving an increased focus order to create a profitable and sus-
trols to enable highest efficiency and on the role of electronics within the tainable business model, keeping in
achieve some sort of comfort level. region’s automotive manufacturers. mind price sensitivity.
All these requirements create the Electronics content will become A recent study, conducted by a
potential for automotive electronics.” more advanced with each new team from Electronics Bazaar, among
In addition, the two-wheeler seg- generation of vehicles produced in a select group of millennial or gen
ment, which dominates the automo- India. “Implementation of properly- Y folks in the metro cities of India
tive market in India, and commercial designed electronic systems can even found that in-car electronics such
vehicle segment also show demand reduce cost, while increasing flexibil- anti-lock braking system (ABS),
for electronics. ity and reliability of a vehicle,” says smart air-conditioning, power win-
Adoption of automotive electron- Ramachandra. This suggests great dows, and head and side airbags are
the features most wanted by pro- Electronic consumption by the automotive segment
spective car buyers. The study also
suggested that fuel consumption, Power train and chassis Safety
cost-performance ratio, safety, price, Diverse electric motors Rear cameras
design and comfort are considered to Electrical (turbo) charging Lane departure warning
be the major selling points. New igniters and advanced sensors Adaptive cruise control
This is good news for the auto- Automated manual transmission Blind-spot detection
motive electronics sector, which has e-braking, e-steering, e-suspension Map-supported adaptive front lighting
been hard at work developing power Electronic stability control Tire-pressure monitoring system
train and chassis improvements Start-stop system Curve warning
Speed alert
designed to reduce fuel consump-
Collision warning/avoidance
tion and improve long-term cost-
Usage data transmission
performance ratio levels. Factors like
safety, comfort, telematics and in-car
Telematics and infotainment Comfort and convenience
infotainment are also some of the
Fixed and portable navigation devices Adaptive front lighting
current major industry themes. How- Multimedia features Steering wheel buttons
ever, at the same time, it is important Bluetooth Central/heads-up displays
to note that Indian consumers who eCall Voice-control systems
drive medium- or low-cost vehicles Voice based, haptic and human-machine Xenon headlights
are interested in having the latest interface (HMI) systems and touch LED/ambient lighting
features in their vehicles that are also Real-time traffic information Touchscreens
price sensitive in nature. Parking assistants Remote control
According to Pai, “Today, car Social media Well-being assistants
makers are competing to bring in Wi-Fi hotspot, smartphone interface
advanced features, connectivity,
Source: Frost & Sullivan Report, 2014
entertainment, advanced safety and
improved fuel efficiency to their
products to attract potential buy- tivity of the Indian market. Car safety mercial vehicles space. In India,
ers. To address this ever-increasing is set to receive a serious boost in the safety and emissions in commercial
list of consumer needs, more and country with the government plan- vehicles are a huge concern for
more technological advancements ning to make ABS, airbags and other regulatory authorities. This might
are, and will keep, happening, and safety equipment compulsory on all result in setting new mandates in this
automotive electronics will play a passenger cars sold in India. As per segment. For example, ABS is now
pivotal role in satisfying all user re- the proposal, India will have its own mandatory for commercial vehicles,
quirements. This paves the way for vehicle safety standard called India which may be followed by regula-
complex electronic systems. There- New Car Assessment Programme tions in tire pressure-monitoring
fore providing cost-competitive elec- (NCAP), which is similar to United systems (TPMSes). And eventually,
tronic solutions is a challenge and an Nations’ NCAP. all these will result in increasing the
opportunity for Indian automotive This would present a serious electronics content in commercial
companies.” challenge for ultra-low-cost and two- vehicles, too.
wheeler segments as safety features Emission reduction also poses a
Safer, smarter, greener options found typically in higher-segment major challenge for the Indian com-
According to an iSuppli Corp. report, cars are expensive. This will also, no mercial vehicle manufacturers, and
technological development in engine doubt, force automotive innovation regulations with respect to emission
management and incorporation of in yet another direction, as car mak- would be made mandatory in India
safety systems in cars are expected to ers and suppliers attempt to meet soon, which would provide an im-
be the key growth drivers for the In- emission and safety standards at a petus for growth in the Indian auto-
dian automotive electronics market in low cost. motive segment, especially in power
the next five years as it attains a com- According to Merli, increasing train and transmission.
pound annual growth rate (CAGR) of electronics content in the two-wheeler In addition to ABS, incorporation
21.8 per cent. segment is likely to fuel the demand of some advanced driver assistance
Electronics plays a key role in for optimised semiconductor solutions systems (ADASes) will also help to
improving road safety. Safety appli- suitable for cost-sensitive markets. make Indian cars safer and will defi-
cations in India are currently policy- Merli also pointed towards the nitely increase the electronics content
driven, possibly due to the cost sensi- huge scope that exists in the com- of the cars. In this regard, Pai referred
Sustainable mobility
India is now paving the way forward
Edoardo Merli, Nitin Pai, Prabhu Rajeev S. Ganesh in future mobility. Technological
director, marketing senior Panduranga, Ramachandra, Kumar, advancements are changing the
and application vice president, managing director, co-founder and country sales
automotive product TATA Elxsi Bosch Automotive vice chairman, manager, way we think about cars in terms
group, Greater India Ltd Mistral Solutions Atmel R&D India of energy efficiency, safety, comfort,
China and South Pvt Ltd
Asia Region, car-to-x communication and even
STMicroelectronics infotainment solutions, explains Ra-
machandra.
In future, security and connectiv-
Facts and figures: Indian automotive electronics market ity would need to run hand in hand.
Automotive electronics account for around five per cent of the total semiconductor For example, a user entering the car
market size of US$ 7 billion and connecting his personal device
On average, total electronics content of an Indian vehicle is around ten per cent, with an to the head unit would compromise
average value of US$ 50 per car and US$ 5 per two-wheeler vehicle security. While improved
connectivity enables the car to ac-
and infotainment ap- cess information continuously from
plications in passenger the cloud and provide enhanced ser-
cars and vehicles in In- vices to the driver and passengers, it
dia make it increasingly also becomes vulnerable to potential
challenging to manage hacking and even tampering of the
development of complex controls of the vehicle, endangering
embedded systems that its occupants. Pai suggests, enhanced
integrate software and security platforms such as secured
hardware from a large gateway modules could act as a cen-
set of suppliers. There- tral hub and a gatekeeper between
fore adoption of global- the vehicle and the outside world to
ly-established standards prevent unauthorised access.
will enable the industry The ever-increasing functional-
Fig. 3: Automotive electronics standards (Source: NASCOM) to harmonise their de- ity, applications, convenience and
velopment activities to user-friendliness of smartphones and
to systems like lane-departure warning compete effectively. tablets have influenced the automo-
system that alerts the driver if he/she Standards governing the automo- tive industry to work on integrating
veers away from lanes, drowsiness tive electronics landscape can be these devices or similar functionality
detection system, blind-spot monitor- presented as a triad of technology, into the vehicle.
ing system that enables the driver to process and organisation (Fig. 3). It Presently, these devices can be
view areas around the car that are not is acknowledged that, standards are paired to the head unit of the car and
viewable with standard mirrors and also driven by government policy engage in a whole set of functions
so on. Another area that might see and regulations (for example, legisla- like voice calls, navigation and au-
additional inclusion of semiconduc- tive and emission), keeping in mind dio/video content. “Connected cars
tors will be in body control modules future demands. of the future will seamlessly integrate
(BCMs), integrated systems for con- Both Merli and Ramachandra smartphones, wearables and sensors
trolling various body peripherals like point out that the significance of into the car, and provide users not
lighting, wipers and HVACs. standards must be taken into con- only with applications such as cloud
sideration if the Indian automotive based navigation, real-time traffic
Harmonised development industry has to cope with the increas- updates, fuel reminders, location of
through standards ing vehicle system complexity and an parked vehicles and emergency/ser-
The growing amount of electronics increase in safety-relevant functions vice assist, but also provide features
in power train, chassis, body control in embedded systems. such as active health monitoring
new products
SNR (70dBFS at FIN=170MHz), Intelligent power module
COMPONENTS low noise floor of -159dBFS/Hz and Infineon Technologies has launched
spurious-free dynamic range (SFDR) an intelligent power module (IPM),
Diode of 86dBc MIPAQ Pro, which provides an all-
Vishay Intertechnology has intro- 3. Offers two channels in a in-one solution for a wide spectrum
duced 28 new 600V and 650V FRED 10mmx10mm package of scalable and compact inverter
Pt gen 4 ultra-fast recovery diodes 4. Reduces data interface speed designs to be implemented in wind,
optimised for high-frequency convert- and external processor resources solar and industrial drives applica-
ers in power modules, motor drives, 5. Offers 20 per cent low power tions. It is a fully qualified and
UPSes, solar inverters and welding consumption tested IPM, integrating IGBTs, gate
machine inverters. Texas Instruments drivers, a heat sink, sensors, digi-
Offered as die in wafer form, the Website: www.ti.com tal control electronics and digital
devices offer ultra-low forward volt- bus communication in one robust
age and reverse recovery charge to DSP chip device.
reduce losses and increase efficiency. ON Semiconductor has announced Infineon Technologies AG
Also, their extremely soft turn-off the release of BelaSigna 300 AM with Website: www.infineon.com
behaviour minimises over voltages AfterMaster HD Audio Labs Inc. Be-
under all switching conditions. laSigna 300 AM digital signal process- Front-end power module
The devices are designed for use ing chip embedded with AfterMaster Vicor has launched high-density,
as anti-parallel diodes in combination technology is an audio solution that low-profile, integrated VIA PFM AC-
with Vishay’s Trench insulated gate enhances the listening experience on DC front-end power modules that
bipolar transistors (IGBTs). any consumer device. are capable of achieving a power
Vishay Intertechnology Inc. ON Semiconductor density of 8W/cm³ (127W/in³),
Website: www.vishay.com Website: www.onsemi.com supplying an isolated, PFC-regulated
24V or 48V SELV DC output at up to
MOSFET Controller 400W from the universal AC input
Toshiba has launched SSM6J507NU, The STNRG digital-controller fam- range of 85V to 264V with 93 per
a P-channel MOSFET, suitable for ily from STMicroelectronics helps cent peak efficiency.
load switches of USB type C termi- designers maximise the advantages Vicor Corp.
nals conforming to the USB PD speci- Website: www.vicorpower.com
fications. USB PD is a technology
that allows a power supply of up to TVS diode array
100W (20V/5A), while a load switch Littelfuse has introduced the min-
between a USB type C terminal and iature four-channel bi-directional
battery charger integrated circuit (IC) SP1015 series TVS diode array (SPA
is required to have an isolation volt- diodes), designed to protect data
age of 20V or higher. of digital power conversion, includ- lines that may experience destructive
The MOSFET is suitable for this ing high efficiency under all load electrostatic discharge (ESD). These
application as it achieves VDss= conditions, enhanced safety, rich robust diodes can safely absorb re-
-30V and a low ON resistance. diagnostics and convenient network petitive ESD strikes (±20kV contact,
Toshiba India Pvt Ltd connectivity. ±30kV air) well above the maximum
Website: www.toshiba-india.com STNRG ICs contain ST’s state level specified in the IEC61000-4-2
machine event driven (SMED) high- international standard without per-
ADC resolution pulse-width modulation formance degradation.
Texas Instruments (TI) has an- (PWM) generator, in combination Littelfuse Inc.
nounced ADS54J60, a 16-bit 1GSPS with an STM8 based supervisory Website: www.littelfuse.com
analogue-to-digital converter. core. The devices integrate 32kB EE-
Key features of ADS54J60 are: PROM, 6kB RAM, an ADC, op-amp, Companion chip
1. Allows higher frequencies with I2C port and GPIOs. The MB86R91 APIX companion
more accurate signal analysis STMicroelectronics chip enables the connection of
2. Offers more than 3dB better Website: www.st.com modern high-performance ap-
plication processors via various System on chip Display extension. SVGA output
standard interfaces, such as sin- MediaTek Helio P10 is a high-perfor- LAN. Network data sharing
gle or dual OpenLDI flat panel mance, high-value system on chip Metro Electronic Products
display links and DRGB888. The focused on the growing demand for Website: www.metroQ.in
fully-integrated high-speed APIX2 slim form factor smartphones that
transmitters, with a downlink data provide premium, flagship features. Digital clampmeter
rate of 3Gbps and an uplink rate It showcases 2GHz, true octa-core MECO-G has introduced a new
of 187.5Mbps, allow up to three 64-bit Cortex-A53 CPU and 700MHz, series of handheld
high-resolution remote displays to dual-core 64-bit Mali -T860 GPU. 3½-digit clampme-
be connected in parallel. MediaTek Inc. ters with back-light
Socionext Inc. Website: www.mediatek.com LCD display. These
Website: www.socionext.com can measure AC
Piezo switch voltages, DC volt-
Power management IC The PSE HI piezo switches from ages, AC current,
ROHM recently announced the Schurter Electronics have an ingress resistance, capaci-
development of a high-efficiency protection class rating of IP67. The tance, temperature
power-management IC optimised hermetic seal makes the switches and frequency.
ideal for use in areas that must be Additional fea-
regularly cleaned or disinfected. tures like diode test,
The switches offer a robust transistor check,
metal housing, well-suited for use continuity check, low battery dis-
in harsh environments. The impact play, data hold and auto power off
resistance according to DIN EN are also available.
50102 is IK06. Goliya Instruments Pvt Ltd
SCHURTER Electronics (India) Pvt Ltd Website: www.goliyainstruments.com
Website: www.SCHURTER.co.in
for Freescale Semiconductor’s i.MX Radio communication analyser
6SoloLite applications processor. Embedded flash memory The MT8821C radio communica-
ROHM’s BD71805MWV utilises Microchip Technology, through its tion analyser is designed for R&D
power supply technology cultivated Silicon Storage Technology (SST) of mobile devices/user equipment,
for mobile applications to optimise subsidiary, and GLOBALFOUND-
circuit configuration for the i.MX RIES, has announced SST’s 55nm
6SoloLite processor. The result is embedded SuperFlash non-volatile
significantly reduced power con- memory (NVM) on GLOBALFOUND-
sumption during both standby and RIES’ 55nm Low Power Extended
normal operation, prolonging bat- (LPx)/RF-enabled platform. The
tery life considerably. technology meets JEDEC standards
ROHM Co. Ltd and the requirements of AEC-Q100 such as smartphones, tablets and
Website: www.rohm.com grade I qualification with an ambient M2M modules. It builds on the tech-
temperature range of -40°C to 125°C nologies of its popular predecessor,
Microcontroller and endurance of 100k program/ MT8820C, and supports all technolo-
Renesas has announced the RL78/ erase cycles with more than 20 years gies, ranging from LTE-Advanced to
G1F group of multi-function mi- of data retention at 150°C. 3G/2G, with its easy-to-use meas-
crocontrollers (MCUs). Featuring Microchip Technology Inc. urement functions for efficient radio
enhanced peripheral functions and Website: www.microchip.com frequency adjustment and testing in
compatibility across the RL78/G1x one unit.
series of MCUs, the new devices T&M Anritsu India Pvt Ltd
simplify sensorless brushless DC Website: www.anritsu.com
motor (BLDC motor) control and Oscilloscope
deliver precision operation at faster The deep memory digital storage LEDs
rotational speeds with high accuracy oscilloscope from MetroQ has the
for energy-efficient home appliances following features: LED streetlight
and electric power tools. Ultra-thin. Minimum 7cm Pyrotech offers a complete range of
Renesas Electronics Corp. Super-light. 1.8kg energy-saving IP-66 certified LED
Website: www.renesas.com Storage depth. Maximum 10M super-mini streetlights with opti-
mum thermal management and which can also be controlled via the It also delivers value added fea-
highly efficient constant-current cloud. tures such as hot swapping of SIM
driver, having short-circuit and Round Solutions GmbH & Co. KG cards, remote SIM balance inquiry and
open-circuit protection in die-cast al- Website: www.roundsolutions.com
uminium housing. With these lights,
you can save up to 80 per cent pow- Fluxing and soldering system
er. The power factor is >0.9 and the The IS-T-300 from Manncorp is
housing is weather-proof. an all-in-one selective fluxing and
Pyrotech Electronics Pvt Ltd soldering system, designed to de-
Website: www.pyrotechlighting.co liver high quality recharge, automatic number transla-
and consistent, tion, configurable call minutes, SMS
SOFTWARE efficient perfor- notifications, monitoring and auto
mance. IS-T-300 provisioning for mass deployments.
PCB designer comes fully- Matrix Comsec
Allegro PCB Designer Manufactur- equipped with Website: www.matrixtelesol.com
ing Option from Cadence Design advanced features like high-precision
Systems is a comprehensive, power- drop-jet fluxing, laser-controlled Ultra-HD monitor
ful, easy-to-use toolset that makes it wave-height compensation and CAD The VP2780-4K offers an ultra-high
efficient and cost-effective for PCB data import. 3840×2160 4K UHD resolution. The
designers to streamline the develop- Manncorp SuperClear IPS display technology
ment of a release-to-manufacturing Website: www.manncorp.com provides consistent and true-to-life
package for their products. It in- images from any viewing angle. It
cludes the Design for Manufacturing Galvanic skin response system delivers the ultimate colour perfor-
(DFM) Checker, Documentation Edi- Wearables developers can now evalu- mance with 100 per cent sRGB colour
tor and Panel Editor modules. ate galvanic skin response (GSR) coverage.
Cadence Design Systems Inc. sensing with MAXREFDES73# refer- The VP2780-4K has 10-bit colour,
Website: www.cadence.com ence design from Maxim Integrated. 14-bit processing, 3D LUT and Delta
Its key advantages are: E≤2 colour accuracy, which renders
MCAD co-designer Fast time-to-market. Integrates the image on display and printout as
Altium has released a new extension DAC and ADC signal chain com- accurately as it is on camera. Each
for its flagship PCB design tool, Al- ponents, low- display is individually calibrated and
tium Designer. MCAD Co-Designer: power MCU, ex- manually adjusted for greater colour
SOLIDWORKS helps to facilitate ternal Bluetooth accuracy as part of the production
collaboration between mechanical and Android process to ensure perfect image
and electronic design teams with apps and firm- quality.
integrated design data, a managed wa re c o m p o - ViewSonic Corp.
change environment for design revi- nents to quickly Website: www.viewsonic.com
sions and lifecycle management for develop and test designs
component creation, among other High accuracy. 16-bit integrated Controller
features. analogue front-end (AFE) Serving as a cost-effective alternative
Altium Ltd Low power consumption. Operates to a PLC, the controller provides 40
Website: www.altium.com for one week on one battery charge digital inputs along
Maxim Integrated Products Inc. with 12 analogue
MISCellaneous Website: www.maximintegrated.com inputs. There are
a total of 30 relay
IoT edge node VoIP-GSM gateway outputs, along with
Round Solutions has launched its Matrix SETU VG is a compact VoIP- two SSR outputs.
wireless PingPong IoT edge node, GSM gateway with up to 4/8 GSM Analogue output
a flexible and powerful hardware and 3G SIM support. Integrated ports include two
platform for connecting field devices GSM/3G connectivity and open- PWM ports and two standard 4mA-
to the cloud. standard SIP support enables SETU 20mA output ports. The controller
The PingPong hardware platform VG gateway to easily connect with unit comprises a digitally-calibrated
offers high-speed mobile modules leading IP phone systems, software 14.5cm (5.7-inch) TFT display.
for the IoT connectivity as well as based IP-PBXs, hosted and SIP trunk- Godrej & Boyce Mfg. Ltd
numerous interfaces to the field, ing services. Website: www.godrej-iea.com
P
rakash
resented here is an Arduino duino UNO boards, one at the trans- Sandeep P
based gesture-controlled robot, mitter side as shown in Fig. 1 and the
which is controlled through an other at the receiver side as shown
accelerometer module using wireless in Fig. 2. The transmitter circuit is
radio frequency (RF) communication. attached to the hand and the receiver circuit is attached to mechanical
chassis of the robot.
TX1 ANT.2 ADXL−345 Hand gestures, as shown in Fig.
10 9 8 7 6 BOARD2 3, can control the robot using an
VS CS SDO INT1 INT2
RF TX accelerometer such as ADXL345
ADXL−345
DATA
GND
ANT
Vcc
POWER
INPUT
USB
32 module. By changing the axis of
1 2 3 4 +5V GND SCL SDA +3.3V 31
1 2 3 4 5 1 30
ADXL345, we get corresponding
DRIVER AREF
2 GND
29 changes in X-axis, Y-axis and Z-axis
3 28
TP6
4
RST ARDUINO 13
27 coordinates. These are sent to Ardui-
TP4 TP7 3.3V 12
5 26
6
5V 11
25
no Board2 and, accordingly, control
GND 10
7 24
GND 9
8 8 23
Vin Move forward
ATMEGA328
TP5 7
22
CON3 6 21 Flexion
DIGITAL
9V 9 20
A0 5
10 19
A1 4
ANALOG
11 A2 3 18
12 17 Extension
A3 2
13 TX 1 16 Move backward
A4
14 RX 0 15
A5
TP8
Left Right
Radial Ulnar
Deviation Deviation
RX1
BOARD1
ANT.1 MODULE
9 1 16 8
433MHz EN2 EN1 VCC1 VCC2
DATA
DATA
GND
ANT
32 GND
Vcc
Vcc
13 2
INPUT
31 GND
IC1 IN2
12 7
1 2 3 4 5 6 7 8 1 30 L293D IN3
DRIVER AREF 5 GND 10
2 29 IN4
GND 4 GND 15
TP3 3 28
RST 13 OUT1 OUT2 OUT4 OUT3
4 27
ARDUINO
3.3V 12
5 26 3 6 14 11
5V 11
6 25
GND 10
7 9
24
GND
TP1 8 8 23
Vin
ATMEGA328
CON1 7
22
9V 6 21
DIGITAL
9 5 20
A0
10 19
A1 4
ANALOG
11 A2 3 18
12 17
A3 2
13 16
A4 TX 1
14 15
A5 RX 0
TP2 M1 M2
TP0
M1,M2 = 6V DC GEARED MOTOR
Test Points
Test point Details
TP0, TP4 0V (GND)
TP1, TP5 9V DC
TP2, TP8 Data
TP3, TP6 5V DC
TP7 3.3V DC
A
n RC circuit is an electric gradually decreases due to decrease
circuit consisting of a resistor RC charging circuit in movement of electrons as shown
and a capacitor. This article The RC charging circuit is shown in in Fig. 3. The rate of charging is de-
describes the simple RC charging and Fig. 2. In this circuit, the resistor and scribed in terms of time constant, de-
discharging circuit with an Android capacitor are connected across the noted by τ=R×C=R1×C1. In the ap-
application as shown in Fig. 1. By battery through mechanical switch plication, Vc is capacitor voltage, Imax
using this Android application, you S1. When switch S1 is closed, current is maximum current in the capacitor
can calculate capacitor charging and from the battery passes through the and Ic is capacitor current.
discharging voltages and currents, resistor and, gradually, charges the Formulae to calculate various pa-
and capacitor charging and discharg- capacitor until the capacitor voltage rameters are given in the box.
ing times with graphical outputs. The equals battery voltage (Vc=Vs). For time t=1τ (one time constant),
program is written in Java program- At time t=0, the voltage gradu- Vc=Vs(1–e–1)=0.63Vs
ming language. Achartengine-1.1.0 ally builds up across the capacitor That is, the capacitor has been
as shown in Fig. 3 and the current charged to 63 per cent of its final val-
RC Circuit ue. After 5τ (or five times constant),
ABOUT
Calculation of various the capacitor will be fully charged.
rc circuit parameters For example,
Time constant τ=R×C If R1=R=50k-ohms, Vs=15V,
voltage resistor capacitor
Where τ = time constant, R=R1=resistor C 1 = C = 10 0 0 µ F, t i m e c o n s t a n t
15 50000 1000e-6
and C =C1= capacitor τ =50k×1000e –6=50.0 seconds as
Voltage across the charging capacitor
Vc= Vs(1–e–t/RC)
shown in Fig. 1.
capacitor charging output Where Vc=voltage across the charging capacitor, For time t=5τ, capacitor is fully
S R Vs= supply voltage, t=elapsed time charged. We need to calculate 5τ to
A Voltage across the discharging capacitor know charging time t of the capacitor.
+
Vc= Vs(e–t/RC)
BATT
B
C RC=Time constant of the charging circuit
Using the formula given in the
–
capacitor is calculated
using:
At time t=0, capaci-
Vc=5.093V and
Ic=1.0187865e–4
Voltage and current
graphs of a discharging
capacitor on Android
are shown in Figs 8 and
0 1RC 2RC 3RC 4RC 5RC time 9, respectively.
Time constant (RC)
Fig. 4: Charging capacitor output graph on Android Fig. 7: Capacitor discharging current graph Development of
Android application
A R1 plates decreases. First, you need to install Java Develop-
As shown in Fig. 7, from time ment Kit (JDK) version 1.7.0 or above
S1
B t=0, current across the capacitor on your Windows computer. We have
BATT.1
(Vs)
C1 Vc gradually decreases. In other words, tested this on Windows 8.1, 64-bit.
at t=0, the battery is disconnected. Configuration of JDK is included in
So, stored electrostatic charges tend this month’s EFY DVD accompanying
Fig. 5: Capacitor discharging circuit to freely move from the plates through EFY Plus magazine.
the resistor. Therefore voltage (poten- Next, you need to install Android
and the right-side Y axis denotes the tial difference between two plates) Studio version 1.1.0. Details for set-
capacitor voltage. X axis indicates the across the two plates decreases. In the ting up Android Studio, configuration
charging time of the capacitor or time discharging circuit, both voltage and of Software Development Kit (SDK)
constant of the capacitor. current gradually decrease. manager and Android Virtual Device
Voltage across the capacitor and have been included in the DVD.
RC discharging circuit current in the capacitor are calculated Importing the Android project. To
In the discharging circuit, mechanical using the formulae given in the box. import the Android project, click file
switch S1 is turned to position B and Time constant of the discharging tab→Import as shown in the Fig. 10.
the battery is left open as shown in capacitor is calculated using: Click Android→Existing Android
Fig. 5. During this time, the charge τ=R×C=R1×C1=50k×1000e–6 Code into Workspace as shown in
stored in the capacitor starts to dis- =50 seconds Fig. 11.
charge through resistor R1 until charge For time t=5τ (five times con- Click Browse and navigate your
in the capacitor equals zero (Q=0). stant), the capacitor is fully charged. Android project (rcckt1). Click OK as
From Fig. 6, at time t=0, Vc So, we need to calculate 5τ to know shown in Fig. 12.
gradually decreases because accumu- the discharging time of the capacitor; Program compilation. Click An-
lation of the positive charge and the time t=5(50k×1000µ)=250 seconds. droid project folder; right-click on src
negative charge freely moves away Capacitor maximum current Imax folder→select run→. Click Run as An-
from the plates of the capacitor. So, = 0.003A droid application and select your phone
the potential difference between the Voltage across the discharging from the dialog box or select the An-
efy Note
The source code of this project is
included in this month’s EFY DVD
and is also available for free down-
load at source.efymag.com
P
p Singh
resented here is an electronic door lock is shown in Fig. 1. Amar Dee
locking system in which Ar-
duino Nano plays the role of Circuit and working
the processing unit. This circuit al- Using a microcontroller (MCU) cuts schematic diagram of the electronic
lows activation of an electronic door down on external components. The door lock system is shown in Fig. 2.
lock only on entering the correct circuit comprises Arduino Nano Arduino receives parallel data
password. It uses Arduino Nano to board, transistors PN2222A (T1) and from the keypad. Arduino software
provide a keypad interface door lock BD139 (T2), a 4x4 matrix keypad constantly scans the keypad to see if
for the front door. (S1-S16), solenoid lock and a few a button is pressed. Upon receiving a
It is a keyless system where you other components. The 4x4 matrix valid code input, digital pin D4 goes
can use your own personal code keypad is connected to Arduino digi- high and fires up the solenoid lock
to enter your home with just a few tal pins D5 through D12. The keypad for five seconds. Transistor T2 is ca-
simple pushes of some buttons. The is simply an arrangement of 16 push- pable of supplying up to 1.5 ampere
keypad lock functions by entering a button switches in a 4x4 matrix form. current to the solenoid. LED1 indi-
Typically, a hex keypad will cates that the lock has been opened.
have keys for numbers 0, 1, 2, 3, 4, Entering an invalid code causes it to
5, 6, 7, 8 and 9, letters A, B, C and blink a few times. Diode D5 protects
D, and symbols * and #. The hex the circuit from any back EMF that
keypad will have eight connection might be created when the lock is
wires, through resistors R1, R2, R3, turned off.
R4 and capacitors C1, C2, C3, C4,
representing the rows and columns, Test Points
respectively. Test point Details
The matrix-encoding scheme re- TP0 0V (GND)
quires fewer output pins and, thus,
TP1 5V
Fig. 1: Prototype of the electronic door lock
fewer connections that have to be
TP2 12V
using Arduino made for the keypad to work. The
X1
230V TO 15V, 1A BOARD1
D1 − D5 = 1N4007
TRANSFORMER TP1
D13 D12
3V3 USB D11
REF D10
A0 D9
A1 D8 S1 S2 S3 S4
A2 D7 R1
A3 D6 10K
D1 D2 D3 D4 A4 D5
A5 D4 S5 S6 S7 S8
A6 D3 R2
A7 D2
10K
5V ARDUINO GND
RST RST
GND
NANO RX0
S9 S10 S11 S12
R3
ICSP
VIN TX1
1
R10 10K
R9 1K
C1 680E
220u, 35V IC1 LED1 S13 S14 S15 S16
STATUS R4
7812 D5
2 10K
T1
PN2222A
3 R8 R7 R6 R5
C2 T2 10K 10K 10K 10K
CON1 BD139 GND
0.1u TP2 TO SOLENOID LOCK
TP0
Parts List
Semiconductors:
BOARD1 - Arduino Nano board
IC1 - 7812, 12V regulator
LED1 - 5mm LED
T1 - PN2222A npn transistor
T2 - BD139 npn transistor
D1-D5 - 1N4007 rectifier diode
Resistors (all 1/4-watt, ±5% carbon):
R1-R8 - 10-kilo-ohm
R9 - 680-ohm
R10 - 1-kilo-ohm
Capacitors:
C1 - 220μF, 35V electrolytic
C2 - 0.1μF ceramic disk
Miscellaneous:
X1 - 230V AC primary to 15V,
1A secondary transformer
S1-S16 - 4×4 matrix keypad using
tactile switches
CON1, CON2 - 2-pin connector terminal
- 12V solenoid lock
Fig. 3: Actual-size PCB layout of the electronic door lock system efy Note
The source code of this project is
included in this month’s EFY DVD
and is also available for free down-
load at source.efymag.com
of the switches
R1
D5
R2 S1 through S16
ARDUINO NANO
D6
D7
R3 given in this
R4
D8
C1 PCB. Ready-
D9
D10
C2 made keypad
C3
D11
C4 interfacing
D12
with Arduino
Fig. 5: 4x4 matrix keypad is shown in
Fig. 5.
Connect transformer X1 to the PCB
at CON2 (Fig. 4). Initially, the sole-
noid lock (connected on CON1) will
be locked and the status LED (LED1)
Fig. 4: Component layout of the PCB connected on digital pin D3 of Arduino
will be off.
Software Construction and testing When the user enters the right pass-
The software code (door lock.ino) for Any Arduino-Nano- or UNO-compati- word, the solenoid lock gets unlocked
Arduino Nano is written in Arduino ble board can be used for this project. for five seconds and LED1 glows. After
programming language and compiled However, Arduino Nano is recom- five seconds, both LED1 and solenoid
using Arduino IDE. mended as it is small and compact. lock will be in the initial off state.
keypad.h header file is added to It accepts 6V DC to 20V DC external If the password is incorrect, LED1
the library for the functioning of the power supply. Use of a 230V AC will blink a few times, indicating that
keypad. This library can be download- primary to 15V, 1A secondary trans- a wrong password has been entered.
ed from http://playground.arduino.cc/ former (X1) is recommended. Here we The different voltage levels of 12V
code/Keypad#Download have used a regulated supply circuitry (TP2) and 5V (TP1) can be measured
After downloading, import this using 12V regulator IC 7812 to drive with respect to 0V (TP0), as listed in
library to Arduino IDE from Sketch-> the circuit and solenoid lock. the table.
Import Library...->Add Library option. An actual-size, single-side PCB lay-
Joy Mukherji is an electronics
The default password is *1234# that out of the electronic door lock system hobbyist and a small-business
can be modified by making changes to is shown in Fig. 3 and its component owner in Albany, New York,
the USA. His interests include
the code in the following line: layout in Fig. 4. You can also use a designing radio frequency (RF)
char PIN[4]={‘1’,’2’,’3’,’4’} readymade keypad module in place circuits
I
Nidhi Ka
magine a machine that can see Raspi through its audio jack.
and speak, and is fully portable. When the GPIO pin is set as in-
It is surprising, right? In this ar- put, it is floating and has no defined
ticle, we present a system based on voltage level. For you to be able to the text present in the image. It sup-
Raspberry Pi, or Raspi, that can see reliably detect whether the input is ports many languages. Here, we have
and speak. It takes pictures of text high or low, you need to have some used it for English alphabets.
content around its vicinity from the Before feeding the im-
webcam attached to Raspi, converts age to the OCR, it is con-
it to speech and speaks out the text WEBCAM verted to a binary image
HEADPHONE/
through a headphone or speaker con- to increase the recognition
RASPBERRY PI SPEAKER
nected to its audio jack. BOARD accuracy (to check if the
This portable device can be used PUSHBUTTON image is coloured). Image
SWITCH S1
in many applications in robotics, au- binary conversion is done
tomation, hobby projects and more. by using Imagemagick
For example, you can focus your Fig. 1: Block diagram of the See and Speak system software, which is another
webcam to a text, such as English open source tool for image
alphabets, on a signboard, followed manipulation.
by pressing a pushbutton switch 1 The output of OCR is
S1
connected to Raspi. It will capture 3.3V the text, which is stored
the text and convert it to speech and R2 AUDIO JACK in a file (speech.txt). Here,
1K RASPBERRY PI
read it out aloud to you. When you Festival software is used to
GPIO23
get bored of reading books, just click 16 convert the text to speech.
a picture of the textbook page and R1 WEBCAM Festival is an open source
make it read the same aloud to you. 10K GND text-to-speech (TTS) sys-
6
tem, which is available in
Circuit and working many languages; in this
The system uses a webcam, Raspi Fig. 2: Circuit connection to Raspi board project, English TTS system
and pushbutton switch S1 to take is used for reading the text.
pictures as shown in the block dia- simple resistive circuit so that it is
gram in Fig. 1 and the circuit diagram always connected and reads either Software installation
in Fig. 2. high or low voltage. Update and upgrade Raspi-related
The webcam (we used Logitech One of the terminals of switch software using the commands below
C270) is connected to Raspi through S1 is connected to ground (GPIO pin and reboot your Raspi:
one of its USB ports and pushbut- 6) through pull-down resistor R1 of $ sudo apt-get update
ton switch S1 to its GPIO pin 16 10-kilo-ohm. The other terminal is $ sudo apt-get upgrade
(or GPIO23) through resistor R2 connected to 3.3V of GPIO pin 1. Install Tessarat OCR system by
(1-kilo-ohm) as shown in the circuit When S1 is pressed, a high volt- issuing following command:
diagram. age is read on GPIO pin 16. When S1 $ sudo apt-get -s install tesseract-ocr
First, focus the webcam manu- is released, GPIO pin 16 is connected Install image-manipulation tool
ally towards the text. Then, to take to ground through R1, hence a low Imagemagick using the command:
a picture, press pushbutton switch voltage is read by GPIO pin 16. $ sudo apt-get install imagemagick
S1. A delay of around ten seconds is When pushbutton S1 is pressed, Install fswebcam to get pictures
provided, which helps to focus the the webcam takes a picture of the from the webcam using the com-
webcam if you accidentally disturb text (after some delay). This text mand:
the webcam and defocus it while picture is sent to an optical character $ sudo apt-get install fswebcam
pressing the button. recognition (OCR) module such as To check whether the webcam
After ten seconds, a picture is tak- Tesseract. Tesseract is an open source is installed properly, issue the com-
en and processed by Raspi to provide OCR that can be used to recognise mand:
$ fswebcam example.jpg
An image by the name example.jpg will get saved in the
home directory. If the resolution of this image is not up to
the mark, change it by using -r option in fswebcam. One
example of 1280x720 resolution capturing is shown below.
Set this according to your webcam.
$ fswebcam -r 1280x720 example.jpg
To install sound on Raspi, install alsa sound utilities us-
ing the command below:
$ sudo apt-get install alsa-utils
Edit the modules file at /etc/modules using nano editor.
$ sudo nano /etc/modules
Add the line snd_bcm2835. If snd_bcm2835 is already
present, leave the file as it is.
Then, save the file by clicking ctrl+o and exit with
ctrl+x.
Now, install mplayer audio movie player using the com-
mand:
$ sudo apt-get install mplayer
Once you have completed all the steps mentioned above,
install Festival text-to-speech software using the command:
$ sudo apt-get install festival
You may try Festival installation using the command
below in the terminal and you will hear Hello EFY in the
earphones.
$ echo “Hello EFY” | festival --tts
Once all the above software are installed, copy see.py
Python code, which is included in this month’s DVD avail-
able with Electronics For You Plus version of the magazine
in Home folder.
Run see.py by issuing the following command:
$sudo python see.py
see.py runs indefinitely to get input from the user.
Note. If the resolution of your camera is not good, OCR
performance will be poor and the speech output will also
degrade.
We have used Logitech C270 camera for testing this pro-
ject. The camera resolution by default is 720x340, which is
the maximum resolu-
tion supported by
this webcam. If the
camera is unable to
capture the text prop-
Fig. 3: Text image (example.jpg) captured by the erly, you will either
camera during testing hear distorted sounds
from the speaker or
efy Note no sound at all.
The source code of this project is The text image
included in this month’s EFY DVD (example.jpg) being
and is also available for free down- captured by this cam-
load at source.efymag.com era during testing
is shown in Fig. 3.
You can find example.jpg and speech.txt files under Home
directory.
Gurunath Reddy M. is an MS student at IIT Kharagpur
A
uto makers have been gradu- remember that, you cannot directly timer NE555 (IC1), MOSFET 60NF06
ally switching to light emit- hook up the circuit to any circuit (IRF1), 12V, 1C/O relay (RL1), DRLs
ting diode (LED) lighting for that is controlled by the CANbus and a few other components.
automotive headlamps because of its system in a vehicle. For example, if There are seven wires that come
features such as high efficiency and the parking lights of your vehicle are out of the circuit. The first connec-
long service life. In addition, from CANbus-controlled, the DRL circuit tion (DRL-B and DRL-G) you will
a safety perspective, applications of cannot be plugged to the parking- make is to the DRLs. These are the
LED-driven daylight/daytime running light circuit for DRL function. main wires that will make the bump-
lights (DRLs) for vehicles are spread- But, if the fog-light circuit is not er DRLs turn on when you start the
ing in many states. controlled by CANbus, then you can vehicle (these will light up at start).
The purpose of the circuit pre- connect the DRL circuit to it. Au- Connect DRL-B and DRL-G wires
sented here is to activate DRLs on thor’s prototype is shown in Fig. 1. from the circuit directly to the DRLs
any lighting that uses LED and/or in- at the bumper. The circuit activates
Circuit and working when it senses ignition voltage. It
Fig. 2 shows the circuit diagram of does so by getting a signal from the
the DRL controller. It is built around main wire (IGN+) and the positive
supply wire that runs
from the circuit to
Wiring Guide Table the ignition-switched
Signal Remarks +12V power line.
DRL-G Negative (0V) of daytime running light GND is main ground
DRL-B Positive (12V) of daytime running light connection, and it
IGN+ Positive (12V) supply from ignition switch must be connected
HL+ Positive (12V) supply from headlight wiring directly to the nega-
PL+ Positive (12V) supply from parking-light wiring tive battery (0V) ter-
PB+ Positive (12V) supply from parking-brake/hand-brake wiring
minal or the body of
the vehicle.
GND Common ground (0V) connection/vehicle body
Fig. 1: Author’s prototype You might have
to extend the wire, if
it does not reach the battery, by
running sufficient length of the
D1 − D4 = 1N4004 CON2 C2
DRL 100u
automotive wire from the circuit
D5,D6 = 1N4148 C3
RL1 = 12V, 1C/O RELAY
R3
25V 100n to the negative terminal of the
1K
battery. If you want the DRLs to
switch off when you turn your
7 DRL−G
6 DRL−B 7 DIS RESET 4
headlights and/or parking lights
5 IGN+ D1 RL1 IRF1 D on, connect HL+ and PL+
4 HL+ 6
3 PL+ D2 N/O
D5 D6 TH
IC1 Vcc
8 60NF06 to the existing headlight and
2 PB+
D3 LM555 parking-light wires, respectively.
1 GND VR1 2
TRI O/P 3 G
CON1 N/C 47K
Wire connection PB+ is
D4 R4 S
DRL 1
GND CV
5
100E optional; you do not have to
R2 R1 C5 connect it unless you want the
1K 1K 100n R5
C1 DRLs to work with the park-
100u C4 100K
25V LED1 LED2 10n ing brake (hand brake). The
STBY ACTIVE potmeter (VR1) can be used to
GND
adjust the brightness of DRLs as
per requirement. Note that, you
Fig. 2: Circuit diagram of the DRL controller can modify the circuit’s default
OpenSourceForU.com
Follow us on Twitter@LinuxForYou
O
ften we want to add multiple Fig. 1 shows a simple AC/DC mixer - ±9V DC power supply
IC RC4560 can
work with loads as
low as 400 ohms
and can drive audio
lines directly. With
IC NE5532 the loads
should be 600 ohms or
more. The power sup-
ply of NE5532 can go
up to ±20V and if the
load is 2-kilo-ohm or
more, the output volt-
age swing will be larg-
er than with RC4560.
Usage of IC
Fig. 2: Actual-size PCB of the mixer circuit OPA2134 is also pos-
sible and will produce
excellent results. If
TL072 or TL082 are
used in the circuit,
output loads should be
2-kilo-ohm or more.
This circuit of a simple
AC and DC mixer, fol-
lower and buffer with
ten inputs can work
with signals of 0Hz
to more than 100kHz,
with a large variety of
operational amplifiers.
The mixer does not
contain any expensive
Fig. 3: Component layout of the PCB or rare components
and will work immedi-
circuit provides the inverted and the ately after its assembly, if done prop-
non-inverted sum of all input signals. erly.
Both outputs can be used simultane-
ously or individually. The outputs Construction and testing
can drive loads as low as 400 ohms. An actual-size, single-side PCB for
The DC component of the sum the mixer circuit is shown in Fig. 2
produced by second amplifier A2 is and its component layout in Fig. 3.
passed through DC-cutting capacitor Enclose the PCB in a suitable small
C15 and is available on connector box such that connectors CON1 and
CON6. CON2 can be used to connect ten
The full power supply range of inputs. Ensure proper wiring to avoid
RC4560/NE5532 is available. This any mistake.
IC can work in the range of ±4V to Panel-mount the input and output
±18V (preferably up to ±15V). The interface, as per requirement.
quiescent current without signal is
typically less than 10mA. Petre Tzv. Petrov was a researcher and assistant
professor in Technical
We have tested the circuit on±9V University of Sofia, Bulgaria,
power supply. The circuit can also be and expert-lecturer at OFPPT
(Casablanca), Kingdom of
powered using dry batteries, two 6V Morocco. He is currently
or 12V rechargeable accumulators or working as an electronics
engineer in the private sector
well-regulated mains power supply. in Bulgaria
H
s.c. dwiv
ere is a simple low-frequency nutrients to the muscles much easier.
electronic muscle stimulator General applications of electronic
used in electrotherapy (use muscle stimulation are to stimulate
of electrical energy for medical treat- sensory and motor nerves, facili- required level.
ment). tate muscle contraction, re-educate The fixed-voltage block provides a
Electronic muscle stimulation or muscles, improve circulation and voltage of 5V to the astable multivibra-
electronic stimulation makes use of prevent/stretch adhesions. tor block.
a small voltage that aims at the mo- The astable-multivibrator block is
tor nerves in a human body to excite Circuit and working built around IC LM555 and produes
these. It basically causes contraction Fig. 1 shows block diagram of the low-frequency variable square wave.
of muscles. The muscles rest between muscle stimulator. The power-supply It provides frequency to the converter
shocks and contract again when the block has a transformer, 230V AC block, which produces the signal for
shocks occur. This regular contract- mains as input, bridge rectifier and the output block. Output from the
ing and relaxing has been used to filter. converter is given to various points on
cure various vascular and muscu- The variable-voltage block pro- the human body for stimulating the
vides variable voltage muscles.
VARIABLE CONVERTER
to the converter block. Fig. 2 shows circuit diagram of the
POWER VOLTAGE
T1 + X2 OUTPUT It is built around IC stimulator circuit. It is built around
SUPPLY IC2(LM317)
FOR LM317 and produces transformer X1 and a bridge rectifier
230V AC
MUSCLE voltages of 1.25V to 25V comprising diodes D1 through D4, fil-
50HZ ASTABLE
FIXED STIMU−
INPUT VOLTAGE MULTI− with a current of 1A. ter capacitors C1 and C2, 5V voltage
LATOR
IC1(7805)
VIBRATOR This block produces the regulator 7805 (IC1), adjustable regu-
IC3(LM555)
required power for up- lator LM317 (IC2), timer LM555 (IC3),
Fig. 1: Block diagram of the muscle stimulator ping the voltage to the transformer X2 and a few discrete
components.
X2 = 230V AC PRIMARY TO 12V AC, 1A SECONDARY TRANSFORMER IC1 produces
TP4 5V fixed voltage
F1 D5 1N4001 R1 to enable IC3, and
D1 C7
1A
1N4001
240E
0.1u IC2 produces ad-
TP1 C6
3 IC2 2
justable voltage
10u D6
LM317 VR2 35V 1N4001 which is given to
5K 12V AC
1
CON2 X2. LED1 indicates
S1 X1 D2
ON/OFF 1N4001
OUTPUT the presence of 5V
POWER
TP2
GND X2 DC.
IC1
L 18V AC
1 3
The 555 timer
CON1 7805
230V AC R3 is configured in
D3 2 2.2K
50Hz
1N4001 astable-multivibra-
N 7 DIS RESET 4
tor mode. For stim-
C2 C3 R4 6
TH 8 ulating muscles,
0.1u 0.1u 1.2K IC3 Vcc R6
TP3 low frequencies are
LM555 4.7K
D4 2
TRI O/P 3 used, ranging from
1N4001 R2 VR1 T1
470E 100K 1 5 BD139
0.7Hz to 31Hz,
GND CV
R5 which can be var-
C1 470E
X1 = 230V AC PRIMARY TO
1000u
ied using potmeter
18V AC, 1A SECONDARY C4 C5
35V LED1 VR1.
TRANSFORMER 10u 0.01u
TP0 POWER LED2 Output frequen-
16V
cy at pin 3 of the
555 timer is used
Fig. 2: Circuit diagram of the muscle stimulator to drive transistor
Test Points
Test point Details
TP0 0V (GND)
TP1 Around 25V
TP2 +5V
TP3 Variable frequency
TP4 Around 1.2V to 25V
Parts List
Semiconductors:
IC1 - 7805, 5V voltage regulator
IC2 - LM317 adjustable voltage
regulator
IC3 - LM555 timer Fig. 3: Actual-size PCB of the muscle stimulator
D1-D6 - 1N4001 rectifier diode
T1 - BD139 npn transistor
LED1, LED2 - 5mm LED
Resistors (all 1/4-watt, ±5% carbon):
R1 - 240-ohm
R2, R5 - 470-ohm
R3 - 2.2-kilo-ohm
R4 - 1.2-kilo-ohm
R6 - 4.7-kilo-ohm
VR1 - 100-kilo-ohm potmeter
VR2 - 5-kilo-ohm potmeter
Capacitors:
C1 - 1000µF, 35V electrolytic
C2, C3, C7 - 0.1µF ceramic disk
C4 - 10µF, 16V electrolytic
C5 - 0.01µF ceramic disk
C6 - 10µF, 35V electrolytic
Miscellaneous:
CON1, CON2 - 2-pin connector terminal
F1 - 1A, fuse
S1 - On/off switch Fig. 4: Component layout of the PCB
X1 - 230V AC primary to 18V AC,
1A secondary transformer
X2 - 230V AC primary to 12V AC, stimulation also depends on the age table before using the circuit. Con-
1A secondary transformer
- Probes of people. VR2 is labelled as intensity nector CON2 is used to measure the
control. By varying VR2, different pulsed output voltage from X2 using an
T1. Output at pin 3 of the 555 timer levels of intensities are obtained. oscilloscope or a general-purpose mul-
is shown by LED2. VR1 is used to change the oscillation timeter. Set the intensity knob VR2 to
The next major section in the frequency of IC3. minimum position and frequency knob
circuit generates variable DC voltage. VR1 to low. Place the probes at any two
This function is done by IC LM317 Construction and testing points on your body (not too far from
(IC2). It is an adjustable regulator An actual-size, single-side PCB of the each other). You should feel a tingling
capable of producing 1.25V to 25V. stimulator circuit is shown in Fig. 3 sensation which is produced by relaxa-
Potmeter VR2 is used to vary the and its components layout in Fig. 4. tion and contraction of the muscles.
voltage at pin 2 of IC2. After assembling the circuit on Electrotherapy can also be used
The converter is centred around the PCB, house it in a small cabinet. for improving the range of joint move-
transformer X2. Output of IC3 is a An old UPS cabinet can be used ment (example: frozen shoulder),
square-wave signal given to the base for housing the components and treating neuromuscular dysfunction,
of transistor T1 via resistor R6. Out- transformers. The cabinet can be fit- improving strength, motor control
put from IC2 is fed to the secondary ted with fuse F1, on/off switch S1, and local blood flow, tissue repair and
of transformer X2. Transistor T1 acts indicator LEDs (LED1 and LED2) enhancing micro-circulation to heal
as a switching transistor. The input and variable resistors VR1 and VR2. wounds, under proper medical super-
voltage at secondary of X2 can be Transistor T1 should be housed on vision.
varied using VR2. When IC3 oscil- small heat-sink. Panel mount the AC
K. Murali Krishna is a former
lates, the primary of X2 produces a mains socket, on/off switch, fuse and senior assistant professor at
voltage of around 70V to 90V. output interface, as per requirement. Aditya Engineering College,
Andhra Pradesh. Currently,
Different muscles stimulate at dif- Verify that voltages at the test he is working as telecom
ferent levels of voltage intensity, and points are as shown in the test points technical assistant at BSNL
N
TC thermistors are often the The dual op-amp LM358 has been R1 - 33-kilo-ohm
R2, R3 - 1-kilo-ohm
preferred choice for tempera- used here for sensing temperature VR1 - 10-kilo-ohm potmeter
ture sensing and control in variations near the sensor. At room Capacitor:
many applications, primarily because temperature, thermistor resistance is C1 - 100µF, 25V electrolytic
Miscellaneous:
of their small package sizes and at- around 10k. When the temperature
CON1 - 2-pin connector terminal
tractive price-performance ratios. increases, thermistor’s resistance CON2 - 3-pin connector
An NTC thermistor’s sensitivity to becomes low and output of IC1 at its S1 - On/off switch
NTC1 - 10-kilo-ohm NTC thermistor
temperature changes, even in small pin 1 becomes high. As a result, the RL1 - 12V, 1C/O relay
increments, enables the device to be npn transistor conducts and activates - 12V DC power supply
used in temperature-sensing/control the relay.
applications. This project for the For testing the circuit, using pot-
over-heat detector uses a 10k NTC meter VR1, set reference voltage, say, energises to activate the load con-
thermistor. 2V, at pin 3 of IC1. At normal room nected to it.
temperature, voltage at pin 2 of IC1
Circuit and working remains around 2.4V. Construction and testing
The circuit diagram of the over-heat On slightly heating NTC1, volt- An actual-size, single-side PCB for
detector is shown in Fig. 1. It is built age at pin 2 of IC1 decreases. When the over-heat detector is shown in
around a negative temperature co-ef- this voltage goes below 2V, output of Fig. 2 and its component layout in
ficient (NTC1), popular dual op-amp IC1 at pin 1 goes high and relay RL1 Fig. 3. Enclose the PCB in a suitable
small box in such a way that the
thermistor can be placed near the
heating area. Since the thermistor
R1
33K R2 is used as a sensor, better fix it at a
1K N/O
D1 spot from where it can sense the tem-
S1
1N4007
ON/OFF 2 IN1− Vcc
8 perature. Ensure proper wiring of the
POWER N/C
VR1 3 IN1+ IC1 OUT1 1 LED1 CON2 circuit to avoid any mistake.
10K RL1
C1 6
LM358 12V,1C/O Panel-mount the input and output
100u IN2− OUT2 7
RELAY interface and the on/off switch, as
25V 5 4
IN2+ GND
required.
CON1 NTC1
12V 10K T1
BC549
R3 Pradeep G. is B.Sc. (Physics)
1K and a regular contributor
to international magazines.
GND He is also a small-business
owner making school/college
Fig. 1: Circuit of the over-heat detector projects in South India
Fig. 2: Actual-size PCB of the over-heat detector Fig. 3: Component layout of the PCB
-c -o main.o ../main.cpp
V
erilator is a Verilog hardware description language Vhello.cpp > Vhello__ALLcls.cpp
(HDL) simulator that can compile synthesisable /usr/bin/perl /usr/share/verilator/bin/verilator_includer \
Verilog code into C++ or SystemC. It is designed Vhello__Syms.cpp > Vhello__ALLsup.cpp
primarily for high-performance simulations, and sup- g++ -I. -MMD -I/usr/share/verilator/include \
ports simple assertions and code-coverage analysis. It is -I/usr/share/verilator/include/vltstd -DVL_PRINTF=printf \
released under GNU LGPL/Perl artistic licence. You can -DVM_TRACE=0 -DVM_COVERAGE=0 \
install it on Fedora 20 (x86_64) using the following: -c -o Vhello__ALLsup.o Vhello__ALLsup.cpp
$ sudo yum install verilator g++ -I. -MMD -I/usr/share/verilator/include \
Consider a simple hello.v example. -I/usr/share/verilator/include/vltstd -DVL_PRINTF=printf \
module hello; -DVM_TRACE=0 -DVM_COVERAGE=0 \
-c -o Vhello__ALLcls.o Vhello__ALLcls.cpp
initial begin Archiving Vhello__ALL.a ...
$display(“Hello!”); ar r Vhello__ALL.a Vhello__ALLcls.o Vhello__ALLsup.o
$finish; ar: creating Vhello__ALL.a
end ranlib Vhello__ALL.a
g++ main.o verilated.o Vhello__ALL.a -o Vhello -lm
endmodule -lstdc++ 2>&1 | c++filt
A C++ wrapper file is written to test drive the hello You can test the hello module with the following com-
module. mand:
#include “Vhello.h” $ cd ..
#include <verilated.h> $ ./obj_dir/Vhello
int Hello!
main (int argc, char **argv, char **env) - hello.v:5: Verilog $finish
{ Verilator accepts a number of arguments as options.
Verilated::commandArgs(argc, argv); -V lists the version of the software and provides a sum-
mary of configuration and environment settings. A
Vhello* top = new Vhello; pre-processing output of the code is produced with -E,
without actually compiling or generating any code. This
while (!Verilated::gotFinish()) { top -> eval(); } is illustrated below.
$ verilator -cc hello.v -E
exit (0);
} `line 1 “hello.v” 1
You can compile the above code with Verilator and module hello;
generate required simulation files with --cc.
$ verilator --cc hello.v --exe main.cpp `line 3 “hello.v” 0
Before running main.cpp, you need to install gcc and initial begin
gcc-C++ in your system. $display(“Hello!”);
This produces obj_dir with Makefiles and C++ code. $finish;
These generated files can then be compiled using the fol- end
lowing:
$ cd obj_dir endmodule
$ make -j -f Vhello.mk Vhello `line 9 “hello.v” 2
-CFLAGS allows the user to override any C++ com-
g++ -I. -MMD -I/usr/share/verilator/include \ piler flags during the build process. For example,
-I/usr/share/verilator/include/vltstd -DVL_PRINTF=printf \ $ verilator -cc hello.v -CFLAGS -O0 --exe main.cpp
-DVM_TRACE=0 -DVM_COVERAGE=0 \ The respective flags are passed to the compiler in the
generated Makefiles. -O0 disables optimisation. The user module ha(a, b, sum, carry);
can explicitly specify the level of optimisation with -On,
where n is an integer. The highest level of optimisation input a;
is -O3. input b;
Verilator can also produce SystemC output with -sc.
SystemVerilog support also exists, and the relevant code output sum;
can be generated with -sv. output carry;
If you want to analyse the intermediate steps in the
compilation process, you can use --dump-tree: assign carry = a & b;
$ verilator --dump-tree --cc hello.v --exe main.cpp assign sum = a ^ b;
endmodule Verilated::traceEverOn(true);
An implicit warning is produced by Verilator as shown VerilatedVcdC* tfp = new VerilatedVcdC;
below:
$ verilator -cc lint.v --exe main.cpp top->trace (tfp, 99);
tfp->open (“counter.vcd”);
%Warning-IMPLICIT: lint.v:4: Signal definition not found,
creating implicitly: x top -> sum = 0;
%Warning-IMPLICIT: Use “/* verilator lint_off IMPLICIT top -> carry = 0;
*/” and lint_on around source to disable this message.
%Error: Exiting due to 1 warning(s) top -> a = 0;
%Error: Command Failed verilator_bin -cc lint.v --exe main.cpp top -> b = 0;
Lint warnings can be disabled with -Wno-lint.
$ verilator -cc lint.v --exe main.cpp -Wno-lint while (main_time < 5 && !Verilated::gotFinish()) {
$
Verilator can also produce a useful statistics file with if ((main_time % 4) == 0) {
--stats. Vhello_stats.txt file is created in objdir for hello.v top -> a = 0;
module. top -> b = 0;
$ verilator --cc hello.v --exe main.cpp --stats }
There also exists --profile-cfuncs that adds profiling
code to the generated C++ files. Tools like gprof [2] can if ((main_time % 4) == 1) {
be used on the generated output to analyse the input top -> a = 1;
Verilog code. top -> b = 0;
$ verilator -cc hello.v --exe main.cpp --profile-cfuncs }
The following is a half-adder example:
if ((main_time % 4) == 2) {
top -> a = 0;
top -> b = 1;
}
Fig. 1: Waveform
if ((main_time % 4) == 3) {
top -> a = 1; g++ -I. -MMD -I/usr/share/verilator/include \
top -> b = 1; -I/usr/share/verilator/include/vltstd -DVL_PRINTF=printf \
} -DVM_TRACE=1 -DVM_COVERAGE=0 \
-c -o verilated.o /usr/share/verilator/include/
top -> eval(); verilated.cpp
g++ -I. -MMD -I/usr/share/verilator/include \
if (tfp) tfp -> dump(main_time); -I/usr/share/verilator/include/vltstd -DVL_PRINTF=printf \
-DVM_TRACE=1 -DVM_COVERAGE=0 -c -o verilated_vcd_c.o \
main_time ++; /usr/share/verilator/include/verilated_vcd_c.cpp
} /usr/bin/perl /usr/share/verilator/bin/verilator_includer \
Vhalfadder.cpp > Vhalfadder__ALLcls.cpp
top -> final(); /usr/bin/perl /usr/share/verilator/bin/verilator_includer \
Vhalfadder__Trace.cpp Vhalfadder__Syms.cpp \
if (tfp) tfp -> close(); Vhalfadder__Trace__Slow.cpp > Vhalfadder__ALLsup.cpp
g++ -I. -MMD -I/usr/share/verilator/include \
delete top; -I/usr/share/verilator/include/vltstd -DVL_PRINTF=printf \
-DVM_TRACE=1 -DVM_COVERAGE=0 \
exit(0); -c -o Vhalfadder__ALLcls.o Vhalfadder__ALLcls.cpp
} g++ -I. -MMD -I/usr/share/verilator/include \
The while loop handles the different cases for various -I/usr/share/verilator/include/vltstd -DVL_PRINTF=printf \
combinations of the input. Steps to compile, build and test -DVM_TRACE=1 -DVM_COVERAGE=0 \
the half-adder example can be automated in a Makefile. -c -o Vhalfadder__ALLsup.o Vhalfadder__ALLsup.cpp
TARGET=halfadder Archiving Vhalfadder__ALL.a ...
ar r Vhalfadder__ALL.a Vhalfadder__ALLcls.o Vhalfadder__
all: ALLsup.o
verilator -cc $(TARGET).v --exe sim_main.cpp --trace ar: creating Vhalfadder__ALL.a
ranlib Vhalfadder__ALL.a
build: g++ main.o verilated.o verilated_vcd_c.o Vhalfadder__ALL.a \
make -j -C obj_dir -f V$(TARGET).mk V$(TARGET) -o Vhalfadder -lm -lstdc++ 2>&1 | c++filt
make[1]: Leaving directory `/home/guest/halfadder/obj_dir’
test: You can test the code with the following:
./obj_dir/V$(TARGET) $ make test
clean: ./obj_dir/Vhalfadder
rm -rf obj_dir *~ *.vcd This produces
Sources can be compiled with Verilator using make. counter.vcd file, efy Note
$ make w h i c h c a n b e The source codes of this project are
viewed in GTK- included in this month’s EFY DVD
verilator -cc halfadder.v --exe_main.cpp --trace Wave. A screenshot and are also available for free down-
The generated C++ code is then built using the fol- of the waveform is load at source.efymag.com
lowing: shown in Fig. 1.
$ make build Install GTKwave before viewing the waveform.
You may also refer to Verilator manual at www.veripool.
make -j -C obj_dir -f Vhalfadder.mk Vhalfadder org/projects/verilator/wiki/Manual-verilator
make[1]: Entering directory `/home/guest/halfadder/obj_dir’ for more options and examples.
g++ -I. -MMD -I/usr/share/verilator/include \
Shakthi Kannan is MS in information technology from
-I/usr/share/verilator/include/vltstd -DVL_PRINTF=printf \ Rochester Institute of Technology, Rochester, New York,
the USA. Currently, he is working as senior engineer
-DVM_TRACE=1 -DVM_COVERAGE=0 \
(R&D) at Manufacturing System Insights, Chennai. He is
-c -o main.o ../main.cpp a software enthusiast who blogs at shakthimaan.com
Sennheiser URBANITE
GizMo launched in India
ByTes For those who refuse to settle for anything less than the best
Price: ` 12,999 Price: ` 13,900 Price: ` 17,900 for
the 16GB variant
The prices mentioned here are from various e-commerce portals and are subject to change.
Automation & Robotics Cabinets, Enclosures & Accessories Optics & Optoelectronics Mitramax Energy Private Limited ........................ 39
Advance Technologies ...................................... 141 S K Metal Works ............................................... 141 Binay Opto Electronics Pvt Ltd ........................... 17 Precimec Products ........................................... 132
Allegro Micro ....................................................... 51 Shrey Plastic Moulders ..................................... 143 Buljin Elemec Pvt Ltd ........................................ 141
Cubix Control Systems Pvt. Ltd .......................... 19 Universal Electronic Agencies .......................... 138 GSR Infocom Pvt. Ltd. ...................................... 143 Switches & Relays
Dynalog (India) Ltd ............................................. 27 Key Operations & Electrocomponents Elcom International Pvt Ltd ............................... 134
Eita Technologies ............................................. 143 Components (Including Active & Passive) Pvt Ltd............................................................. 53 Electronics Relays (India) Pvt Ltd ..................... 136
HARI HI Ohm Electronics.................................. 134 Digi-Key Corporation ............................................ 3 Pro Solutions .................................................... 142
Indus Robotics & Automation Research Element14 India Pvt Ltd. ...................................... 1 Rockforest ........................................................ 141 Telecom Products
LWI Electronics Inc. ............................................ 23 MediaTek India Technology Pvt. Ltd.................. 131
Pvt. Ltd........................................................... 136
Minmax Electronics .......................................... 141 PCBs, Assemblies & Sub Assemblies Visha World ...................................................... 139
Microchip Technology Inc. .................................. 29
ROHM Semiconductor ........................................ 91 Mouser Electronics (Hong Kong) Ltd .................... 7 Indus Robotics & Automation
Murata Electronics India Pvt Ltd ......................... 83 Research Pvt. Ltd. ......................................... 136 Test & Measurement Equipment (Including
SECO srl ............................................................. 41
Renesas Electronics India Pvt. Ltd. .................... 75 Toradex Systems (India) Private Limited .............11 Indicators & Monitors)
Shavison Electronics Pvt. Ltd. .......................... 127
S.M Semiconductors ........................................ 143 CM Envirosystems Pvt. Ltd ................................ 77
ST Microelectronics Marketing Pvt. Ltd .............. 13
Plugs, Sockets & Connectors Dinteck .............................................................. 143
Toradex Systems (India) Private Limited .............11
Display Systems Auro Controls ...................................................... 77 FLIR Systems ..................................................... 33
Toshiba India Pvt. Ltd ......................................... 45
Electronic Assembly ......................................... 147 Elcom International Pvt Ltd ............................... 134 Keysight Technologies India Pvt. Ltd. ............... 2, 5
NI Systems (India) Pvt Ltd ................................ 149
Batteries & Power Supplies
EDA Tools (Including Designing & Drafting Aids) Reseller and Distributors Precision Mastech Enterprises
Aplab Ltd ............................................................ 16
MathWorks ......................................................... 15 Auro Controls ...................................................... 77 (Hong Kong) Ltd ............................................ 135
Arham Electronics & Electricals
Digi-Key Corporation ............................................ 3 SIGLENT Technologies Co. Ltd .......................... 79
(Nimra Products) ........................................... 141
Educational Training Kits Element14 India Pvt Ltd. ...................................... 1 Tangent Test Technologies ................................. 61
BB Battery India Co. Pvt Ltd ............................... 35
Aplab Ltd ............................................................ 71 LWI Electronics Inc. ............................................ 23 Tektronix India Pvt Ltd....................................... 150
Digital Promoters (I) Pvt Ltd ............................. 141
Perfect Electronics ............................................ 141 Mouser Electronics (Hong Kong) Ltd .................... 7
Elnova Ltd ......................................................... 143
Visha World ...................................................... 139 Trade Shows and Events
Etekniks Technologies ...................................... 143
Safety & Security Products IED Communications Ltd .................................. 138
Good Will Instrument Co. Ltd ........................... 148 MMI India Pvt. Ltd ............................................... 55
Industrial & Manufacturing Equipment Deepti Marketing Services ................................ 134
Kandhari Photo Electronics P Ltd ..................... 142 Hybrid Metals Pvt Ltd........................................ 132 NI Systems (India) Pvt Ltd ............................... 149
MathWorks.......................................................... 15 Max Technology & Co. ........................................ 37 Sensors & Transducers
National Controlling & Equipments ................... 142 Rhydo Technologies P Ltd ................................ 129 Training and Certification Institutes
NECS Power Conditioners ............................... 132 Materials (Including Chemicals & Visha World ...................................................... 139 Aplab Ltd ............................................................ 12
Perfect Systems ............................................... 143 Consumables) CADD Centre Training Services
Sakthi Accumulators Private Ltd ....................... 143 Chandsons Industries ....................................... 143 Services Private Limited ............................................... 133
SM Electronic Technologies Pvt. Ltd. ................. 25 DELTA Magnets Ltd ............................................ 71 Lubi Electronics ................................................ 136 Perfect Electronics ............................................ 141
Srishti Electronics ............................................. 142 Korea Trade-Investment Promotion Agency ....... 31
Trontek Electronics Pvt. Ltd. ............................. 130 Persang Alloy Industries Pvt Ltd ........................... 9 Solar Products Wires & Cables
Upsinverter.com ................................................ 128 Progressive Engineers ..................................... 140 MathWorks.......................................................... 15 Sagar Switch Gear ........................................... 137
February 3D Printing Coil Wound Components Handheld T&M Equipment for Field Engineers
April 3G, 4G & Beyond: Latest in Telecom Industrial Inverters & UPSes for Corporate FPGA Based Development Boards
May Virtual Electronics (on Web) Telecom & Electronics 3D Printers (Under ` 100,000)
June Printed and Flexible Electronics Educational & Training Products Wi-Fi & RF Modules
August Smarthomes PCB Industry in India: Suppliers & Manufacturers Development Boards (Microcontroller based)
October Robotics & Automation (Smart Machines) Solar Electronics Latest Tools to Manage ESD for Your LAB