Download as txt, pdf, or txt
Download as txt, pdf, or txt
You are on page 1of 7

module bai1(SW,HEX0,CLOCK_50);

input CLOCK_50;
input SW;
output [0:6] HEX0;
reg [3:0] dem = 4'b0000;
reg [25:0] tanso;
always @ (posedge CLOCK_50)
tanso <= tanso + 1'b1;
always @ (posedge tanso[25])
begin
if (SW==1 && dem==4'd9) dem=4'd0;
else if (SW==1 && dem != 4'd9) dem = dem + 1'b1;
else if (SW==0 && dem ==4'd0) dem = 4'd9;
else dem = dem - 1'b1;
end
assign HEX0 = (dem==4'd0) ? 7'b0000001:
(dem==4'd1) ? 7'b1001111:
(dem==4'd2) ? 7'b0010010:
(dem==4'd3) ? 7'b0000110:
(dem==4'd4) ? 7'b1001100:
(dem==4'd5) ? 7'b0100100:
(dem==4'd6) ? 7'b0100000:
(dem==4'd7) ? 7'b0001111:
(dem==4'd8) ? 7'b0000000:
(dem==4'd9) ? 7'b0000100: 7'b1111111;

endmodule
\\\\\\\\\\\\\\\\
module bai2(input [7:0] SW,output reg [0:6] HEX0,HEX1);
reg [4:0] donvi,chuc;
always @(*)
begin
donvi=(SW[7:4] + SW[3:0]) % 4'd10;
chuc=(SW[7:4] + SW[3:0]) / 4'd10;
case(donvi)
0: HEX0=7'b0000001;
1: HEX0=7'b1001111;
2: HEX0=7'b0010010;
3: HEX0=7'b0000110;
4: HEX0=7'b1001100;
5: HEX0=7'b0100100;
6: HEX0=7'b0100000;
7: HEX0=7'b0001111;
8: HEX0=7'b0000000;
9: HEX0=7'b0000100;
default: HEX0=7'b1111111;
endcase
case(chuc)
0: HEX1=7'b0000001;
1: HEX1=7'b1001111;
2: HEX1=7'b0010010;
3: HEX1=7'b0000110;
default: HEX1=7'b1111111;
endcase
end
endmodule
\\\\\\\\\\\\\\\\\\\\\
module bai3(input CLOCK_50, output reg [7:0] LEDG);
reg flag;
reg [25:0] tanso;
always @(posedge CLOCK_50)
tanso <= tanso + 1'b1;
always @(posedge tanso[25])
if (LEDG[7:0] == 8'b00000000)
LEDG[7:0] = 8'b10000000;
else
if (flag==0)
LEDG[7:0] <= {LEDG[0],LEDG[7:1]};
else
LEDG[7:0] <= {LEDG[6:0],LEDG[7]};
always @(posedge tanso[25])
if (LEDG[7:0]==8'b01000000)
flag = 0;
else if (LEDG[7:0]==8'b00000010)
flag = 1;
endmodule
/////////////////
module bai4(CLOCK_50,KEY,LEDR);
input CLOCK_50;
input [0:0]KEY;
output [7:0] LEDR;
reg [7:0] LEDR;
reg [25:0] tanso;
reg [3:0] flag;
reg [3:0] t;
always @(posedge CLOCK_50)
tanso <= tanso + 1'b1;
always @(posedge tanso[25])
if (KEY[0:0] == 1)
flag = 0;
else
flag <= flag + 1'b1;
always @(posedge KEY[0:0])
t = flag;
always @(posedge tanso[25])
begin
if (LEDR==0)
LEDR <= LEDR + 1'b1;
else
if (t<=1)
LEDR <= LEDR;
else if (t>=1 & t<=4)
LEDR = {LEDR[6:0],LEDR[7]};
else if (t>=4)
LEDR = {LEDR[0],LEDR[7:1]};
end
endmodule
///////////////////////
module bai5(CLOCK_50,LEDG);
input CLOCK_50;
output [7:0] LEDG;
reg [7:0] LEDG = 8'b10000001;
reg [25:0] tanso;
always @(posedge CLOCK_50)
tanso <= tanso + 1'b1;
always @(posedge tanso[25])
begin
LEDG[7:4] <= {LEDG[4],LEDG[7:5]};
LEDG[3:0] <= {LEDG[2:0],LEDG[3]};
end

//////////////////////////////
module cau7(SW,HEX0, HEX1, HEX2);
input [8:0] SW;
output [0:6] HEX0, HEX1, HEX2;
wire [3:0] donvi, chuc, tram;

assign donvi = SW%4'd10;


assign chuc = (SW/4'd10)%4'd10;
assign tram = SW/7'd100;
assign HEX0 =(donvi == 4'b0000) ? 7'b0000001:
(donvi == 4'b0001) ? 7'b1001111:
(donvi == 4'b0010) ? 7'b0010010:
(donvi == 4'b0011) ? 7'b0000110:
(donvi == 4'b0100) ? 7'b1001100:
(donvi == 4'b0101) ? 7'b0100100:
(donvi == 4'b0110) ? 7'b0100000:
(donvi == 4'b0111) ? 7'b0001111:
(donvi == 4'b1000) ? 7'b0000000:
(donvi == 4'b1001) ? 7'b0000100: 7'b1111111;
assign HEX1 =(chuc == 4'b0000) ? 7'b0000001:
(chuc == 4'b0001) ? 7'b1001111:
(chuc == 4'b0010) ? 7'b0010010:
(chuc == 4'b0011) ? 7'b0000110:
(chuc == 4'b0100) ? 7'b1001100:
(chuc == 4'b0101) ? 7'b0100100:
(chuc == 4'b0110) ? 7'b0100000:
(chuc == 4'b0111) ? 7'b0001111:
(chuc == 4'b1000) ? 7'b0000000:
(chuc== 4'b1001) ? 7'b0000100: 7'b1111111;

assign HEX2 =(tram == 4'b0000) ? 7'b0000001:


(tram == 4'b0001) ? 7'b1001111:
(tram == 4'b0010) ? 7'b0010010:
(tram == 4'b0011) ? 7'b0000110:
(tram == 4'b0100) ? 7'b1001100:
(tram == 4'b0101) ? 7'b0100100:
(tram == 4'b0110) ? 7'b0100000:
(tram == 4'b0111) ? 7'b0001111:
(tram == 4'b1000) ? 7'b0000000:
(tram== 4'b1001) ? 7'b0000100: 7'b1111111;
endmodule
endmodule

////////////////////////////
module cau6(SW,CLOCK_50,HEX0,HEX1,HEX2,HEX3,HEX4);
input CLOCK_50;
input [1:0] SW;
output [0:6] HEX0,HEX1,HEX2,HEX3,HEX4;
reg [25:0] tanso;
wire clock;
always @(posedge CLOCK_50)
tanso <= tanso + 1'b1;
assign clock = (SW==2'b00) ? tanso[25] :
(SW==2'b01) ? tanso[24] :
(SW==2'b10) ? tanso[23] : tanso[22];
assign HEX0 = (clock) ? 7'b0000001 : 7'b1111111;
assign HEX1 = (clock) ? 7'b1110001 : 7'b1111111;
assign HEX2 = (clock) ? 7'b1110001 : 7'b1111111;
assign HEX3 = (clock) ? 7'b0110000 : 7'b1111111;
assign HEX4 = (clock) ? 7'b1001000 : 7'b1111111;
endmodule

//////////////////////////////////////
module cau10(SW,HEX0,HEX1,HEX2);
input [3:0] SW;
output [0:6] HEX0, HEX1, HEX2;
reg [0:6] HEX0, HEX1, HEX2;
reg [7:0] tram,chuc,donvi;
always @(*)
begin
tram = ((SW[3:0] * SW[3:0]) / 4'd10) / 4'd10;
chuc = ((SW[3:0] * SW[3:0]) / 4'd10) % 4'd10;
donvi = (SW[3:0] * SW[3:0]) % 4'd10;
case(donvi)
0: HEX0 = 7'b0000001;
1: HEX0 = 7'b1001111;
2: HEX0 = 7'b0010010;
3: HEX0 = 7'b0000110;
4: HEX0 = 7'b1001100;
5: HEX0 = 7'b0100100;
6: HEX0 = 7'b0100000;
7: HEX0 = 7'b0001111;
8: HEX0 = 7'b0000000;
9: HEX0 = 7'b0000100;
default: HEX0 = 7'b1111111;
endcase
case(chuc)
0: HEX1 = 7'b0000001;
1: HEX1 = 7'b1001111;
2: HEX1 = 7'b0010010;
3: HEX1 = 7'b0000110;
4: HEX1 = 7'b1001100;
5: HEX1 = 7'b0100100;
6: HEX1 = 7'b0100000;
7: HEX1 = 7'b0001111;
8: HEX1 = 7'b0000000;
9: HEX1 = 7'b0000100;
default: HEX1 = 7'b1111111;
endcase
case(tram)
0: HEX2 = 7'b0000001;
1: HEX2 = 7'b1001111;
2: HEX2 = 7'b0010010;
3: HEX2 = 7'b0000110;
4: HEX2 = 7'b1001100;
5: HEX2 = 7'b0100100;
6: HEX2 = 7'b0100000;
7: HEX2 = 7'b0001111;
8: HEX2 = 7'b0000000;
9: HEX2 = 7'b0000100;
default: HEX2 = 7'b1111111;
endcase
end
endmodule
///////////////////////////////
module cau9(CLOCK_50,LEDG);
input CLOCK_50;
output [7:0] LEDG;
reg [7:0] LEDG;
reg [24:0] tanso;
reg flag;
always @(posedge CLOCK_50)
tanso <= tanso + 1'b1;
always @(posedge tanso[24])
if (flag == 0)
LEDG <= {1'b1, LEDG[7:1]};
else
LEDG <= {LEDG[6:0],1'b0};
always @(posedge tanso[24])
if (LEDG == 8'b10000000 )
flag <= 0;
else
if (LEDG == 8'b11111110)
flag = 1;
endmodule
//////////////////////////////
module cau8(CLOCK_50,HEX0,HEX1,HEX2);
input CLOCK_50;
output [0:6] HEX0,HEX1,HEX2;
reg [0:6] HEX0,HEX1,HEX2;
reg [25:0] tanso;
reg [1:0] q;
always @(posedge CLOCK_50)
tanso <= tanso + 1'b1;
always @(posedge tanso[25])
begin
q <= q + 1'b1;
if (q == 2)
begin
q <= 0;
end
case(q)
0: begin
HEX2 = 7'b1001000;
HEX1 = 7'b1001111;
HEX0 = 7'b1111111;
end
1: begin
HEX2 = 7'b1111111;
HEX1 = 7'b1001000;
HEX0 = 7'b1001111;
end
2: begin
HEX2 = 7'b1001111;
HEX1 = 7'b1111111;
HEX0 = 7'b1001000;
end
endcase
end
endmodule
.....................
(Rồi miêu tả QTG đầu tiên là cổng QTG, QTG có 5 khu. Khu 1 là .... nếu có gì đặc
sắc ở khu 1 thì nói, khu 2 là .... coa gì đặc sắc thì nói, khu 3 là ... có các bia
tiến sĩ, bn bia tiến sĩ, có cái giếng . hs với khách tham quan đến đây thường làm
gì, hs thì cầu nguyện gì đó .... khu 4 là .... thờ khổng tử với chu văn an sao á.
Khu 5 là khu chính s á. Thờ 3 ông vua có công với QTG lê thánh tông lý thánh tông
với lý nhân tông (năm sinh - năm mất) rồi có gì đặc sắc mỗi 3 ông thì ghi thêm
vào. Vài câu ngắn thôi.)

Tên: Quốc Tử giám_Đại học đầu tiên của Việt Nam_Học viện Hoàng gia của các triều
đại Việt Nam
Tọa lạc: Hà Nội
Ai xây dựng: vua Lý Nhân Tông

Cánh cổng mở ra ba con đường tiếp tục xuyên qua khu phức hợp. Quốc Tử Giám có 5
khu (sân)

Khu 1_Đại Trung Môn: Khoảng sân đầu tiên kéo dài từ Đại Trung Môn (Cổng trung đại)
đến khu vực Đại Trung. Trên đỉnh cổng có biểu tượng cá chép.

Khu 2_Khuê Văn Các: là biểu tượng của Hà Nội ngày nay, và nó có biểu tượng của sự
hòa hợp âm dương.

Khu 3: giếng Thiên Quang và Khuê Văn màu đỏ.

Khu 4_Đại Thành Môn và Đại Bái Đường: Ở trung tâm của sân thứ tư là Đại Bái
Đường (Nhà lễ)
Ngôi nhà của buổi lễ là nơi để Hoàng đế và các Nghiên cứu sinh dâng cúng cho Khổng
Tử.

Khu 5:
Tầng trên dành riêng cho ba vị hoàng đế, những người đóng góp phần lớn vào nền
tảng của ngôi đền và học viện:
Lý Thành Tông (1023-1072), người thành lập Văn Miếu vào năm 1070 (Ở giữa bàn thờ)
Lý Nhân Tông (1066-1127), người thành lập Quốc Tử Giám (Bên phải bàn thờ)
Lê Thánh Tông (1442-1497), người đã ra lệnh xây dựng các bức tượng Tiến Sĩ vào
năm 1484 (trên bàn thờ bên trái)
----------------------
Name: Temple of Literature In Hanoi_the first university of Viet Nam_Imperial
Academy_Quoc Tu Giam
Location: Ha Noi
Builder: King Lý Nhân Tông

The gate opens onto three pathways which continues through the complex. The temple
has 5 sections (or Courtyard).

The First Courtyard:The great middle gate: The first courtyard extends from Đại
Trung Môn (The Great Middle Gate) to the Đại Trung area (The Great Middle area).
The top of the gate has the carp symbol.

The Second Courtyard:The Pavilion of Constellation: a symbol of present-day Hanoi,


and it has the symbol of the yin and yang harmony.
Third Courtyard: Third Courtyard of the temple with the Thien Quang well and the
red Khue Van pavilion.

The Fourth Courtyard-The gate to great success and House of Ceremony: In the center
of the fourth courtyard is the Đại Bái Đường (House of Ceremony)
The house of ceremony is a place for Emperors and Fellows to make their offerings
to Confucius.

The Fifth Courtyard_grounds of the Imperial Academy:


The upper floor is dedicated to the three emperors who contributed mostly to the
foundation of the temple and the academy:
Ly Thanh Tong (1023–1072), who founded the temple in 1070 (In the middle of altar)
Ly Nhan Tong (1066–1127), who founded the Imperial Academy (On the right altar)
Le Thanh Tong (1442–1497), who ordered the built of doctor statues in 1484 (on the
left altar)

You might also like