4 Lapping

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 21

Contents FROM CRAFT TO SCIENCE

1. Fundamentals of Lapping • For example, lapping and polishing are very


2. Lapping of Ductile Materials critical processes in semiconductor
3. Lapping of Brittle Materials manufacturing, read or write heads, and hard
4. Polishing Technology disk preparation.
5. Chemical Mechanical Polishing and Its • Lapping has become a very important
Applications finishing technique in ceramic seal industry.

• Ref :Handbook of Lapping and Polishing by


Ioan D. Marinescu , 2007

IMPORTANCE OF THE ABRASIVE


• The behavior of an abrasive depends not only on
hardness but also on wear mode.
• Depending on whether wear progresses by attritions
wear, microfracture, or macrofracture, will
determine whether the process remains stable or
whether problems will progressively develop through
wheel blunting or wheel breakdown.
Introduction
Fundamentals • Lapping is the finest machining method that allows very high
surface qualities, form accuracies, and very close dimensional
of Lapping tolerances.
• The specific surface structure of lapped surfaces offers an
especially good basis for polishing
• Nearly all materials can be lapped, which are not subject to
plastic deformation due to their own weight or to mechanical
load by machining :
– metals, nonferrous metals, insulating materials, glass, natural
materials such as marble, granite, basalt, gemstones of all kinds,
plastics, semiconductors such as silicon, germanium, and materials like
carbon and graphite.

characteristics of lapping DEFINITION OF LAPPING AND


CLASSIFICATION OF LAPPING PROCESSES
• There are hardly any restrictions with respect to the material and
workpiece size.
• Machined surfaces have no directional machining marks. Lapped
surfaces have characteristics, which are independent of direction.
• Workpieces are carried without stress. Therefore, the costs of the
devices are very low. Changeover times are very short.
• During lapping, the machining temperatures and forces are low. Thus,
there is no heat or stress distortion in the case of lapped surfaces.
• Only simple geometries can be lapped.
• There is high grain consumption during lapping.
• Removal rates during lapping are relatively small compared with those
of machining with bound grain.
• According to DIN 8589 part 15, lapping is
defined as a cutting process with loose
abrasive grains dispersed in a paste, which is
guided on the lapping tool with nondirectional
paths
• Basically, all lapping processes can be divided
into the two main groups lapping with and
lapping without shape-transferring
counterpart.
PROCESS MECHANISMS AND
SUBSURFACE DAMAGE IN LAPPING
• different kinds of material removal can be
distinguished. Material removal by cutting,
micro-fusion processes, and material removal
by micro-deformation or by the induction of
micro-cracks are to be underlined.
MATERIAL REMOVAL AND GRAIN ENGAGEMENT
MECHANISMS IN CASE OF DUCTILE MATERIALS
• The theory of Beilby (1920) describes material • Lichtenberger : derived from this possibility of
that melts partially for a short time, flows into a permanent reengagement of the lapping
the grooves on the surface and solidifies grains in altered position and orientation that
amorphously. (small grain)
• 1940s, Bornemann postulated a rolling and a
poly-directional cutting edge wear must occur.
crushing movement behavior of lapping grains. • The lapping pressure is distributed to a higher
• In contrast, it was assumed in the 1950s and number of lapping grains so that the depth of
1960s that the lapping grains are temporarily indentation of the lapping grains decreases.
fixed in the surface of the active partners if under
load. (abrasive effect on the tool and a cutting effect This leads to improved surfaces on the
on the workpiece) workpiece.

• Bodrich and Enger: the roughness of the lapping


• Fischer: there can be a rolling motion
wheel, the effective grain height, and the roughness alongside the Beilby-layer formation and the
of the workpiece have to be set in relation with each temporary anchoring of lapping grains in the
workpiece surface. According to this idea, the
other for the engagement of a grain. Grain tips of the grains indent the surface in a
engagement takes place if the sum of the three wedge-shaped way and blast off micro
chippings.
values exceeds a certain quantity.
• Thus, all the three removal mechanisms occur
parallel to each other.
• Due to the small indentation depth of the lapping
grains in the workpiece, a chip-forming removal • Kling : a cutting effect of the lapping grains is
mechanism is being disputed by some scientists
supported by the following factors:
• Martin: was able to experimentally prove a rolling – High loads per lapping grain
grain movement during lapping on the basis of
– Soft lapping wheel material
model lapping tests on polished metal surfaces.
Based on scanning electron microscopy (SEM) – Grain shape of small compactness
images, he proved that lapped surfaces have no – Small concentration of lapping abrasive
directional marks. This led him to the hypothesis – Low viscosity of the slurry
of a pure rolling movement of the lapping grains • Opposite boundary conditions, however, benefit
between the effective surfaces. the rolling of the lapping grains.

MATERIAL REMOVAL AND GRAIN ENGAGEMENT


MECHANISMS IN CASE OF BRITTLE-HARD MATERIALS

• Grunwald and Jaksch: transfer the • In the case of these materials, the removal is
fundamentals of wear research to the lapping based on the generation, propagation, and
process. networking of microcracks, which accrue due
• they interpret the material removal process in to the stress fields induced in the material.
terms of a material abrasion provoked by • The type, size, and shape of the developing
elastic and plastic deformations, cutting crack system depend on the induced contact
processes, and cold welding in special cases. stress field.
• In the case of monocrystal materials, further important
aspects are their anisotropy and the position of the
gliding system in relation to the surface.
• The crack types and sequence of cracks depend on the
material, the environmental conditions, the indenter,
and the height of the load.
• On the basis of short-time lapping tests on polished
glass surfaces, Phillips et al. [56] proved a conformance
of the indentation morphologies, which had been
caused by the single grains of the lapping abrasive or
by Vickers indentation tests.

• Buijs and Korpel-van : on lapping of glass ,the • on lapping of ceramics: the lapping grains
between the lapping wheel and the work piece
grain engagement as follows: during the do not roll permanently but indent the workpiece
rolling motion, the grain tips are pushed into due to anchoring in the lapping wheel. After
the material, thus forming a plastic zone lapping, the surfaces have mainly slab-like break
underneath the indentation. Based on this offs and plastic scratches. After the break offs,
however, surface cracks much more;
zone, lateral cracks develop, which provoke • processes as quasistatistical: the grain gets caught
shell-like particle break offs. There is always a first in the lapping wheel, then indents the
constant number of grains engaged in the surface of the workpiece, leads there to material
working gap. break off, and leaves the lapping gap.
lapping monocrystal materials
1. the grains are transported by flow conditions in
• it is especially important that the temporary the lapping gap, which result from the relative
anchoring of grains in the lapping wheel and movement and the superimposed normal force.
the resulting scratching grain engagement are 2. the grains hit the protuberances of the lapping
avoided. wheel (and/or of the workpiece) and get caught
in them.
3. a force acting mainly tangentially to the surface
is transmitted to the grain, as a result of which
the grains scratch the surface of the workpiece
material.

INFLUENCE OF THE SPECIFICATION OF THE LAPPING


ABRASIVE ON THE GRAIN ENGAGEMENT AND ON THE
4. an increase of the depth of scratch of the radial MATERIAL REMOVAL
scratches, the resistance of the material to • The lapping abrasive is basically characterized
plastic deformation increases, leading to an by:
erection of the grain above its maximum grain 1. grain type,
diameter.
2. grain shape,
5. From a certain depth of scratch on, the material
is not capable of plastic deformation any more. 3. grain size distribution
With a growing normal grain force component, 4. its wear behavior
there is brittle crack formation with subsequent
break off.
• The danger of scratches through scratching • During the lapping process, the lapping abrasive
grain engagement grows with the use of is being worn due to grain splintering and grain
breakage.
harder and sharp-edged lapping abrasives.
• The number of the active grains is determined • the high wear of large single grains, grain
by the average grain size and the standard breakage leads to a decrease of the average grain
deviation of the grain size distribution of the diameter and the spread of the grain size
lapping abrasive. distribution of the lapping abrasive, and thus to
reduced single grain normal forces until there is a
stationary condition in the working gap

• The behavior of grain breakage is significantly • the application behavior of the graining can be
influenced by the type, size, and shape of the determined depending on:
1. its mechanical properties and
grains. 2. the grain size distribution
• The description of the wear behavior of the 3. the engagement conditions such as the
graining in the lapping process merely by number of active grains and lapping pressure
material parameters like hardness or the per grain.
elasticity module is insufficient.
subsurface damage
• The control of the lapping abrasives is
• The locally acting high forces and temperatures occurring
standardized especially for the requirements of during cutting processes affect the properties of the
the optical industry. subsurface of a workpiece.
• For the determination of the suitability for • The subsurface can be characterized by its structure,
hardness, and state of stress.
lapping and of the wear behavior of the lapping • isotropic residual compressive stress
abrasive, a machining test is compulsory, which • The depth of the affected subsurface and the boundary
determines the so-called lapping performance, hardness value depend on the size of the lapping grains as
the class of cleanness (absence of scratches on well as on the pressure.
• The residual compressive stresses determined at the surface
the surface), and the decrease of the lapping were considerably higher than those achieved by grinding
performance through grain wear. under equal conditions.

monocrystal
LAPPING PROCESS AS A REMOVAL SYSTEM
• The subsurface damage during the machining
of monocrystal materials such as silicon
deserves special attention. • the subsurface damages of the workpiece and
• All mechanically induced deviations of the the tool wear are loss parameters. Material
crystalline structure of the monocrystal removal is a useful parameter.
subsurface from the ideal crystal structure are • The removal system can be completely
considered subsurface damage. These are for described through the following parameters :
instance microcracks, dislocations, as well as
residual stresses
1. Function (machining of the material)
2. Abrasive stress (stress collective, duration and
path)
3. Structure (matching of active partners)
4. Interaction of the active partners (contact
conditions)
5. Manifestation of material removal (surface
formation and subsurface damage)
6. Parameters of material removal (subsurface-related
work results and material removal rate)

The removal system is influenced by


the following parameters:
• Tool wear (especially the wear of the lapping
abrasive)
• Surface quality (especially surface
topography)
• Subsurface quality (especially the type and
depth distribution of the damages)
LAPPING TOOLS

LAPPING WHEELS SLURRY


• The lapping wheels are characterized by their • It consists of the lapping abrasive (grain) and
macrogeometry, surface topography as well as the lapping medium (fluid or paste).
by material, its mechanical properties, and its
structure. • There is always a certain volumetric
concentration, which is often called grain
• The lapping wheels usually consist of fine-
grain cast pearlite. A homogeneous structure concentration, of the lapping abrasive in the
and uniform hardness are very important. slurry. Usually, volumetric mixing ratios of 1:2
• The hardness of the lapping wheels influences to 1:6 are used
the kind of movement of the lapping grain.
LAPPING ABRASIVES CALCULATION OF THE PATH LENGTH DISTRIBUTION
OVER THE LAPPING WHEEL RADIUS
• The lapping abrasive is defined by its material
characteristics, the shape of the grains, and its
grain size distribution,
• Grain sizes between 0.1 and 150 mm are
used; in general, grain size ranges from 5 to 40
mm
• Silicon carbide , Corundum (aluminum
oxide) ,Boron carbide ,diamond
PROCESS MODEL
Lapping of Ductile Materials
• The following are the general points that should
be followed in the lapping process to obtain the
desired finishing:
1. A lap should be softer than the part to be lapped.
2. The abrasive in a compound should be as hard as the
metal being lapped. Hard abrasives will charge or
embed in a softer metal. A nonembedding or
noncharging compound should be used for soft
metals as garnet abrasives are used for brass or
bronze. The softer the metal, the softer the abrasive.
The harder the metal, the harder the abrasive.

3. High lap speed will increase stock removal. For a 6. Laps with no serration or grooves are preferred
rotary lap, a speed of approximately 275 rpm is for cylindrical lapping.
recommended. If lap pressure is too high it will 7. Abrasives when mixed into an oily paste or
score the part. greasy vehicle will give better results than just a
4. Increase of pressure of part against the lap will mixture of fluid oils and abrasives.
increase the speed of cut. 8. Tungsten carbide is best lapped with diamond
compounds. Norbide or boron carbide abrasive will
5. Serrated or grooved laps are best for flat
slowly abrade the metal, but the finish is not at
surfaces with large areas, and also for flat areas all equal to that of the finish obtained by the
with holes in the surface. diamond compounds.
9. A gray or frost-like surface may be as smooth 12. A soft lap will cut faster than a hard lap. It will
and accurate as a bright finish. A bright or wear longer and give a brighter surface. A hard
polished surface does not indicate that it is lap cuts slowly, wears faster, and gives a dull
smooth, but a smooth surface may be bright or finish, but its accuracy of lapping is greater.
polished.
10. A polished surface is harder to produce than a 13. Final finishing should be done only with a
gray matte finish and will show scratches more charged lap lubricated with thin oil, naphtha,
readily. gasoline, spindle, etc.
11. It is more difficult to lap soft metals than hard 14. Thin workpieces can be lapped parallel but not
metals. necessarily flat.

15. Final finishes are best obtained with no loose abrasive on the 19. An abrasive particle will produce a scratch one-half of its size.
plate. Hence, the embedded abrasive granules and a very Thus, a 10 mm sized particle will produce a scratch of 5 mm.
thin lubricant are used in the surface of the plate to get the
final finish. 20. Brightness does not indicate flatness. A gray or matte finish
16. When soft metal parts such as brass or bronze running seals can be just as smooth and accurate as a bright finish.
are lapped, a nonembedding abrasive should be used. 21. Fine abrasive grit sizes do not mean fine finishes. Abrasives
17. Different kinds of abrasives or grit sizes should not be used at can be very fine. The abrasive should just be coarse enough
the same time on the same plate. It is recommended to use to abrade or remove the desired amount of stock or metal
different plates for roughing and finishing operations. that causes the abrasive granules to break down into inert
18. Diamond compounds should be used for lapping tungsten sized particles. These fine particles produce the desired
carbide or other metals when the Rockwell C hardness finish.
exceeds 64.
22. For measuring flat lapped surfaces a polish is necessary and an 26. The compounds having a pasty base or vehicle create an
optical flat is used. important film. The crushed abrasive granules in this film
23. To obtain a reading with an optical flat on a flat workpiece the create a protective medium between the lap and part.
surface must have a reflective finish and be flat within 5x10-5 in. 27. For lapping various grades of aluminum metal, garnet and
24. Every abrasive has a different finishing quality as to brightness or silicon carbide compounds are recommended. Linde
reflective ability. Silicon carbide abrasive, no matter how it is used, powders are used to create a high polish or mirror finish.
will only produce a gray, frosted, or matte finish.
25. A mixture of just oil and abrasive is not a good lapping medium. 28. For lapping hardened steels, stainless steels, chrome plate,
The abrasive granules should be held in a film on the plate that etc., which have Rockwell C hardness of 55–63, aluminum
resists the movement or rolling of abrasive granules. This oxide abrasive compounds are recommended. Aluminum
resistance causes the cutting edges of the abrasive to abrade or oxide abrasives are also recommended for beryllium and
remove the metal. ceramics. It is available in all standard sizes.

LAP PLATE
29. The corundum abrasive is well suited for softer steels.
• The most widely used types of lapping plates in
30. Carbon seals should not be lapped with loose abrasive on a the industry are the following:
plate. The lapping plate should be a bonded abrasive disk – Iron lap plate
using a thin oil lubricant. – Copper plate
31. Surface finish is a function of abrasive grit size, part material, – Ceramic plate
and part hardness. Coarse abrasives produce higher rms – Tin or lead plate
finishes than finer abrasives. Harder materials exhibit lower – Tin plate
rms finishes from a given abrasive than softer materials. • Square, spiral, concentric, and radial grooved lap
plates are available and these lap plate sizes are
in the range of 12–42 in.
ABRASIVES
• Diamond
• Cubic boron nitride, Borazon TM CBN
• Norbide abrasive : (boron carbide)
• Silicon carbide
• Aluminum oxide
• Fused alumina
• Corundum:
• Garnet
• Unfused alumina (hydrate–calcined)

Lapping of Brittle Materials


• The following are the most significant areas
the lapping optimization research was focused
on:
– Surface quality
.

– Dimensional and form accuracy of the part


.

(flatness in the case of flat parts and sphericity in


the case of round parts)
– Material-removal rate (MRR)
.

– Behavior of different types of diamond slurries


.
CHARACTERISTICS OF THE LAPPING PROCESS
• In accordance with their chemical composition, 1. The rate of material removal is low
the technical ceramic materials can be classified 2. The lapping process does not generate significant
into several important groups: temperatures
3. An important advantage is the ease of workholding
1. Oxide ceramics
2. Silicate ceramics
4. Since there is no or very low stress induced, little
distortion occurs compared with conventional
3. Nonoxide ceramics machining
• Grain size has a major influence on the strength and 5. The general shape of the parts worked by lapping is
properties of ceramics. The finer the grain size, the mainly limited to flat, cylindrical, and spherical
higher the strength and toughness of ceramic surfaces.
materials.

6. The accuracy of form produced by lapping 7. Lapping may be successfully applied to brittle
materials and fragile parts because a relative
can be very good with appropriate
uniform pressure is exerted on the workpiece
techniques, especially for flat surfaces:
8. Sizes and dimensions can be held to very close
– Flatness to less than one light band (He)
tolerances. Overall size can be maintained within
0.0000116"/0.3 µm
0.5 µm
– Roughness of less than 1 µin. Ra/0.025 µm Ra
9. Very good quality and excellent repeatability of
– Size control to less than 0.0001"/2.5 µm
surface finish on all types of materials.
– Parallelism within 0.00005"/1.3 µm
10. The lapping operation produces a gray mat finish
NONTRADITIONAL LAPPING PROCESSES
1. VIBRATION LAPPING:
– Low-frequency vibration
– Ultrasonic Vibration
2. ELID-LAP GRINDING

• This grinding is a constant pressure grinding


technique using rigid metallic bond
diamond/CBN wheels with the assistance of
special pulse electrolytic inprocess dressing.

You might also like