Download as pdf or txt
Download as pdf or txt
You are on page 1of 591

‫ﺑﻪ ﻧﺎم ﺧﺪا‬

‫ﺗﺌﻮري و ﺗﻜﻨﻮﻟﻮژي ﺳﺎﺧﺖ ﻗﻄﻌﺎت ﻧﻴﻤﻪﻫﺎدي‬

‫ﻣﺪرس‪:‬‬
‫اﺳﺘﺎد ﻓﺮاﻣﺮز ﺣﺴﻴﻦﺑﺎﺑﺎﻳﻲ‬

‫ﺟﻤﻊ آوري و ﺗﻨﻈﻴﻢ‪:‬‬


‫ﻋﻠﻲ اﺻﻐﺮ ﮔﺮﺟﻲ‬
‫اﻣﻴﺮ ﻋﻠﻲ اﻛﺒﺮي‬

‫زﻣﺴﺘﺎن ‪1389‬‬
‫ﻓﻬﺮﺳﺖ‪:‬‬

‫ﻓﺼﻞ ‪ - 1‬ﺳﺎﺧﺘﺎر ﺟﺎﻣﺪات‪1 ............................................................................... :‬‬


‫‪ - 1- 1‬ﻣﻘﺪﻣﻪ ‪1 .......................................................................................................‬‬
‫‪ - 2- 1‬ﺗﻌﺮﻳﻒ ﺟﺎﻣﺪ ‪17 ..............................................................................................‬‬
‫‪ - 3- 1‬ﺑﺮرﺳ ﻲ ﺳﺎﺧﺘﺎر ﺑﻠﻮر‪27 ................................................... (Srructure of Crystal) :‬‬
‫‪ - 4- 1‬ﺑﺮرﺳ ﻲ اﻧﻮاع ﺗﻘﺎرن‪31 ................................................... ( Symmetry Elements ) :‬‬
‫‪ -5- 1‬ﻧﺎﻛﺎﻣﻠﻲ ﻫﺎ )‪52 .......................................................................... :(imperfection‬‬

‫ﻓﺼﻞ ‪ - 2‬ﺳﻴﻠﻴﻜﻮن از ﻣﻌﺪن ﺗﺎ ﺻﻨﻌﺖ اﻟﻜﺘﺮوﻧﻴﻚ ‪62 .................................................‬‬


‫‪ - 1- 2‬ﻣﻘﺪﻣﻪ ‪62 ......................................................................................................‬‬
‫‪ - 2- 2‬ﻣﺎده ﺧﺎم ﻣﻌﺪﻧﻲ ‪62 ........................................................................................‬‬
‫‪ - 3- 2‬اﺳﺘﺨﺮاج ‪63 ............................................................................................... Si‬‬
‫‪ - 4- 2‬ﺳﺎﺧﺖ آﻟﻴﺎژ ﻓﺮو ﺳﻴﻠﻴﻜﻮن ‪64 ..........................................................................‬‬
‫‪ - 5- 2‬ﺷﺴﺘﺸﻮ ‪65 ...................................................................................................‬‬
‫‪ - 6- 2‬روش ‪66 .................................................................................. Zone Refining‬‬
‫‪ - 7- 2‬روش اﺳﺘﻔﺎده از ﻣﺎﻳﻌﺎت ﺳﻴﻠﻴﻦ ‪70 .....................................................................‬‬

‫ﻓﺼﻞ ‪ - 3‬رﺷﺪ ﺑﻠﻮر ‪73..................................................................................... :‬‬


‫‪ - 1- 3‬ﻣﻘﺪﻣﻪ ‪73 ......................................................................................................‬‬
‫‪ - 2- 3‬ﻛﻼسﻫﺎي رﺷﺪ ﺑﻠﻮر ‪74 ...................................................................................‬‬

‫ﻓﺼﻞ ‪ - 4‬آﻣﺎده ﺳﺎزي وﻳﻔﺮ ‪84 ............................................................................‬‬


‫‪ - 1- 4‬ﻣﻘﺪﻣﻪ ‪84 ......................................................................................................‬‬
‫‪ - 2- 4‬ﻧﺎﻛﺎﻣﻠﻲﻫﺎي ﻛﺮﻳﺴﺘﺎل ‪85 .................................................................................‬‬
‫‪ - 3- 4‬ﻣﺮاﺣﻞ آﻣﺎده ﺳﺎزي وﻳﻔﺮ ‪88 .............................................................................‬‬
‫‪ - 4- 4‬ارزﻳﺎﺑﻲ وﻳﻔﺮ ‪106..............................................................................................‬‬
‫‪ - 5- 4‬ﺗﻤﻴﺰﻛﺎري ﻧﻬﺎﻳﻲ ‪110 ........................................................................................‬‬
‫‪ - 6- 4‬ﺑﺴﺘﻪ ﺑﻨﺪ ي ‪113 ..............................................................................................‬‬

‫ﻓﺼﻞ ‪ - 5‬اﻛﺴﻴﺪاﺳﻴﻮن ‪117 ................................................................................‬‬


‫‪ - 1- 5‬ﻣﻘﺪﻣﻪ ‪117 ...................................................................................................‬‬
‫‪ - 2- 5‬وﻳﮋﮔﻲﻫﺎي ‪117 ....................................................................................... SiO2‬‬
‫‪ - 3- 5‬ﻛﺎرﺑﺮدﻫﺎي ‪119......................................................................................... SiO2‬‬
‫‪ - 4- 5‬ﻣﻜﺎﻧﻴﺰم اﻛﺴﻴﺪاﺳﻴﻮن ‪123 ...............................................................................‬‬
‫‪ - 5- 5‬ﻧﺮخ رﺷﺪ اﻛﺴﻴﺪ ‪124 .......................................................................................‬‬
‫‪ - 6- 5‬روﺷﻬﺎي اﻛﺴﻴﺪاﺳﻴﻮن ‪126 ...............................................................................‬‬
‫‪ - 7- 5‬ﻋﻮاﻣﻞ ﻣﺆﺛﺮ ﺑﺮ اﻛﺴﻴﺪاﺳﻴﻮن ‪144 .......................................................................‬‬
‫‪ - 8- 5‬ﺗﺴﺖ ‪146 ............................................................................................... SiO2‬‬

‫ﻓﺼﻞ ‪ - 6‬زداﻳﺶ )‪152........................................................................... (Etching‬‬


‫‪ - 1- 6‬ﻣﻘﺪﻣﻪ ‪152 ...................................................................................................‬‬
‫‪ - 2- 6‬ﻋﻮاﻣﻞ ﻣﻮﺛﺮ ﺑﺮ زداﻳﺶ ‪154................................................................................‬‬
‫‪ - 3- 6‬ﻧﻮاﻗﺺ ﻣﻮﺟﻮد در ﭘﺮوﺳﻪ زداﻳﺶ ‪160...................................................................‬‬
‫‪ - 4- 6‬روﺷﻬﺎي ﻣﺨﺘﻠﻒ ﭘﺮوﺳﻪ زداﻳﺶ ‪164 ...................................................................‬‬
‫‪ - 5- 6‬زداﻳﺶ ﻣﺮﻃﻮب ‪165 .........................................................................................‬‬
‫‪ - 6- 6‬زداﻳﺶ ﻣﻮاد ﺑﺎ روش زداﻳﺶ ﻣﺮﻃﻮب ‪169 .............................................................‬‬
‫‪ - 7- 6‬زداﻳﺶ ﺧﺸﻚ ‪179 ..........................................................................................‬‬
‫‪ - 8- 6‬ﺧﻼﺻﻪ ‪220 ....................................................................................................‬‬

‫ﻓﺼﻞ ‪ - 7‬ﻓﺮآﻳﻨﺪ ﻧﻔﻮذ ‪223 .................................................................................‬‬


‫‪ - 1- 7‬ﻣﻘﺪﻣﻪ ‪223 ....................................................................................................‬‬
‫‪ - 2- 7‬اﻫﺪاف ﻧﻔﻮذ در ﻓﻨﺎوري ﺳﺎﺧﺖ ‪224 .................................................................. :‬‬
‫‪ - 3- 7‬ﻗﻮاﻧﻴﻦ ﻓﻴﻚ ‪225 .......................................................................................... :‬‬
‫‪ - 4- 7‬ﻣﻜﺎﻧﻴﺰم ﻧﻔﻮذ در ﺟﺎﻣﺪات ‪232 ......................................................................... :‬‬

‫ﻓﺼﻞ ‪ - 8‬ﻛﺎﺷﺖ ﻳﻮن ‪252 ..................................................................................‬‬


‫‪ - 1- 8‬ﻣﻘﺪﻣﻪ ‪253 ....................................................................................................‬‬
‫‪ - 2- 8‬ﺗﺎرﻳﺨﭽﺔ ﻣﺨﺘﺼﺮي درﺑﺎرهي ﭼﮕﻮﻧﮕ ﻲ ﭘﻴﺪاﻳﺶ روش ﻛﺎﺷﺖ ﻳﻮن ‪254 ......................‬‬
‫‪ - 3- 8‬ﻣﻴﺰان ﮔﺴﺘﺮش روش ﻛﺎﺷﺖ ﻳﻮن و ﺑﺎزار آن ‪255 ..................................................‬‬
‫‪ - 4- 8‬اﻧﻮاع دﺳﺘﮕﺎهﻫﺎي ﻛﺎﺷﺖ ﻳﻮن ﺑﺮ اﺳﺎس روش ﻛﺎﺷﺖ ‪257 ......................................‬‬
‫‪ - 5- 8‬ﻛﺎرﺑﺮدﻫﺎ و ﻣﺰاﻳﺎي روش ﻛﺎﺷﺖ ﻳﻮن در ﻣﻘﺎﻳﺴﻪ ﺑﺎ روشﻫﺎي دﻳﮕﺮ ‪258 ....................‬‬
‫‪ - 6- 8‬دﺳﺘﮕﺎه ﻛﺎﺷﺖ ﻳﻮن ﺗﻮﺳﻂ ﭘﺮﺗﻮ ﻳﻮن ‪262 ...........................................................‬‬
‫‪ - 7- 8‬ﻧﺤﻮة ﻧﻔﻮذ ﻳﻮنﻫﺎ در داﺧﻞ ﻗﻄﻌﻪي ﻫﺪف ‪273 ......................................................‬‬
‫‪ - 8- 8‬ﺗﻮزﻳﻊ ﻳﻮن ‪275 ..............................................................................................‬‬
‫‪ - 9- 8‬ﺗﻐﻴﻴﺮ ﻣﺪل ﺗﻮزﻳﻊ ﮔﻮﺳﻲ و ﺗﻄﺒﻴﻖ آن ﺑﺎ ﺗﺮاﻛﻢ ﻳﻮنﻫﺎ در ﻛﺮﻳﺴﺘﺎل ‪279 ..................‬‬
‫‪ - 10- 8‬اﻳﺠﺎد ﻧﻘﺺ در اﺛﺮ ﻛﺎﺷﺖ ﻳﻮن ‪281 ......................................................................‬‬
‫‪ - 11- 8‬ﺗﺮﻣﻴﻢ ﺣﺮارﺗﻲ )‪283 ........................................................... (Thermal Annealing‬‬
‫‪ - 12- 8‬ﻛﺎﻧﺎل زﻧ ﻲ)‪285 ............................................................................ ( Channeling‬‬
‫‪ - 13- 8‬دﺳﺘﮕﺎه ﻛﺎﺷﺖ ﻳﻮن ﺑﺎ ﻣﻨﺒﻊ ﭘﻼﺳﻤﺎ ‪289 .............................................................‬‬
‫‪ - 14- 8‬ﻣﺸﻜﻼت و ﻣﻌﺎﻳﺐ روش ﻛﺎﺷﺖ ﻳﻮن ‪291 .............................................................‬‬
‫‪ - 15- 8‬ﭼﺸﻢ اﻧﺪاز ﻫﺎي آﻳﻨﺪه ‪292 ..............................................................................‬‬

‫ﻓﺼﻞ ‪ - 9‬ﻟﻴﺘﻮﮔﺮاﻓﻲ ﻛﻼﺳﻴ ﻚ ‪294 .......................................................................‬‬


‫‪ - 1- 9‬ﻣﻘﺪﻣﻪ ‪294 ....................................................................................................‬‬
‫‪ - 2- 9‬ﻣﺮوري ﺑﺮ ﻓﺮآﻳﻨﺪ ﻣﺎﺳﻚ ﮔﺬاري ﻧﻮري ‪295 .........................................................‬‬
‫‪ - 3- 9‬اﻧﻮاع ﻣﺎﺳﻚ ‪297 ............................................................................................‬‬
‫‪ - 4- 9‬اﻧﻮاع ﻓﺘﻮرزﻳﺴﺖ ‪298 ......................................................................................‬‬
‫‪ - 5- 9‬ﻓﺎﻛﺘﻮرﻫﺎي اﻧﺘﺨﺎب ﻓﺘﻮ رزﻳﺴﺖ ‪303 ..................................................................‬‬
‫‪ - 6- 9‬ﻣﺮاﺣﻞ ﻣﺎﺳﻚ ﮔﺬاري) ‪310 ........................................ (Photo Masking Processes‬‬
‫‪ - 7- 9‬ﺳﺎﺧﺖ ﻣﺎﺳﻚ ‪344 ........................................................................................ :‬‬

‫ﻓﺼﻞ ‪ - 10‬ﻟﻴﺘﻮﮔﺮاﻓﻲ ﭘﻴﺸﺮﻓﺘﻪ ‪345 .......................................................................‬‬


‫‪ - 1- 10‬ﻣﻘﺪﻣﻪ ‪345 ....................................................................................................‬‬
‫‪ - 2- 10‬ﭼﺮا ﺑﻪ ﻟﻴﺘﻮﮔﺮاﻓﻲ ﭘﻴﺸﺮﻓﺘﻪ اﺣﺘ ﻴﺎج دارﻳﻢ؟ ‪345 ...................................................‬‬
‫‪ - 3- 10‬ﻗﺎﻧﻮن ﻣﻮر و ﭘﻴﺶ ﺑﻴﻨ ﻲ آﻳﻨﺪه ﺻﻨﻌﺖ ﻧﻴﻤﻪ ﻫﺎدﻳﻬﺎ ‪346 ..........................................‬‬
‫‪ - 4- 10‬ﻣﻮاﻧﻊ ﻟﻴﺘﻮﮔﺮاﻓﻲ ﻛﻼﺳﻴ ﻚ ‪348 .........................................................................‬‬
‫‪ - 5- 10‬روﺷﻬﺎي ﺑﻬﺒﻮد ﻟﻴ ﺘﻮﮔﺮاﻓﻲ ﻛﻼﺳﻴ ﻚ ‪354 ............................................................‬‬
‫‪ - 6- 10‬ﻣﺴﺎﻳﻞ ﻣﺮﺑﻮط ﺑﻪ ﻣﺎﺳﻚ ‪360 .............................................................................‬‬
‫‪ - 7- 10‬ﻣﺴﺎﻳﻞ ﻣﺮﺑﻮط ﺑﻪ ﻣﻨﺒﻊ ﻧﻮر ‪362 .........................................................................‬‬
‫‪ - 8- 10‬ﻣﻔﺎﻫﻴﻢ و روشﻫﺎي ﺟﺪﻳﺪ در ﻟﻴ ﺘﻮﮔﺮاﻓ ﻲ ‪366 ......................................................‬‬
‫‪ - 9- 10‬ﻧﮕﺎﻫﻲ ﻛﻠﻲ ﺑﻪ ﻧﺎﻧﻮ ﻟﻴﺘﻮﮔﺮاﻓﻲ و ﻣﻮاﻧﻊ ﭘﻴﺶ روي آن ‪373.......................................‬‬

‫ﻓﺼﻞ ‪375.............................................................................. Metallization -11‬‬


‫‪ - 1- 11‬ﻣﻘﺪﻣﻪ ‪375....................................................................................................‬‬
‫‪ - 2- 11‬ﺑﺮرﺳﻲ ﺧﺼﻮﺻﻴﺎت ﭼﻨﺪ ﻫﺎدي ‪380 ...................................................................‬‬
‫‪ - 3- 11‬ﻓﻠﺰات دﻳﺮ ﮔﺪاز )‪385.......................................................... (Refractory Metals‬‬
‫‪ - 4- 11‬ﻣﺲ ‪387.......................................................................................................‬‬
‫‪ - 5- 11‬ﻻﻳﻪﻫﺎي ﻋﺎﻳﻖ ‪393 .........................................................................................‬‬
‫‪ - 6- 11‬ﻛﺎرﺑﺮدﻫﺎي ﻧﻮارﻫﺎي ﻓﻠﺰي )‪394 ........................................................ (Metal film‬‬
‫‪ - 7- 11‬روشﻫﺎي ﻻﻳﻪ ﻧﺸﺎﻧﻲ ‪396 ................................................................................‬‬
‫‪ - 8- 11‬ﭘﻤﭗ ﻫﺎي ﺧﻼء ‪425 ........................................................................................‬‬

‫ﻓﺼﻞ ‪ - 12‬ﺑﺴﺘﻪ ﺑﻨﺪي ‪441..................................................................................‬‬


‫‪ - 1- 12‬ﻣﻘﺪﻣﻪ‪441 .................................................................................................... :‬‬
‫‪ - 2- 12‬ﻣﺸﺨﺼﺎت ﻳﻚ ﭼﻴﭗ )‪446 ................................................. :(Chip Characteristic‬‬
‫‪ - 3- 12‬اﺟﺰاي ﻳﻚ ﺑﺴﺘﻪ ﺑﻨﺪي )‪449 ....................................... :(Common package parts‬‬
‫‪ - 4- 12‬وﻇﺎﻳﻒ ﺑﺴﺘﻪ ﺑﻨﺪي ) ‪452 .................................................... :(Package Functions‬‬
‫‪ - 5- 12‬ﻓﺮآﻳﻨﺪﻫﺎي اﺻﻠﻲ )‪455 .......................................................... :(Basic processes‬‬
‫‪ - 6- 12‬ﻃﺮاﺣﻴﻬﺎي ﺑﺴﺘﻪ ﺑﻨﺪي )‪484 ................................................... :(package design‬‬
‫‪ - 7- 12‬ﺑﺎزار ﺑﺴﺘﻪ ﺑﻨﺪي ) ‪488 .......................................................... :(Market research‬‬
‫‪Packaging - 8- 12‬در رﻳﺰ ﺳﻴﺴﺘﻢﻫﺎي ﻗﺎﺑﻞ ﻛﺎﺷﺖ در ﺑﺪن‪490 ........................................ .‬‬

‫ﻓﺼﻞ ‪ - 13‬اﺗﺎق ﺗﻤﻴﺰ ‪504.....................................................................................‬‬


‫‪ - 1- 13‬ﻣﻘﺪﻣﻪ ‪504.....................................................................................................‬‬
‫‪ - 2- 13‬ﺗﻌﺮﻳﻒ ‪504.....................................................................................................‬‬
‫‪ - 3- 13‬ﻛﺎرﺑﺮدﻫﺎي اﺗﺎق ﺗﻤﻴﺰ ‪505.................................................................................‬‬
‫‪ - 4- 13‬اﻧﻮاع آﻟﻮده ﻛﻨﻨﺪهﻫﺎ ‪505..................................................................................‬‬
‫‪ - 5- 13‬ﻣﻨﺎﺑﻊ آﻟﻮدﮔﻲ ‪511 ...........................................................................................‬‬
‫‪ - 6- 13‬اﺳﺘﺎﻧﺪاردﻫﺎي اﺗﺎق ﺗﻤﻴﺰ ‪524 ............................................................................‬‬
‫‪ - 7- 13‬ﺗﻜﻨﻴﻚﻫﺎي ﻛﻨﺘﺮل آﻟﻮدﮔﻲ در ﻳﻚ اﺗﺎق ﺗﻤﻴﺰ ‪533...............................................‬‬
‫‪ - 8- 13‬راﻫﺮوﻫﺎي اﻃﺮاف اﺗﺎق ﺗﻤﻴﺰ ) ‪540.................................................... (Service bays‬‬
‫‪ - 9- 13‬دربﻫﺎي دوﮔﺎﻧﻪ ‪541.......................................................................................‬‬
‫‪ - 10- 13‬ﻛﻨﺘﺮل ﺑﺎر اﺳﺘﺎﺗﻴﻚ ‪542 ................................................................................‬‬
‫‪ - 11- 13‬اﺗﺎق ﺗﻤﻴﺰ ﺟﺮﻳﺎن آرام ‪549 .............................................................................‬‬

‫ﻓﺼﻞ ‪ - 14‬ارزﻳﺎﺑﻲ ﻓﺮاﻳﻨﺪﻫﺎ و ﻗﻄﻌﺎت ‪554 ................................................................‬‬


‫‪ - 1- 14‬ﻣﻘﺪﻣﻪ ‪554 ....................................................................................................‬‬
‫‪ - 2- 14‬روﻳﺖ ﻋﻴﻮب و آﻟﻮدﮔﻲﻫﺎ ﺑﺎ ﭼﺸﻢ ﻏﻴﺮ ﻣﺴﻠﺢ ‪554 ...............................................‬‬
‫‪ - 3- 14‬روﻳﺖ ﻋﻴﻮب و آﻟﻮدﮔﻲﻫﺎ ﺑﺎ ﻣﻴﻜﺮوﺳﻜﻮپﻫﺎي ﻧﻮري ‪556 .......................................‬‬
‫‪ - 4- 14‬اﻧﺪازه ﮔﻴﺮي اﻟﻜﺘﺮﻳﻜ ﻲ وﻳﻔﺮ ‪561 ........................................................................‬‬
‫‪ - 5- 14‬روﺷﻬﺎي اﻧﺪازه ﮔﻴﺮي ﻓﻴﺰﻳﻜ ﻲ ‪563 ....................................................................‬‬
‫‪ - 6- 14‬روﻳﺖ ﻋﻴﻮب و آﻟﻮدﮔﻲﻫﺎ ﺑﺎ ﻣﻴﻜﺮوﺳﻜﻮپﻫﺎي ﺧﺎص ‪569 .......................................‬‬
‫‪ - 7- 14‬روﺷﻬﺎي ﺷﻨﺎﺳﺎﻳﻲ ﺟﻨﺲ ﻧﺎ ﺧﺎﻟﺼﻲ ﻳﺎ آﻟﻮدﮔﻲ ‪576 ..............................................‬‬
‫‪ - 8- 14‬ارزﻳﺎﺑﻲ ﻗﻄﻌﺎت ‪582 ........................................................................................‬‬
‫ﻓﺼﻞ ‪ -1‬ﺳﺎﺧﺘﺎر ﺟﺎﻣﺪات‪:‬‬

‫‪ -1-1‬ﻣﻘﺪﻣﻪ‬
‫در اﻳﻦ ﻓﺼﻞ ﺑﻪ ﺑﺮرﺳﻲ ﺟﺎﻣﺪات و ﺳﺎﺧﺘﺎر آنﻫﺎ و اﻧﻮاع ﺟﺎﻣﺪات از ﻟﺤﺎظ ﺗﻘﺎرن ﻣﻲﭘﺮدازﻳﻢ‪.‬‬

‫ﭼﺮا ﺟﺎﻣﺪ؟‬ ‫‪- 1- 1- 1‬‬

‫ﺳﻮال ﻣﻬﻢ اﻳﻦ اﺳﺖ ﻛﻪ ﭼﺮا در ﻣﻮرد ﺟﺎﻣﺪات ﺑﺤﺚ ﻣﻲﻛﻨﻴﻢ؟‬

‫اﻧﻮاع و اﻗﺴﺎم ﻛﺎرﺑﺮدﻫﺎ ﻧﻈﻴﺮ ﻳﺎدداﺷﺖ ﻛﺮدن‪ sense ،‬ﻛﺮدن ﻳﻚ ﭘﺪﻳﺪه‪ ،‬ﺑﻪ اﺟﺮاء در آوردن ﻳﻚ‬

‫دﺳﺘﻮراﻟﻌﻤﻞ‪ ،‬ﭘﺮدازش ﺻﻔﺮ و ﻳﻚ و اﻧﺠﺎم ﺑﻴﺸﺘﺮ ﻓﺮآﻳﻨﺪﻫﺎ‪ ،‬ﺑﻪ راﻫﺒﺮي )‪ (guidance‬اﻟﻜﺘﺮونﻫﺎ‬

‫داﺧﻞ ﺟﺎﻣﺪات ﻣﺮﺑﻮط ﻣﻲ ﺷﻮﻧﺪ ‪ .‬در ﺣﻘﻴﻘﺖ رودﺧﺎﻧﻪي اﺻﻠﻲ اﻟﻜﺘﺮوﻧﻲ اﺳﺖ ﻛﻪ داﺧﻞ ﺟﺎﻣﺪ در‬

‫ﺣﺎل ﺣﺮﻛﺖ اﺳﺖ‪ .‬از اﻳﻦ رو داﻧﺴﺘﻦ ﺳﺎﺧﺘﺎر ﺟﺎﻣﺪات ﻣﻬﻢ اﺳﺖ ‪.‬‬

‫‪1‬‬
‫اﻣﺎ ﻣﻬﻢ اﺳﺖ ﻛﻪ ﺑﺪاﻧﻴﻢ اﻳﻦ ﻣﻮﺿﻮع ﺑﻪ ﻣﻌﻨﻲ ﺗﻤﺎم ﺷﺪن اﻟﻜﺘﺮوﻧﻴﻚ در ﺟﺎﻣﺪات ﻧﻴﺴﺖ‪ .‬ﮔﺎزﻫﺎ و‬

‫ﻣﺎﻳﻌﺎت ﻧﻴﺰ ﻛﺎرﺑﺮدﻫﺎي ﻓﺮاواﻧﻲ در اﻟﻜﺘﺮوﻧﻴﻚ دارﻧﺪ‪ .‬ﻫﻤﭽﻨﻴﻦ در ﻣﺤ ﻴﻂﻫﺎي ﺧﻸ ﻛﺎرﺑﺮدﻫﺎي‬

‫ﺑﺴﻴﺎري از ﮔﺬﺷﺘﻪ ﺗﺎ ﻛﻨﻮن دﻳﺪه ﻣﻲ ﺷﻮد‪.‬‬

‫اﻟﻜﺘﺮوﻧﻴﻚ در ﺧﻸ ‪:‬‬ ‫‪- 2- 1- 1‬‬

‫از ﻛﺎرﺑﺮدﻫﺎي ﻣﻬﻢ راﻫﺒﺮي اﻟﻜﺘﺮونﻫﺎ در ﺧﻸ ﻣﻲﺗﻮان ﺑﻪ ﻻﻣﭗﻫﺎي ﺧﻸ ) ‪ (vacuum tube‬اﺷﺎره ﻛﺮد‪.‬‬

‫در ﮔﺬﺷﺘﻪ ﺗﺮاﻧﺰﻳﺴﺘﻮرﻫﺎ ﺑﻪ ﺻﻮرت اﺷﻜﺎل اﻣﺮوزي ﻧﺒﻮدﻧﺪ و ﺑﻪ ﺟﺎي آن از ﻻﻣﭗﻫﺎي ﺧﻸ اﺳﺘﻔﺎده‬

‫ﻣﻲﺷﺪ ‪.‬‬

‫ﻻﻣﭗﻫﺎي ﺧﻸ در ﻧﻤﺎﻳﺸﮕﺮ ﺗﻠﻮﻳﺰﻳﻮنﻫﺎ و ﮔﻴﺮﻧﺪه رادﻳﻮﻫﺎ ﻧﻴﺰ اﺳﺘﻔﺎده ﻣﻲﺷﺪﻧﺪ ‪.‬‬

‫‪2‬‬
‫ﻛﺎرﺑﺮد دﻳﮕﺮ ﻻﻣﭗﻫﺎي ﺧﻸ‪ ،‬ﺑﻪ ﻋﻨﻮان ﺗﻘﻮﻳﺖ ﻛﻨﻨﺪهي ﺗﻮان اﺳﺖ‪.‬‬

‫از ﻻﻣﭗﻫﺎي ﺧﻸ در ﻛﺎرﺑﺮدﻫﺎي ﻓﺮﻛﺎﻧﺲ ﺑﺎﻻ ﻧﻈﻴﺮ ﺟﻮش دادن دو ﻗﻄﻌﻪ آﻫﻦ‪ ،‬ﺳﺮخ ﻛﺮدن ﻳﻚ ﻗﻄﻌﻪ‬

‫آﻫﻦ در ﻋﺮض ﭼﻨﺪ ﺛﺎﻧﻴﻪ و ﻫﻤﭽﻨﻴﻦ در ﻣﺎﻳﻜﺮووﻳﻮﻫﺎي آﺷﭙﺰﺧﺎﻧﻪ اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‪.‬‬

‫‪3‬‬
‫اﻟﻜﺘﺮوﻧﻴﻚ در ﻣﺎﻳﻌﺎت ‪:‬‬ ‫‪- 3- 1- 1‬‬

‫ﻋﻼوه ﺑﺮ اﻳﻦ‪ ،‬ﻣﺎﻳﻌﺎت در اﻟﻜﺘﺮوﻧﻴﻚ ﻛﺎرﺑﺮدﻫﺎﻳﻲ دارﻧﺪ ﻣﺎﻧﻨﺪ ﺑﺎﻃﺮيﻫﺎي ﻗﻠﻤﻲ‪ ،‬ﺑﺎﻃﺮي اﺗﻮﻣﺒﻴﻞﻫﺎ و‬

‫ﻫﻤﭽﻨﻴﻦ ﺳﻠﻮلﻫﺎي ﺧﻮرﺷﻴﺪي‪.‬‬

‫‪4‬‬
‫ﺑﺎﻃﺮي ﻗﻠﻤﻲ ‪:‬‬

‫ﻣﻌﻤﻮﻻً ﺑﺮاي اﻳﻦ ﻛﻪ ﻣﺎﻳﻊ داﺧﻞ ﺑﺎﻃﺮي ﺧﺎرج ﻧﺸﻮد آن را ﺑﻪ ﺻﻮرت ژﻻﺗﻴﻦ)‪ (Liquid crystal‬درﻣﻲ‪-‬‬

‫آورﻧﺪ‪.‬‬

‫ﺳﻠﻮلﻫﺎي ﺧﻮرﺷﻴﺪي‪:‬‬

‫‪5‬‬
‫ﺳﻠﻮلﻫﺎي ﺧﻮرﺷﻴﺪي ﺑﻪ ﻇﺎﻫﺮ ﺟﺎﻣﺪﻧﺪ اﻣﺎ در واﻗﻊ آﻣﻮرف ﺳﻴﻠﻴﺴﻴﻮم)آﻟﻴﺎژ ﺳﻴﻠﻴﺴﻴﻮم و‬

‫ﻫﻴﺪروژن( ﻫﺴﺘﻨﺪ‪ .‬آﻣﺎر ﻳﻌﻨﻲ ﻣﺎﻳﻌﻲ ﻛﻪ وﻳﺴﻜﻮزﻳﺘﻲ آن زﻳﺎد اﺳﺖ و ﺑﺎ ﮔﺬﺷﺖ ‪ 100‬ﺳﺎل ﺟﺎري‬

‫ﻣﻲﺷﻮد ‪.‬‬

‫اﻟﻜﺘﺮوﻧﻴﻚ در ﮔﺎزﻫﺎ‪:‬‬ ‫‪- 4- 1- 1‬‬

‫ﻻﻣﭗﻫﺎي ﻣﻬﺘﺎﺑﻲ ﻧﻤﻮﻧﻪي ﺑﺎرزي از ﻧﻘﺶ ﮔﺎزﻫﺎ در اﻟﻜﺘﺮوﻧﻴﻚ اﺳﺖ‪ .‬ﻣﻬﻢﺗﺮﻳﻦ ﮔﺎز ﻣﻮﺟﻮد در اﻳﻦ‬

‫ﻻﻣﭗﻫﺎ ﺑﺨﺎر ﺟﻴﻮه ﻣﻲﺑﺎﺷﺪ ‪.‬‬

‫ﺑﻨﺎ ﺑﺮ آﻧﭽﻪ ﮔﻔﺘﻪ ﺷﺪ ﭘﺮداﺧﺘﻦ ﺑﻪ ﺟﺎﻣﺪات در اﻳﻦ درس‪ ،‬ﺑﻪ ﻣﻌﻨﺎي ﻣﺤﺪود ﺷﺪن اﻟﻜﺘﺮوﻧﻴﻚ در‬

‫ﺟﺎﻣﺪات ﻧﻤﻲﺑﺎﺷﺪ ‪.‬‬

‫ﻃﺒﻘﻪ ﺑﻨﺪي ﺟﺎﻣﺪات از ﻟﺤﺎظ ﻣﻘﺪار ﻣﺼﺮف در ﺻﻨﻌﺖ ﺑﺮق‪:‬‬ ‫‪- 5- 1- 1‬‬

‫ﻫﺎديﻫﺎ‪:‬‬ ‫‪- 1- 5- 1- 1‬‬

‫ﻣﺨﺼﻮﺻﺎً ﻣﺲ و آﻟﻮﻣﻴﻨﻴﻮم ﻛﻪ ﺑﺎ ﺗﻮﻧﺎژ ﺑﺎﻻ در ﻛﺸﻮر اﺳﺘﻔﺎده ﻣﻲﺷﻮد ‪.‬‬

‫‪6‬‬
‫ﻋﺎﻳﻖﻫﺎ‪:‬‬ ‫‪- 2- 5- 1- 1‬‬

‫دوﻣﻴﻦ ﻣﺮﺗﺒﻪ از ﻟﺤﺎظ ﻣﺼﺮف را دارﻧﺪ ‪ .‬ﻣﻘﺮه ﻫﺎﻳﻲ ﻛﻪ در ﺑﺎﻻي دﻛﻞﻫﺎ ﺑﻪ ﻋﻨﻮان ﻋﺎﻳﻖ اﻟﻜﺘﺮﻳﻜﻲ‬

‫اﺳﺘﻔﺎده ﻣﻲ ﺷﻮﻧﺪ‪ ،‬در اﻳﻦ ﮔﺮوه ﺟﺎي دارﻧﺪ ‪.‬‬

‫‪7‬‬
‫ﻧﻴﻤﻪﻫﺎديﻫﺎ‪:‬‬ ‫‪- 3- 5- 1- 1‬‬

‫ﺳﻮﻣﻴﻦ رﺗﺒﻪي ﻣﺼﺮف را دارﻧﺪ‪ .‬ﺑﻨ ﺎ ﺑﺮ اﻳﻦ دﻟﻴﻞ اﻳﻦ ﻛﻪ در اﻳﻦ درس ﺑﻪ ﺑﺮرﺳﻲ ﻧﻴﻤﻪﻫﺎديﻫﺎ‬

‫ﭘﺮداﺧﺘﻪ ﻣﻲﺷﻮد‪ ،‬ﭘﺮ ﻣﺼﺮف ﺑﻮدن آنﻫﺎ ﻧﻴﺴﺖ‪ .‬ﺑﻠﻜﻪ ﺑﻪ دﺳﺘﻮر وزارت ﻋﻠﻮم اﻳﻦ ﻣﻮﺿﻮع ﺗﺪرﻳﺲ‬

‫ﻣﻲﺷﻮد ‪.‬‬

‫ﻣﺜﺎلﻫﺎﻳﻲ از ﻧﻴﻤﻪﻫﺎديﻫﺎ‪:‬‬
‫‪:Si‬‬

‫‪8‬‬
:Ge

GaAs
Gallium arsenide
Zinc blende Crystal structure, III/V semiconductor

9
InSb
Indium antimonide
Zinc blende Crystal structure, III/V semiconductor

GaAl
Gallium aluminum
AsP
arsenide phosphide
TiO2
Titanium dioxide
. ‫ ﻣﺘﻔﺎوت اﺳﺖ‬،‫ﺷﻜﻞ ﻛﺮﻳﺴﺘﺎل آن ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ ﺳﻨﮓ ﻣﻌﺪﻧﻲ ﻛﻪ از آن اﺳﺘﺨﺮاج ﻣﻲ ﺷﻮد‬

10
Diamond

11
SiC
Silicon carbide

Properties of major SiC polytypes

Polytype 3C (β) 4H 6H (α)

Crystal structure Zinc blende (cubic) Hexagonal Hexagonal

‫ﺑﻨﺎ ﺑﺮ اﻳﻦ ﺑﺎ ﺗﺮﻛﻴﺐ ﺑﺴﻴﺎري از ﻣﻮاد ﻣﻲﺗﻮان ﺑﻪ ﺑﻲ ﻧﻬﺎﻳﺖ ﻧﻴﻤﻪﻫﺎدي ﻣﺨﺘﻠﻒ دﺳﺖ ﻳﺎﻓﺖ ﻛﻪ‬

. ‫ ﻧﻮر و وﻳﮋﮔﻲﻫﺎي ﻣﺘﻔﺎوت دارﻧﺪ‬،Bandgap ،mobility

12
‫ﻧﺘﻴﺠﻪ ﻣﻲﺷﻮد ﻧﺒﺎﻳﺪ ﭘﻨﺪاﺷﺖ ﻛ ﻪ ﻧﻴﻤﻪﻫﺎديﻫﺎ ﻓﻘﻂ در ﺳﻴﻠﻴﺴﻴﻮم و ژرﻣﺎﻧﻴﻮم ﺧﻼﺻﻪ ﻣﻲﺷﻮﻧﺪ‪.‬‬

‫ﺳﻴﻠﻴﺴﻴﻮم ﻓﻘﻂ اﺳﺐ ﻛﺸﻨﺪهي اﻟﻜﺘﺮوﻧﻴﻚ اﺳﺖ‪ .‬ﺳﻴﻠﻴﺴﻴﻮم در ﻣﺒﺎﺣﺜﻲ از اﻟﻜﺘﺮوﻧﻴﻚ ﻛﻪ ﻧﻴﺎز ﺑﻪ‬

‫‪ process‬دارﻧﺪ‪ ،‬ﻣﺎﻧﻨﺪ آﻣﭙﻠﻲﻓﺎﻳﺮﻫﺎ‪،‬ﻣﻴﻜﺮوﭘﺮوﺳﺴﻮرﻫﺎ و ‪ ...‬ﻛﺎرﺑﺮد دارﻧﺪ‪ .‬اﻣﺎ در ﺗﻠﻮﻳﺰﻳﻮنﻫﺎ و‬

‫ﻣﺎﻧﻴﺘﻮر ﻟﭗ ﺗﺎپﻫﺎ ﺣﺘﻲ ﻳﻚ ﺗﻜﻪ ﺳﻴﻠﻴﺴﻴﻮم ﻫﻢ وﺟﻮد ﻧﺪارد‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ ﻧﺒﺎﻳﺪ ﻓﻘﻂ ﺑﻪ ﺑﺮرﺳﻲ و‬

‫ﺷﻨﺎﺧﺖ ﺳﻴﻠﻴﺴﻴﻮم ﺑﭙﺮدازﻳﻢ و ﺑﺎﻳﺪ ﺑﺎ ﻧﻴﻤﻪﻫﺎديﻫﺎي ﺟﺪﻳﺪﺗﺮ ﻧﻴﺰ آﺷﻨﺎ ﺷﻮﻳﻢ‪.‬‬

‫ﻣﻌﺎﻳﺐ ﺳﻴﻠﻴﺴﻴﻮم ‪:‬‬ ‫‪- 6- 1- 1‬‬

‫‪ Si‬ﻳﻜﻲ از ﺑﺪﺗﺮﻳﻦ ﻧﻴﻤﻪﻫﺎديﻫﺎ اﺳﺖ ﺑﻪ دو دﻟﻴﻞ ‪:‬‬

‫‪ Indirect Bandgap - 1‬اﺳﺖ و اﺳﺘﻔﺎدهي آن در ﻛﺎرﺑﺮدﻫﺎي ‪ opto electronic‬و ‪ solar cell‬ﻣﻨﺎﺳﺐ‬

‫ﻧﻴﺴﺖ‪ .‬اﻏﻠﺐ ﻧﻴﻤﻪﻫﺎديﻫﺎي ﮔﺮوه ‪ III-V‬و ‪ Direct Bandgap II-VI‬ﻫﺴﺘﻨﺪ‪.‬‬

‫‪ Band gap‬ﻋﺒﺎرت اﺳﺖ از ﻛﻢﺗﺮﻳﻦ اﺧﺘﻼف اﻧﺮژي ﺑﻴﻦ ﺑﺎﻻﺗﺮﻳﻦ ﺳﻄﺢ اﻧﺮژي ﻧﻮار ﻇﺮﻓﻴﺖ و‬

‫ﭘﺎﻳﻴﻦﺗﺮﻳﻦ ﺳﻄﺢ اﻧﺮژي ﻧﻮار ﻫﺪاﻳﺖ‪.‬‬

‫‪13‬‬
‫در ﻧﻴﻤﻪﻫﺎديﻫﺎي ‪ direct band gap‬ﻳﻚ اﻟﻜﺘﺮون ﺑﺮاي ‪ ،recombination‬ﺑﺎ ﺗﺸﻌﺸﻊ ﻓﻮﺗﻮن از ﻧﻮار‬

‫ﻫﺪاﻳﺖ ﺑﻪ ﻧﻮار ﻇﺮﻓﻴﺖ ﻣﻲرود‪ .‬اﻣﺎ در ﻧﻴﻤﻪﻫﺎديﻫﺎي ‪ Indirect band gap‬اﺑﺘﺪا ﺑﺎﻳﺪ ﻓﻮﻧﻮن ﻧﻴﺰ ﺟﺬب‬

‫ﺷﻮد و ﺳﭙﺲ ‪ recombination‬اﻧﺠﺎم ﻣﻲ ﺷﻮد و ﻓﻮﺗﻮن ﺗﺎﺑﺶ ﻣﻲ ﺷﻮد‪ .‬زﻳﺮا در ‪ Indirect band gap‬ﻫﺎ‬

‫ﻣﻴﻨﻴﻤﻢ اﻧﺮژي ﻧﻮار ﻫﺪاﻳﺖ‪ ،‬و ﻣﺎﻛﺴﻴﻤﻢ اﻧﺮژي ﻧﻮار ﻇﺮﻓﻴﺖ‪ ،‬در ﻳﻚ اﻧﺪازهي ﺣﺮﻛﺖ ﻧﻴﺴﺘﻨﺪ‪ .‬در‬

‫ﺷﻜﻞ زﻳﺮ اﻳﻦ ﻣﻮﺿﻮع ﺑﻪ ﺧﻮﺑﻲ ﻗﺎﺑﻞ ﻣﺸﺎﻫﺪه اﺳﺖ ‪.‬‬

‫ﺑﻪ اﻳﻦ دﻟﻴﻞ اﺳﺘﻔﺎده از ﻧﻴﻤﻪﻫﺎديﻫﺎي ‪ direct band gap‬ﻧﻈﻴﺮ ‪ GaAs‬در ﻛﺎرﺑﺮدﻫﺎي اﻟﻜﺘﺮوﻧﻴﻚ ﻧﻮري‬

‫ﺑﺴﻴﺎر ﻣﻨﺎﺳﺐﺗﺮ اﺳﺖ و از ﺳﻴﻠﻴﺴﻴﻮم اﺳﺘﻔﺎده ﻧﻤﻲﺷﻮد ‪.‬‬

‫‪14‬‬
‫‪ Bandgap - 2‬آن ﺣﺪود ‪ 1.1‬اﻟﻜﺘﺮون وﻟﺖ اﺳﺖ‪:‬‬

‫ﻫﻨﮕﺎﻣﻲ ﻛﻪ وﻟﺘﺎژ ﻣﻌﻜﻮس ﺑﻪ دﻳﻮد ﻣﺘﺼﻞ ﻣﻲﺷﻮد ﺟﺮﻳﺎﻧﻲ از دﻳﻮد ﻋﺒﻮر ﻧﻤﻲﻛﻨﺪ‪ ،‬ﻣﮕﺮ ﺟﺮﻳﺎن ﺑﺴ ﻴﺎر‬

‫ﻛﻤﻲ ﻛﻪ ﺑﻪ ﺟﺮﻳﺎن ﻧﺸﺘ ﻲ ﻳﺎ ‪ Leakage‬ﻣﻌﺮف اﺳﺖ ﻛﻪ در ﺣﺪود ﭼﻨﺪ ‪ µA‬ﻳﺎ ﺣﺘﻲ ﻛﻤﺘﺮ ﻣﻲﺑﺎﺷﺪ‪.‬‬

‫دﻳﻮدﻫﺎي ﺑﺴﺘﺮ در ﻗﻄﻌﺎت اﻟﻜﺘﺮوﻧﻴﻜﻲ ﻧﻴﺰ ‪ leakage current‬دارﻧﺪ‪ .‬در ﻳﻚ ‪ IC‬ﺣﺪود ‪ 90‬درﺻﺪ از‬

‫‪ Device‬ﻫﺎ ﺧﺎﻣﻮش ﻫﺴﺘﻨﺪ‪ .‬ﺑﻨﺎ ﺑﺮ اﻳﻦ ﺗﻮان ﻣﺼﺮﻓﻲ ﻳﻚ ‪ device‬را ادوات ﺧﺎﻣﻮش ﺗﻌﻴﻴﻦ ﻣﻲﻛﻨﻨﺪ ‪.‬‬

‫ﻣﻘﺪار ﺟﺮﻳﺎن ﻧﺸﺘﻲ ﺑﻪ ﻃﻮر ﻧﻤﺎﻳﻲ ﺑﺎ ﻧﻮار ﻣﻤﻨﻮع اﻧﺮژي )‪ (band gap‬ارﺗﺒﺎط دارد‪ .‬ﻫﺮﭼﻪ ﻣﻘﺪار ‪band‬‬

‫‪ gap‬ﻛﻤﺘﺮ ﺑﺎﺷﺪ‪ leakage current ،‬و ﺗﻮان ﻣﺼﺮﻓﻲ آن ﻗﻄﻌﻪ ﺑﻴﺸﺘﺮ ﻣﻲﺷﻮد ‪.‬‬

‫‪ 1.1‬اﻟﻜﺘﺮون وﻟﺖ اﺻﻼً ﻣﻘﺪار ﺧﻮﺑﻲ ﻧﻴﺴﺖ‪ .‬ﺑﻪ ﻋﻨﻮان ﻧﻤﻮﻧﻪ ﭘﻬﻨﺎي ﻣﻤﻨﻮع اﻧﺮژي ﮔﺎﻟﻴﻮم آرﺳﻨﻴﺪ‬

‫‪ 1.43‬اﻟﻜﺘﺮون ‪-‬وﻟﺖ اﺳﺖ‪ .‬ﻳﺎ ﺑﻪ ﻋﺒﺎرﺗﻲ ﻳﻚ دﻳﻮد ﺧﺎﻣﻮش ﺳﻴﻠﻴﺴﻴﻮم ‪ 1000‬ﺑﺮاﺑﺮ ﺑﻴﺸﺘﺮ از ﻳﻚ‬

‫دﻳﻮد ﺧﺎﻣﻮش ﮔﺎﻟﻴﻮم آرﺳﻨﻴﺪ ﺟﺮﻳﺎن ﻧﺸﺘﻲ دارد ‪.‬‬

‫ﻣﺰاﻳﺎي ﺳﻴﻠﻴﺴﻴﻮم ‪:‬‬ ‫‪- 7- 1- 1‬‬

‫اﮔﺮ ﺳﻴﻠﻴﺴﻴﻮم داراي اﻳﻦ ﻣﻌﺎﻳﺐ ﻣﻬﻢ اﺳﺖ‪ ،‬ﭼﺮا از آن اﺳﺘﻔﺎده ﻣﻲﺷﻮد ؟‬

‫‪ -1-7-1-1‬ﻓﺮاواﻧﻲ‪:‬‬
‫ﻓﺮاوانﺗﺮﻳﻦ ﻋﻨﺼﺮ ﻣﻮﺟﻮد در ﻃﺒﻴﻌﺖ اﻛﺴﻴﮋن اﺳﺖ و ﺑﻌﺪ از آن ﺳﻴﻠﻴﺴﻴﻮم‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ ﻓﺮاوانﺗﺮﻳﻦ‬

‫ﺟﺎﻣﺪ ﺳﻴﻠﻴﺴﻴﻮم اﺳﺖ‪ .‬ﺧﺎك و ﻣﻮزاﻳﻴﻚ داراي ﻣﻘﺪار ﻓﺮاواﻧﻲ ﺳﻴﻠﻴﺴﻴﻮم ﻫﺴﺘﻨﺪ ‪ .‬ﺣﺪود‬

‫‪ Building Block90‬از وزن ﺷﻴﺸﻪ ‪ SiOଶ‬ﻣﻲﺑﺎﺷﺪ)‪ Building Block 50‬ﺳﻴﻠﻴﺴﻴﻮم(‪.‬‬

‫اﻣﺎ ﺣﺎﺋﺰ اﻫﻤﻴﺖ اﺳﺖ ﻛﻪ د ر ﻧﻈﺮ داﺷﺘﻪ ﺑﺎﺷﻴﻢ ﻛﻪ ﻓﺮاواﻧﻲ آن دﻟﻴﻠﻲ ﺑﺮ ارزان ﺑﻮدﻧﺶ ﻧﻴﺴﺖ ‪ .‬ﭼﻮن‬

‫ﺳﻴﻠﻴﺴﻴﻮم ﺑﻪ ﺻﻮرت ﺧﺎﻟﺺ در ﻃﺒﻴﻌﺖ وﺟﻮد ﻧﺪارد و ﻫﺰﻳﻨﻪي زﻳﺎدي ﺻﺮف ﻣﻲﺷﻮد ﺗﺎ ﺑﻪ آن‬

‫ﻣﺮﺣﻠﻪ ﺑﺮﺳﺪ ‪.‬‬

‫‪15‬‬
‫‪ -2-7-1-1‬اﻛﺴﻴﺪ ﺑﻮﻣﻲ‪:‬‬
‫ﻣﻬﻢﺗﺮﻳﻦ وﻳﮋﮔﻲ ‪ Si‬ﻛﻪ ﻋﻮاماﻟﻨﺎس آن را ﻧﻤﻲﺑﻴﻨﻨﺪ‪ Native Oxide ،‬اﺳﺖ‪ .‬ﻳﻌﻨﻲ اﻛﺴﻴﺪ ﺑﻮﻣﻲ‬

‫ﺳﻴﻠﻴﺴﻴﻮم‪ .‬ﺑﻪ ﻋﺒﺎرﺗﻲ ﺳﻴﻠﻴﺴﻴﻮم ﻳﻚ ﻧﻴﻤﻪﻫﺎدي اﺳﺖ ﻛﻪ اﻛﺴﻴ ﺪ آن ) ‪ (SiOଶ‬ﻳﻚ دي اﻟﻜﺘﺮﻳﻚ‬

‫ﺑﺴﻴﺎر ﻋﺎﻟﻲ اﺳﺖ و ‪ Bandgap‬آن ‪ 8.9ev‬اﺳﺖ‪ .‬ﻳﻌﻨﻲ ﻳﻚ ﺿﺨﺎﻣﺖ ﻣﻌﻴﻦ و ﻗﺎﺑﻞ ﻛﻨﺘﺮل از ‪ SiOଶ‬را‬

‫ﻣﻲﺗﻮان ﺑﺮ روﻳﺴﻴﻠﺴﻴﻮم ﻧﺸﺎﻧﺪ‪ .‬وﻳﮋﮔﻲ ﺟﺎﻟﺐ و ﺳﻮدﻣﻨﺪ دﻳﮕﺮ اﻳﻦ اﺳﺖ ﻛﻪ ﺳﻴﻠﻴﺴﻴﻮم و ‪SiOଶ‬‬

‫اﺗﺼﺎﻟﻲ ﻋﺎﻟﻲ ﺑﺎ ﻳﻜﺪﻳﮕﺮ ﺑﺮﻗﺮار ﻣﻲﻛﻨﻨﺪ و از ﻫﻢ ﺟﺪا ﻧﻤﻲ ﺷﻮﻧﺪ‪.‬ﺣﺘﻲ ﺷﻚ ﺣﺮارﺗﻲ ﻫﻢ ﻧﻤﻲﺗﻮاﻧﺪ‬

‫اﻛﺴﻴﺪ ﺳﻴﻠﻴﺴﻴﻮم را از ﺧﻮد ﺳﻴﻠﻴﺴﻴﻮم ﺟﺪا ﻛﻨﺪ ‪.‬‬

‫ﻳﻚ ﻧﻜﺘﻪ ي دﻳﮕﺮ درﺑﺎرهي ‪ Diffusion coefficient ،SiOଶ‬آن اﺳﺖ‪ SiOଶ .‬ﻧﺎﺧﺎﻟﺼﻲ ﻫﺎ را ‪ block‬ﻣﻲﻛﻨﺪ‬

‫و از وارد ﺷﺪن آنﻫﺎ ﺑﻪ ‪ Si‬ﺧﻮدداري ﻣﻲﻛﻨﺪ و ‪ Si‬را از ﺑﺎزﺗﺮﻛﻴﺐ ﺷﺪن ﺑﺎ ﻣﻮاد دﻳﮕﺮ ﻣﺤﺎﻓﻈﺖ‬

‫ﻣﻲﻛﻨﺪ‪ .‬از اﻳﻦ ﺧﺎﺻﻴﺖ در ﻟﻴﺘﻮﮔﺮاﻓﻲ اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‪.‬‬

‫ﺑﻨﺎ ﺑﺮ اﻳﻦ اﺳﺎس ﻗﻀﻴﻪ اﻳﻦ اﺳﺖ ﻛﻪ اﻛﺴﻴﺪ ﺳﻴﻠﻴﺴﻴﻮم را ﻣﻲﺗﻮان ﺑﺎ ﻓﺮآﻳﻨﺪي ﺳﺎده ﺑﺮ روي‬

‫ﺳﻴﻠﻴﺴﻴﻮم ﻧﺸﺎﻧﺪ ﺑﻪ ﻃﻮري ﻛﻪ وﻳﮋﮔﻲﻫﺎي اﻟﻜﺘﺮﻳﻜﻲ آن ﺑﺎ ﺳﻴﻠﻴﺴﻴﻮم ﻛﺎﻣﻼً ﻣﺘﻔﺎوت اﺳﺖ ‪.‬‬

‫ﺳﻴﻠﻴﺴﻴﻮم ﻛﺎرﺑﺎﻳﺪ ﺑﺮاي ﺳﺎﺧﺖ ﻣﻘﺎوﻣﺖﻫﺎي ﺗﻮان ﺑﺎﻻ اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪.‬وﻳﮋﮔﻲ ‪ Native Oxide‬را‬

‫ﺳﻴﻠﻴﺴﻴﻮم ﻛﺎرﺑﺎﻳﺪ ﻧﻴﺰ دارد‪ .‬ﺑﻪ ﻫﻤﻴﻦ ﻋﻠﺖ ﺗﻜﻨﻮﻟﻮژي ﺳﻴﻠﻴﺴﻴﻮم ﻛﺎرﺑﺎﻳﺪ ﺑﻪ وﺟﻮد آﻣﺪه و در ‪High‬‬

‫‪ temperature Technology‬ﺣﺮف اول را ﻣﻲزﻧﺪ‪ .‬اﻣﺮوزه ﻳﻚ ﭼﻴﭗ از ﺳﻴﻠﻴﺴﻴﻮم ﻛﺎرﺑﺎﻳﺪ ﺳﺎﺧﺘﻪ‬

‫ﻣﻲﺷﻮد ﻛﻪ در دﻣﺎﻫﺎي ﺑﺎﻻ ﺳﺮخ ﻣﻲ ﺷﻮد وﻟﻲ ﺑﻪ ﺧﻮﺑﻲ ﻛﺎر ﻣﻲ ﻛﻨﺪ‪ .‬ﺑﺎ اﻳﻦ روش ﻣﻲﺗﻮان روي ﻳﻚ‬

‫ﭼﻴﭗ‪ ،‬ﻓﺮﺳﺘﻨﺪهي ‪ 1KW‬ﺳﺎﺧﺖ‪ .‬ﻫﻤﭽﻨﻴﻦ در ﺧﻮدروﻫﺎي آﻳﻨﺪه ﻛﻪ ﻧﻴﺎز دارﻳﻢ اﻟﻜﺘﺮوﻧﻴﻚ را در‬

‫داﺧﻞ ﺳﻴﻠﻨﺪر ﺧﻮدروﻫﺎ ﺑﺒﺮﻳﻢ‪ ،‬اﻳﻦ ﺗﻜﻨﻮﻟﻮژي ﻣﻔﻴﺪ ﺧﻮاﻫﺪ ﺑﻮد‪.‬‬

‫‪16‬‬
‫‪ -3-7-1-1‬ﺗﻜﻨﻮﻟﻮژي ﻋﻈﻴﻢ و ﺻﻨﻌﺖ ﺑﺰرگ ﭘﺸﺖ ﺳﺮ ﺳﻴﻠﻴﺴﻴﻮم‬
‫داﻧﺸﻤﻨﺪان ﺑﺮ اﻳﻦ ﺑﺎورﻧﺪ‪ :‬ﻛﻪ اﮔﺮ اﻣﺮوزه ﺑﺨﻮاﻫﻨﺪ ﻣﺎﺷﻴﻨﻲ ﺑﺴﺎزﻧﺪ‪ ،‬اﻳﻦ ﻣﺎﺷﻴﻦ ﺟﺪﻳﺪ ﻫﻴﭻ ﺷﺒﺎﻫﺘﻲ‬

‫ﺑﻪ ﻣﺎﺷﻴﻦﻫﺎﻳﻲ ﻛﻪ اﻵن ﻣﻮﺟﻮد ﻫﺴﺘﻨﺪ ﻧﺨﻮاﻫﺪ داﺷﺖ‪ .‬وﻟﻲ از آﻧﺠﺎﻳﻲ ﻛﻪ ﭘﺸﺖ ﺻﻨﻌﺖ ﺧﻮدرو‬

‫ﺗﻜﻨﻮﻟﻮژي و ﺻﻨﻌﺖ و ﺳﺮﻣﺎﻳﻪي ﻫﻨﮕﻔﺘﻲ ﺧﻮاﺑﻴﺪه اﺳﺖ‪ ،‬اﻳﻦ ﻛﺎر ﻋﻤﻠﻲ ﻧﺨﻮاﻫﺪ ﺑﻮد‪.‬‬

‫اﻳﻦ ﻣﻮﺿﻮع در ﻣﻮرد ﺳﻴﻠﻴﺴﻴﻮم ﻧﻴﺰ ﻣﺼﺪاق دارد‪.‬‬

‫‪ -2-1‬ﺗﻌﺮﻳﻒ ﺟﺎﻣﺪ‬
‫ﺟﺎﻣﺪ را ﺑﺮ اﺳﺎس ﻧﻴﺮوي ﺑﻴﻦ ﻣﻮﻟﻜﻮلﻫﺎ ﻧﻤﻲﺗﻮان ﺗﻌﺮﻳﻒ ﻛﺮد‪ .‬زﻳﺮا ﻣﺎﻳﻌﺎﺗﻲ وﺟﻮد دارﻧﺪ ﻛﻪ ﻧﻴﺮوي‬

‫ﺑﻴﻦ ﻣﻮﻟﻜﻮﻟﻲ آنﻫﺎ از ﺟﺎﻣﺪ ﺑﻴﺸﺘﺮ اﺳﺖ ‪ .‬ﺑﺎﻳﺪ ﮔﻔﺖ ﺑﻪ ﻃﻮر ﻛﻠﻲ ﻧﻤﻲﺗﻮان ﺗﻌﺮﻳﻔﻲ ﺑﺮاي ﺟﺎﻣﺪ ﻣﻄﺮح‬

‫ﻛﺮد زﻳﺮا ﻫﺮ ﭼﻴﺰي ﻛﻪ ﺑﻴﺎن ﺷﻮد در واﻗﻊ ﻳﻚ وﻳﮋﮔﻲ اﺳﺖ‪ ،‬ﻧﻪ ﺗﻌﺮﻳﻒ‪.‬‬

‫ﻃﺒﻖ ﺗﻌﺮﻳﻒ ﻛﺘﺎب ‪ Solid State and Semiconductor Physics‬ﻧﻮﺷﺘﻪ ﺷﺪه ﺗﻮﺳﻂ آﻗﺎي ‪John Philip‬‬

‫‪:Mc Kelvey‬‬

‫"ﺟﺎﻣﺪ اﺟﺘﻤﺎﻋﻲ اﺳﺖ ﺳﻪ ﺑﻌﺪي از اﺗﻢﻫﺎ و ﻣﻮﻟﻜﻮلﻫﺎ ‪ ،‬ﻛﻪ ﺗﻨﺶﻫﺎي ﻛﺸﺸﻲ‪ ،‬ﻓﺸﺎري و ﭘﻴﭽﺸﻲ را‬

‫ﻧﻤﻲﺗﻮاﻧﺪ ﺗﺤﻤﻞ ﻛﻨﺪ ‪".‬‬

‫و ﺑﻪ ﻫﻤﻴﻦ ﺧﺎﻃﺮ اﺳﺖ ﻛﻪ ﺟﺎﻣﺪ ﺷﻜﻞ ﻇﺮف را ﺑﻪ ﺧﻮد ﻧﻤﻲﮔﻴﺮد و ﻧﻤﻲرﻳﺰد‪.‬‬

‫اﻧﻮاع ﺟﺎﻣﺪات ﺑﺮ اﺳﺎس دﻳﺪ ﻛﻼﺳﻴﻚ‪:‬‬ ‫‪- 1- 2- 1‬‬


‫اﻳﻦ دﺳﺘﻪ ﺑﻨﺪي ﻣﺮﺑﻮط ﺑﻪ ‪ 100‬ﺳﺎل ﭘﻴﺶ و زﻣﺎﻧﻲ اﺳﺖ ﻛﻪ ﺑﺸﺮ ﺑﻪ ﻣﺎﻫﻴﺖ اﺗﻢ و ﻣﻮﻟﻜﻮل ﭘﻲ ﻧﺒﺮده‬

‫ﺑﻮد‪.‬ﺑﻨﺎﺑﺮاﻳﻦ ﺳﺎﺧﺘﻤﺎن اﻳﻦ ﻣﻮاد ﺑﺮ اﺳﺎس "‪ "Building Block‬ﻫﺎ ﺗﻌﺮﻳﻒ ﻣﻲ ﺷﻮد )در ﺷﻜﻞﻫﺎي ﺑﻌﺪي‬

‫ﺑﻪ ﺟﺎي ﻫﺮ ‪ ،Building Block‬ﻳﻚ ﻣﺎﻫﻲ ﻣﻲﻛﺸ ﻴﻢ(‪.‬‬

‫‪17‬‬
‫ﺟﺎﻣﺪ ﺑﻠﻮرﻳﻦ‪:‬‬ ‫‪- 2- 2- 1‬‬
‫اﺟﺘﻤﺎع ﺳﻪ ﺑﻌﺪي ﻣﻨﻈﻤﻲ اﺳﺖ از ‪ building block‬ﻫﺎ ﻛﻪ داراي ﺳﺎﺧﺘﺎر ﺗﻜﺮارﺷﻮﻧﺪه در ﺑﻌﺪ ﻣﻜﺎن‬

‫ﻫﺴﺘﻨﺪ‪.‬‬

‫ﺑﻪ ﻋﻨﻮان ﻣﺜﺎل اﮔﺮ ﺷﻜﻞ زﻳﺮ ﻣﺮﺑﻮط ﺑﻪ ﺳﺎﺧﺘﺎر ﻳﺦ ﺑﺎﺷﺪ‪ ،‬اﻳﻦ ﻣﺎﻫﻲﻫﺎ ﻣﻮﻟﻜﻮلﻫﺎ ي آب ﻫﺴﺘﻨﺪ ‪ .‬و ﻳﺎ‬

‫اﮔﺮ اﻳﻦ ﺳﺎﺧﺘﺎر ﻣﺮﺑﻮط ﺑﻪ ﻳﻚ ﻗﻄﻌﻪ آﻫﻦ ﺑﺎﺷﺪ‪ ،‬ﻣﺎﻫﻲﻫﺎي آن‪ ،‬اﺗﻢﻫﺎي آﻫﻦ ﻫﺴﺘﻨﺪ‪) :‬اﺑﻌﺎد در‬

‫ﺣﺪود آﻧﮕﺴﺘﺮوم اﺳﺖ و در راﺳﺘﺎي ‪ Z‬ﻫﻢ ﺑﻌﺪ دارﻧﺪ ‪(.‬‬

‫‪18‬‬
‫‪:Single Crystals -1-2-2-1‬‬
‫ﺑﻪ اﺟﺘﻤﺎع ﺳﻪ ﺑﻌﺪي از ‪ building block‬ﻫﺎ ﮔﻔﺘﻪ ﻣﻲ ﺷﻮد ﻛﻪ ﻧﻈﻢ اﺟﺘﻤﺎع در ﺗﻤﺎم ﺣﺠﻢ ﺑﺪﻧﻪ‪ ،‬در ﻳﻚ‬

‫اﻣﺘﺪاد ﻣﻌﻴﻦ ﺟﺎري اﺳﺖ‪.‬‬

‫ﺷﻜﻞ زﻳﺮ ﻧﻤﺎﻳﻲ از ﻳﻚ ﺟﺎﻣﺪ ‪ Single Crystal‬اﺳﺖ )ﺧﻄﻮط ﻣﻮﺟﻮد در ﺷﻜﻞ از اﺗﺼﺎل ﭼﺸﻢ ﻣﺎﻫﻲﻫﺎ‬

‫ﺑﻪ ﻫﻢ اﻳﺠﺎد ﺷﺪه اﺳﺖ ‪(.‬‬

‫‪19‬‬
20
‫‪:Poly Crystals -2-2-2-1‬‬
‫از ﻛﻨﺎر ﻫﻢ ﻗﺮار ﮔﺮﻓﺘﻦ ﭼﻨﺪﻳﻦ ‪ Single Crystal‬از ﻳﻚ ﺟﻨﺲ ﻣﺎده‪ Poly Crystal ،‬ﺳﺎﺧﺘﻪ‬

‫ﻣﻲﺷﻮد‪.‬داﻧﻪي ﺷﻜﺮ ﺟﺰء اﻳﻦ دﺳﺘﻪ اﺳﺖ ‪.‬‬

‫‪21‬‬
‫ﺟﺎﻳﻲ ﻛﻪ ‪Grain‬ﻫﺎ ﺑﻪ ﻫﻢ ﻣﻲرﺳﻨﺪ ‪ Grain Boundary ،‬ﻧﺎم دارد‪ .‬اﻳﻦ ﻣﺤﻞﻫﺎ ﺑﺴﻴﺎر آﺷﻔﺘﻪ اﺳﺖ و‬

‫ﻧﻈﻢ دﻫﻲ ﺑﻪ آن ﺑﺴﻴﺎر ﻣﺸﻜﻞ اﺳﺖ‪ .‬ﺑﻪ ﻃﻮري ﻛﻪ ﻣﻲﺗﻮان آن را ‪ Open Structure‬ﺗﻠﻘﻲ ﻛﺮد‪ .‬ﺑﻪ‬

‫ﻋﻨﻮان ﻣﺜﺎل اﮔﺮ اﻳﻦ ﻗﻄﻌﻪي ‪Poly Crystal‬از ﺟﻨﺲ ﺳﻴﻠﻴﺴﻴﻮم ﺑﺎﺷﺪ و آن را در ﻛﻮره ﺣﺮارت دﻫﻴﻢ و‬

‫ﮔﺎز ﺑﻮر ﺑﻪ آن ﺑﻴﺎﻓﺰاﻳﻴﻢ)ﺟﻬﺖ ﺗﻮﻟﻴﺪ ﻧﻴﻤﻪﻫﺎدي ﻧﻮع ‪ (P‬ﺑﺪون ﺷﻚ اﺗﻢﻫﺎي ﺑﻮر ﺑﻴﺸﺘﺮ در ﻫﻤﻴﻦ ﻧﻘﺎط‬

‫ﺟﻤﻊ ﻣﻲ ﺷﻮﻧﺪ‪ .‬ﭘﺲ ‪ Grain Boundary‬ﻫﺎ ﻣﻜﺎﻧﻲ ﻫﺴﺘﻨﺪ ﺑﺮاي ﺗﺠﻤﻊ ﻧﺎﺧﺎﻟﺼﻲ‪ .‬ﭘﺲ ﺿﺮﻳﺐ ﭘﺨﺶ در‬

‫‪ Grain Boundary‬ﺻﺪ ﺑﺮاﺑﺮ ﺑﻴﺸﺘﺮ از داﺧﻞ ﻛﺮﻳﺴﺘﺎل اﺳﺖ‪ .‬ﺑﻨﺎ ﺑﺮ اﻳﻦ ﺑﺎ ﻣﻮادي از ﻧﻮع‪Poly Crystal‬‬

‫ﻧﻤﻲﺗﻮان در ﺟﺎﻳﻲ از اﻟﻜﺘﺮوﻧﻴﻚ ﻛﻪ ﻧﻴﺎز ﺑﻪ ﭘ ﻴﭽﻴﺪﮔ ﻲ و ﻇﺮاﻓﺖ ﺑﺴﻴﺎري دارد ﺑﻬﺮه ﺑﺮد‪ .‬اﻣﺎ در‬

‫ﺟﺎﻫﺎﻳﻲ ﻣﺎﻧﻨﺪ اﻧﺘﻘﺎل وﻟﺘﺎژﻫﺎي زﻳﺎد ﻣﺜﻞ ﻛﺎﺑﻞﻫﺎ ﻣﻲﺗﻮان اﺳﺘﻔﺎده ﻛﺮد‪ .‬ﺳﻴﻢﻫﺎ ي ﻣﺴﻲ ﻧﻮﻋﻲ ‪Poly‬‬

‫‪ Crystal‬ﻫﺴﺘﻨﺪ‪.‬‬

‫‪22‬‬
‫ﺑﺎ ‪ Poly Crystal‬ﻫﺎ ﻣﻲﺗﻮان ﺳﻨﺴﻮر‪ ،‬ﺳﻠﻮلﻫﺎي ﺧﻮرﺷﻴﺪي و ‪ ...‬ﺳﺎﺧﺖ‪ .‬اﻳﻦ ﺑﺪان ﻣﻌﻨﺎ ﻧﻴﺴﺖ ﻛﻪ ﺑﺎ‬

‫‪ single crystal‬ﻧﻤﻲﺷﻮد ‪ .‬ﺑﻠﻜﻪ ﺑﺎ ‪ Poly Crystal‬ارزانﺗﺮ و ﺑﻪ ﺻﺮﻓﻪﺗﺮ اﺳﺖ‪.‬ﺑﻪ ﻋﻨﻮان ﻣﺜﺎل ﺳﻴﻠﻴﺴﻴﻮم‬

‫ﻛﺎرﺑﺎﻳﺪ ﺟﺰء ﺧﺎﻧﻮادهي ‪ Poly Crystal‬اﺳﺖ ‪.‬‬

‫ﻫﺮﭼﻪ ‪ Poly Crystal‬آرامﺗﺮ ﻓﺮﻳﺰ ﺷﻮد اﺑﻌﺎد ‪Grain‬ﻫﺎي آن ﺑﺰرگﺗﺮ ﺧﻮاﻫﺪ ﺷﺪ ‪.‬‬

‫‪23‬‬
‫‪:Amorphous solids‬‬ ‫‪- 3- 2- 1‬‬

‫اﻳﻦ ﻧﻮع ﺟﺎﻣﺪات ﻧﻴﺰ از ﻫﻤﺎن ‪ Building Block‬ﻫﺎ وﻟﻲ ﺑﻪ ﺻﻮرت ﻧﺎﻣﻨﻈﻢ ﺗﺸﻜﻴﻞ ﺷﺪهاﻧﺪ ‪.‬‬

‫‪ Amorphous solids‬ﻋﺒﺎرت اﺳﺖ از اﺟﺘﻤﺎع ﻧﺎﻣﻨﻈﻤﻲ از ‪Building Block‬ﻫﺎ‪.‬‬

‫اﻳﻦ ﻧﻮع ﻣﻮاد ﻣﺎﻧﻨﺪ ‪ Poly Crystal‬ﻫﺎ ‪ Isotropic‬ﻫﺴﺘﻨﺪ زﻳﺮا ﺗﻤﺎﻣﻲ ‪ Building Block‬ﻫﺎ ﺑﻪ ﺻﻮرت‬

‫ﺗﺼﺎدﻓﻲ ﻗﺮار ﮔﺮﻓﺘﻪاﻧﺪ و در ﻧﺘﻴﺠﻪ اﻧﺪازه ﮔﻴﺮي وﻳﮋﮔﻲﻫﺎ از ﻫﺮ ﻃﺮف ﻳﻜﺴﺎن ﺧﻮاﻫﺪ ﺑﻮد‪ .‬ﺣﺎل از‬

‫آﻧﺠﺎﻳﻲ ﻛﻪ ﺳﺎﺧﺘﺎر ﻣﻨﻈﻤﻲ ﻧﺪارﻧﺪ و ﻧﻴﺎزي ﺑﻪ ﻧﻈﻢ دﻫﻲ ﻧﺪارﻧﺪ ﻗﻴﻤﺖ آنﻫﺎ ﺑﺴﻴﺎر ارزانﺗﺮ از اﻧﻮاع‬

‫ﻛﺮﻳﺴﺘﺎلﻫﺎﺳﺖ و ﺻﻨﻌﺖ اﻟﻜﺘﺮوﻧﻴﻚ اﻣﺮوزه ﺑﺮ روي اﻳﻦ ﻣﻮاد ﻛﺎر ﻣﻲﻛﻨﺪ‪.‬ﺑﻪ ﻫﻤﻴﻦ دﻟﻴﻞ از اﻳﻦ ﻣﻮاد‬

‫در ﺳﻠﻮلﻫﺎي ﺧﻮرﺷﻴﺪي ﺑﺎ ﺣﺠﻢ زﻳﺎد اﺳﺘﻔﺎده ﻣﻲﺷﻮد ‪.‬‬

‫اﻟﻤﺎس‪ ،‬ﻳﺎﻗﻮت و زﻣﺮد‪ Single Crystal ،‬ﻫﺴﺘﻨﺪ وﻟﻲ دوده و زﻏﺎل ﻛﺮﺑﻦ ‪ Amorphous‬ﻫﺴﺘﻨﺪ‪.‬‬

‫‪24‬‬
‫آﻫﻦ ﻫﻢ ﺑﻪ ﺳﻪ ﺷﻜﻞ ﻳﺎﻓﺖ ﻣﻲ ﺷﻮد‪ Single Crystal .‬آن ﺑﺴﻴﺎر ﻛﻢ اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد ﻣﮕﺮ در ﻣﻮارد‬

‫آﻛﺎدﻣﻴﻚ‪ .‬ﻧﻮع‪ Poly Crystal‬آن ﻫﻤﺎن ﻧﻮﻋﻲ اﺳﺖ ﻛﻪ در اﻛﺜﺮ ﻣﻜﺎنﻫﺎ ﻗﺎﺑﻞ ﻣﺸﺎﻫﺪه اﺳﺖ‪ .‬ﻧﻮع‬

‫‪ Amorphous‬آن ﺟﺪﻳﺪ اﺳﺖ و در ‪ 10‬ﺗﺎ ‪ 15‬ﺳﺎل اﺧﻴﺮ ﺑﻪ آن ﺗﻮﺟﻪ زﻳﺎدي ﺷﺪه اﺳﺖ‪ .‬وﻳﮋﮔﻲ آن‬

‫اﺳﺘﺤﻜﺎم و ﺳﺨﺘﻲ ﺑﻴﺶ از ﺣﺪ اﺳﺖ ﺑﻪ ﻃﻮري ﻛﻪ ﺣﺘﻲ از ﻓﻮﻻد ﻫﻢ ﺳﺨﺖﺗﺮ ﺑﻮده و در ﺑﻠﺒﺮﻳﻨﮓﻫﺎ‬

‫اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‪.‬‬

‫ﻧﻮع ﺳﻴﻠﻴﺴﻴﻮم ﺑﻪ ﻛﺎر رﻓﺘﻪ در ﻃﺮاﺣﻲ ﻣﺪار ‪ Single crystal‬اﺳﺖ ‪.‬‬

‫‪25‬‬
‫‪ Anisotropic‬و ‪:Isotropic‬‬ ‫‪- 4- 2- 1‬‬

‫ﻫﺪاﻳﺖ ) ‪ (Conductivity‬اﻧﺪازه ﮔﻴﺮي ﺷﺪه ﺑﻴﻦ ﻧﻘﺎط ‪ A‬و ‪ B‬ﺑﺎ ﻫﺪاﻳﺖ اﻧﺪازه ﮔﻴﺮي ﺷﺪه ﺑﻴﻦ ﻧﻘﺎط ’‪ A‬و‬

‫’‪ B‬ﻣﺘﻔﺎوت اﺳﺖ‪ .‬زﻳﺮا ﻣﺴﻴﺮ و ﻣﻨﻈﺮهاي ﻛﻪ اﻟﻜﺘﺮون از ‪ A‬ﺑﻪ ‪ B‬ﻣﻲﺑ ﻴﻨﺪ‪ ،‬ﺑﺎ ﻣﺴﻴﺮ و ﻣﻨﻈﺮهاي ﻛﻪ‬

‫اﻟﻜﺘﺮون از ’‪ A‬ﺑﻪ ’‪ B‬ﻣﻲﺑﻴ ﻨﺪ ﻛﺎﻣ ﻼً ﻣﺘﻔﺎوت اﺳﺖ ‪ .‬ﺑﻪ ﻃﻮري ﻛﻪ ﻫﺪاﻳﺖ ﺑﻴﻦ ’‪ A‬و ’‪ B‬از ﻫﺪاﻳﺖ ﺑﻴﻦ ‪ A‬و‬

‫‪ B‬ﺑﻴﺸﺘﺮ اﺳﺖ ‪.‬‬

‫اﻳﻦ ﺑﺪان ﻣﻌﻨﺎﺳﺖ ﻛﻪ اﻧﺪازه ﮔ ﻴﺮيﻫﺎ و ﭘﺎراﻣﺘﺮﻫﺎي ﻣﺮﺑﻮط ﺑﻪ ﺟﺎﻣﺪي از ﻧﻮع ‪ Single Crystal‬ﻣﺎﻧﻨﺪ‬

‫ﻫﺪاﻳﺖ‪ ،‬ﻣﻘﺎوﻣﺖ و ‪ ...‬واﺑﺴﺘﻪ ﺑﻪ ﺟﻬﺖ ﮔﻴﺮي ﺷﻤﺎﺳﺖ‪ .‬ﺑﻪ ﻫﻤﻴﻦ ﺧﺎﻃﺮ اﺳﺖ ﻛﻪ ﭘﺎراﻣﺘﺮﻫﺎي ﻣﺮﺑﻮط‬

‫ﺑﻪ اﻳﻦ ﻧﻮع ﺟﺎﻣﺪات را ﻧﻈﻴﺮ ‪ µ‬و ‪ ε‬و ﻫﺪاﻳﺖ و ‪ ...‬را ﺑﺎ ‪ 9‬ﻋﺪد ﺑﻴﺎن ﻣﻲﻛﻨﻴﻢ ﻧﻪ ﺑﺎ ﻳﻚ ﻋﺪد ﺛﺎﺑﺖ‪ .‬از‬

‫اﻳﻦ رو اﻳﻦ ﻧﻮع ﺟﺎﻣﺪات را "‪ "Anisotropic‬ﻣﻲ ﻧﺎﻣﻨﺪ ‪.‬‬

‫ﺑﺮﻋﻜﺲ ‪ Single Crystal‬ﻫﺎ‪ ،‬در ‪ ،Poly Crystal‬اﮔﺮ ﭼﻴﻨﺶ ‪Grain‬ﻫﺎ ﺗﺼﺎدﻓﻲ ﺑﺎﺷﺪ‪،‬ﺗﻤﺎم وﻳﮋﮔﻲﻫﺎ و‬

‫ﭘﺎراﻣﺘﺮﻫﺎ در ﺟﻬﺎت ﻣﺨﺘﻠﻒ ﺗﻘﺮﻳﺒﺎً ﻋﺪدي ﺛﺎﺑﺖ ﺧﻮاﻫﻨﺪ ﺑﻮد‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ وﻳﮋﮔﻲﻫﺎي آن واﺑﺴﺘﻪ ﺑﻪ‬

‫ﺟﻬﺖ ﮔﻴﺮي ﻧﻴﺴﺖ و ﺑﻪ ﻫﻤﻴﻦ ﺧﺎﻃﺮ اﻳﻦ ﻧﻮع ﺟﺎﻣﺪات را "‪ "Isotropic‬ﻣﻲ ﻧﺎﻣﻨﺪ ‪.‬‬

‫‪26‬‬
‫‪ - 3- 1‬ﺑﺮرﺳﻲ ﺳﺎﺧﺘﺎر ﺑﻠﻮر‪(Structure of Crystal) :‬‬

‫ﺑﺮ اﺳﺎس ﺑﻴﻨﺶ اﻣﺮوزي‪ ،‬آﻣﻮرف ﻫﺎ در دﺳﺘﻪي ﺟﺎﻣﺪات ﻗﺮار ﻧﻤﻲﮔﻴﺮﻧﺪ و ﺑﻪ آنﻫﺎ ‪Frozen liquid‬‬

‫)ﻣﺎﻳﻊ ﻣﻨﺠﻤﺪ( ﮔﻔﺘﻪ ﻣﻲ ﺷﻮد‪ .‬ﻣﺎﻧﻨﺪ ﺷﻴﺸﻪ‬

‫ﺷﺒﻜﻪ ﻛﺮﻳﺴﺘﺎل‪(Crystal Lattice) :‬‬ ‫‪- 1- 3- 1‬‬


‫ﺷﺒﻜﻪي ﻛﺮﻳﺴﺘﺎل ﻣﻮﺟﻮدي اﺳﺖ رﻳﺎﺿﻲ و ﺑﺮاي ﻫﺮ ﻛﺮﻳﺴﺘﺎﻟﻲ ﺗﻌﺮﻳﻒ ﻣﻲﺷﻮد‪ .‬از ﺑﺮداﺷﺘﻦ‬

‫‪ Building Block‬ﻫﺎ و ﻗﺮار دادن ﻳﻚ ﻧﻘﻄﻪ ﺑﻪ ﺟﺎي آنﻫﺎ‪ ،‬ﺷﺒﻜﻪي ﻛﺮﻳﺴﺘﺎﻟﻲ ﺣﺎدث ﻣﻲﺷﻮد‪ .‬ﭘﺲ‪:‬‬

‫ﺷﺒﻜﻪ ﻛﺮﻳﺴﺘﺎل ﻋﺒﺎرت اﺳﺖ از اﺟﺘﻤﺎع ﺳﻪ ﺑﻌﺪي از ﻧﻘﺎط ﻫﻨﺪﺳﻲ‪).‬دﻗﺖ ﺷﻮد ﻛﻪ در اﻧﺘﺨﺎب ﻧﻘﺎط‬

‫آزادﻳﻢ اﻣﺎ ﺑﺎﻳﺪ ﻗﺎﻧﻮن رﻋﺎﻳﺖ ﺷﻮد‪ .‬ﻳﻌﻨﻲ ﺗﻤﺎم ﻧﻘﺎط ﻳﺎ ﺑﺎﻳﺪ ﭼﺸﻢ ﻣﺎﻫﻲﻫﺎ ﺑﺎﺷﻨﺪ ﻳﺎ ﺗﻤﺎﻣﻲ آنﻫﺎ ﺑﺎل‬

‫ﻣﺎﻫﻲﻫﺎ ﻳﺎ‪(...‬‬

‫دوﺑﺎره ﺗﺎﻛﻴﺪ ﻣﻲ ﺷﻮد ﻛﻪ اﻧﺪازه ﮔﻴﺮيﻫﺎ در ‪ single crystal‬واﺑﺴﺘﻪ ﺑﻪ ﺟﻬﺖ اﺳﺖ و اﮔﺮ ﺑﮕﻮﻳﻴﻢ ﻓﻼن‬

‫‪ single crystal‬داراي ݉ܿ‪ ρ = 10݉Ω/‬اﺳﺖ‪ ،‬ﻛﺎﻣﻼً ﻏﻴﺮ ﻋﻠﻤﻲ اﺳﺖ زﻳﺮا ﺟﻬﺖ اﻧﺪازه ﮔﻴﺮي ﻣﺸﺨﺺ‬

‫ﻧﻴﺴﺖ‪ .‬ﺑﻨﺎ ﺑﺮ اﻳﻦ ﻣﻔﻬﻮﻣﻲ ﺑﻪ ﻧﺎم "‪ "Unit Cell‬را ﺗﻌﺮﻳﻒ ﻣﻲﻛﻨﻨﺪ ‪.‬‬

‫‪27‬‬
‫ﺳﻠﻮل واﺣﺪه ﻳﺎ ‪:Unit Cell‬‬ ‫‪- 2- 3- 1‬‬

‫ﻗﺴﻤﺖ ﻣﺤﺪودي از ﺷﺒﻜﻪي ﻛﺮﻳﺴﺘﺎل اﺳﺖ ﻛﻪ ﺑﺮ اﺳﺎس آن ﻣﻲﺗﻮاﻧﻴ ﻢ ﻛﻞ ﻛﺮﻳﺴﺘﺎل را اﻳﺠﺎد ﻛﻨﻴﻢ‪.‬‬

‫)ﻳﺎ ﻗﺴﻤﺘﻲ از ﺷﺒﻜﻪي ﻛﺮﻳﺴﺘﺎل ﻛﻪ ﻛﻞ ﺷﺒﻜﻪ را از ﻟﺤﺎظ ﻫﻨﺪﺳﻲ ﻣﺸﺨﺺ ﻣﻲ ﻛﻨﺪ‪(.‬‬

‫‪ܴሬԦ = ݊ܽԦ + ܾ݉ሬԦ +‬‬

‫ﺑﺮدار ‪ሬԦ‬‬
‫‪ R‬ﻫﻤﻪ ي ﻛﺮﻳﺴﺘﺎل را ﻣﻲ ﺳﺎزد ) ‪ m‬و ‪ n‬و ‪ k‬اﻋﺪادي ﺻﺤﻴﺢ ﻫﺴﺘﻨﺪ(‪.‬‬

‫ﺣﺠﻢ ‪ OPQR‬را ﻣﻲﺗﻮان "ﺳﻠﻮل واﺣﺪه" ﻧﺎﻣﻴﺪ ‪.‬‬

‫ﺳﻠﻮل واﺣﺪه ﻣﻨﺤﺼﺮ ﺑﻪ ﻓﺮد ﻧﻴﺴﺖ و ﺑﻪ ﺻﻮرتﻫﺎي زﻳﺮ ﻧﻴﺰ ﻣﻲﺗﻮاﻧﺪ ﺑﺎﺷﺪ ‪:‬‬

‫‪28‬‬
‫ﺳﻠﻮل واﺣﺪهي ﺑﺪوي ﻳﺎ ‪:Primitive Unit Cell‬‬ ‫‪- 3- 3- 1‬‬

‫ﺳﻠﻮل واﺣﺪﻫﺎي اﺳﺖ ﻛﻪ ﻧﻘﺎط ﺷﺒﻜﻪ ﻓﻘﻂ در رﺋﻮس آن ﻗﺮار ﮔﺮﻓﺘﻪ ﺑﺎﺷﺪ ‪.‬‬

‫ﺷﻜﻞﻫﺎي ‪ 1‬و ‪ 3‬ﻏﻴﺮ ﺑﺪوي ﻫﺴﺘﻨﺪ و ﺷﻜﻞ ‪ 2‬ﺑﺪوي‪.‬‬

‫ﺑﻬﺘﺮ اﺳﺖ اﻧﺘﺨﺎب ﺳﻠﻮل واﺣﺪه از ﻧﻮع ﺑﺪوي ﺑﺎﺷﺪ زﻳﺮا ﻛﻮﭼﻚﺗﺮ و ﺳﺎدهﺗﺮ اﺳﺖ‪.‬‬

‫‪ -1-3-3-1‬ﻣﻼكﻫﺎي اﻧﺘﺨﺎب ﺳﻠﻮل واﺣﺪه‪:‬‬


‫‪ - 1‬ﺣﺘﻲ اﻻﻣﻜﺎن ﻛﻮﭼﻚﺗﺮﻳﻦ ﺳﻠﻮل واﺣﺪه اﻧﺘﺨﺎب ﺷﻮد ‪.‬‬

‫‪ - 2‬ﺳﻠﻮل واﺣﺪﻫﺎي را اﻧﺘﺨﺎب ﻛﻨﻴﻢ ﻛﻪ ﺗﻘﺎرنﻫﺎي ﺳﺎﺧﺘﺎري ﺷﺒﻜﻪي ﻛﺮﻳﺴﺘﺎل را ﺑﻴﺸﺘﺮ ﺑﻴﺎن ﻛﻨﺪ ‪.‬‬

‫‪29‬‬
‫ﺷﻜﻞ ‪ 1‬ﺑﻬﺘﺮ اﺳﺖ زﻳﺮا ﺗﻘﺎرنﻫﺎ را ﺑﻬﺘﺮ ﻧﺸﺎن ﻣﻲدﻫﺪ ‪.‬‬

‫ﺷﻜﻞ )‪ (a‬ﺳﻠﻮل واﺣﺪه ﺷﺒﻜﻪي ﻛﺮﻳﺴﺘﺎﻟﻲ )‪ (b‬اﺳﺖ ‪.‬‬

‫‪30‬‬
‫ﻣﺤﻮرﻫﺎي ﻛﺮﻳﺴﺘﺎﻟﻮﮔﺮاﻓﻲ‪crystallographic axis :‬‬ ‫‪- 4- 3- 1‬‬

‫ﻓﺮض ﻛﻨﻴﺪ ﺳﻠﻮل واﺣﺪﻫﺎي را اﻧﺘﺨﺎب ﻛﺮده ﺑﺎﺷﻴﻢ‪ .‬اﻣﺘﺪاد ﻣﺤﻮر ܿ‪ ሬሬԦ‬و ‪ ܾሬԦ‬و‪ ܽሬሬሬԦ‬ﻫﻤﺎن ﻣﺤﻮرﻫﺎي ‪ z‬و ‪ y‬و‬

‫‪ x‬ﺧﻮاﻫﻨﺪ ﺷﺪ ﻛﻪ ﺑﻪ آنﻫﺎ ﻣﺤﻮرﻫﺎي ﻛﺮﻳﺴﺘﺎﻟﻮﮔﺮاﻓﻲ ﻣﻲﮔﻮﻳﻨﺪ‪ .‬ﺣﺎل اﮔﺮ ﻣﺤﻮرﻫﺎي ﻛﺮﻳﺴﺘﺎﻟﻮﮔﺮاﻓﻲ‬

‫ﻣﺒﻨﺎي اﻧﺪازه ﮔﻴﺮي ﺷﻮﻧﺪ‪ µ ،‬و ‪ ε‬و ‪ ...‬را ﺗﻨﻬﺎ ﺑﺎ ‪ 3‬ﻋﺪد ﻣﻲﺗﻮان ﺑﻴﺎن ﻛﺮد‪.‬‬

‫ﺛﺎﺑﺖ ﺷﺒﻜﻪ‪lattice Constants :‬‬ ‫‪- 5- 3- 1‬‬

‫ﻗﺪر ﻣﻄﻠﻖ اﺑﻌﺎد ﺳﻠﻮل واﺣﺪه را ﺛﺎﺑﺖﻫﺎي ﺷﺒﻜﻪ ﻣﻲﻧﺎﻣﻨﺪ ‪.‬‬

‫‪ -4-1‬ﺑﺮرﺳﻲ اﻧﻮاع ﺗﻘﺎرن‪( Symmetry Elements) :‬‬

‫‪31‬‬
‫ﺗﻘﺎرن آﻳﻨﻪاي‪(Mirror Plane ) :‬‬ ‫‪- 1- 4- 1‬‬

‫ﺟﺴﻤﻲ داراي ﺗﻘﺎرن آﻳﻨﻪاي اﺳﺖ ﻛﻪ اﮔﺮ ﻫﺮ ﻧﻘﻄﻪ روي آن را اﻧﺘﺨﺎب ﻛﻨﻴﻢ و ﺑﺮ ﺻﻔﺤﻪ ﻋﻤﻮد ﻛﺮده‬

‫و ﺑﻪ ﻫﻤﺎن اﻧﺪازه اﻣﺘﺪاد دﻫﻴﻢ‪ ،‬روي ﺟﺴﻢ ﺑﺎﺷﻴﻢ ‪.‬‬

‫در اﻳﻦ ﺻﻮرت ﻣﻲ ﮔﻮﻳﻴﻢ ﺟﺴﻢ ‪ Mirror Plane‬اﺳﺖ و ﺑﺎ ‪ m‬ﻧﻤﺎﻳﺶ ﻣﻲدﻫﻴﻢ‪.‬اﮔﺮ ﺟﺴﻤﻲ داراي ‪2‬‬

‫ﺗﻘﺎرن آﻳﻨﻪاي ﺑﺎﺷﺪ‪ ،‬آن را ﺑﺎ ‪ mm‬ﻧﺸﺎن ﻣﻲدﻫﻴﻢ‪.‬‬

‫ﺗﻘﺎرن ﻣﺮﻛﺰي‪(Center Of Symmetry) :‬‬ ‫‪- 2- 4- 1‬‬

‫ﺟﺴﻤﻲ داراي ﺗﻘﺎرن ﻣﺮﻛﺰي اﺳﺖ ﻛﻪ اﮔﺮ ﻫﺮ ﻧﻘﻄﻪ روي آن را اﻧﺘﺨﺎب ﻛﻨﻴﻢ و ﺑﻪ ﻣﺮﻛﺰ ﺗﻘﺎرن وﺻﻞ‬

‫ﻛﺮده و ﺑﻪ ﻫﻤﺎن اﻧﺪازه اﻣﺘﺪاد دﻫﻴﻢ‪ ،‬روي ﺟﺴﻢ ﺑﺎﺷﻴﻢ ‪.‬‬

‫‪32‬‬
‫‪ - 3- 4- 1‬ﻣﺤﻮرﻫﺎي ﺗﻘﺎرن‪(Symmetry Axis) :‬‬

‫" ﻣﺤﻮر ﺗﻘﺎرن ‪ n‬ﺗﺎﻳﻲ" )‪ (n-fold symmetry axis‬ﻣﺤﻮري اﺳﺖ ﻛﻪ اﮔﺮ ﻫﺮ ﻧﻘﻄﻪ روي ﺟﺴﻢ اﻧﺘﺨﺎب‬
‫‪ଶగ‬‬
‫) ‪ n‬ﻋﺪد ﺻﺤﻴﺢ اﺳﺖ( ﺣﻮل آن ﻣﺤﻮر ﺑﭽﺮﺧﺎﻧﻴﻢ‪ ،‬ﺑﻪ ﻧﻘﻄﻪاي دﻳﮕﺮ روي ﺟﺴﻢ‬ ‫‪௡‬‬
‫ﻛﻨﻴﻢ و ﺑﻪ اﻧﺪازهي‬

‫ﺑﺮﺳﻴﻢ‪.‬‬

‫ﺗﻤﺎم اﺟﺴﺎم داراي ﻣﺤﻮر ﺗﻘﺎرن ﻳﻚ ﺗﺎﻳﻲ ﻫﺴﺘﻨﺪ‪ .‬ﭘﺲ آن را در ﻧﻈﺮ ﻧﻤﻲﮔﻴﺮﻳﻢ ‪.‬‬

‫ﻣﺤﻮر ﺗﻘﺎرن ‪ 2‬ﺗﺎﻳﻲ ‪:‬‬

‫ﺑﻪ ﻋﻨﻮان ﻣﺜﺎل ﻛﺘﺎﺑﻲ ﻛﻪ داراي ﺷﻴﺮازه اﺳﺖ‪ ،‬ﻳﻚ ﻣﺤﻮر ﺗﻘﺎرن ‪ 2‬ﺗﺎﻳﻲ دارد و آن را ﺑﺎ ‪ mm2‬ﻧﺸﺎن‬

‫ﻣﻲدﻫﻴﻢ‪:‬‬

‫ﻣﺤﻮر ﺗﻘﺎرن ‪ 3‬ﺗﺎﻳﻲ‪:‬‬

‫ﻣﻜﻌﺐ و ﭼﻬﺎر وﺟﻬﻲ ﻣﻨﺘﻈﻢ داراي ﺗﻘﺎرن ‪ 3‬ﺗﺎﻳﻲ ﻫﺴﺘﻨﺪ ‪:‬‬

‫‪33‬‬
‫ﻣﺤﻮر ﺗﻘﺎرن ‪ 4‬ﺗﺎﻳﻲ ‪:‬‬

‫ﻣﻜﻌﺐ داراي ‪ 3‬ﻣﺤﻮر ﺗﻘﺎرن ‪ 4‬ﺗﺎﻳﻲ اﺳﺖ ‪:‬‬

‫ﻣﺤﻮر ﺗﻘﺎرن ‪ 6‬ﺗﺎﻳﻲ‪:‬‬

‫ﺧﻴﻠﻲ از ﻓﻠﺰات داراي اﻳﻦ ﻧﻮع ﺳﺎﺧﺘﺎرﻧﺪ‪.‬ﻣﺜﻼً ﮔﺮاﻓﻴﻦ داراي اﻳﻦ ﺳﺎﺧﺘﺎر اﺳﺖ ‪.‬‬
‫‪34‬‬
‫ﺷﻜﻞ زﻳﺮ ﺳﺎﺧﺘﺎر ﮔﺮاﻓﻴﻦ اﺳﺖ ‪:‬‬

‫از روي ﻫﻢ ﻗﺮار ﮔﺮﻓﺘﻦ ﻻﻳﻪﻫﺎي ﮔﺮاﻓﻴﻦ‪ ،‬ﮔﺮاﻓﻴ ﺖ ﺣﺎﺻﻞ ﻣﻲ ﺷﻮد‪.‬ﮔﺮاﻓﻴ ﺖ داراي ﺑﻴﺸﺘﺮﻳﻦ دﻣﺎي‬

‫ذوب )ﺑﺎﻻﺗﺮ از ܥ‪ (4000°‬ﺑﻴﻦ ﺗﻤﺎﻣﻲ اﺟﺴﺎم اﺳﺖ‪ .‬از ﻧﻮع ﭘﻠﻲ ﻛﺮﻳﺴﺘﺎل ﮔﺮاﻓﻴﺖ در ﻣﻐﺰ ﻣﺪادﻫﺎ‬

‫اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‪.‬‬

‫ﻛﺮﻳﺴﺘﺎل اﺟﺘﻤﺎﻋﻲ ﺳﻪ ﺑﻌﺪي ﻣﻨﻈﻢ و ﻣﺮﺗﺐ از اﺗﻢﻫﺎ و ﻣﻮﻟﻜﻮلﻫﺎﺳﺖ ﻛﻪ داراي ﺳﺎﺧﺘﺎر ﭘﺮﻳﻮدﻳﻚ‬

‫ﺑﺎﺷﻨﺪ ‪ .‬ﻣﻲﺗﻮان ﻧﺸﺎن داد ﻛﻪ ﻳﻚ ﺳﺎﺧﺘﺎر ﻛﺮﻳﺴﺘﺎﻟﻲ ﻧﻤﻲﺗﻮاﻧﺪ داراي ﻣﺤﻮر ﺗﻘﺎرن ‪ 5‬ﺗﺎﻳﻲ ﺑﺎﺷﺪ‪ .‬ﺑﻪ‬

‫‪35‬‬
‫ﻫﻤﻴﻦ ﻋﻠﺖ اﺳﺖ ﻛﻪ ﺑﻨﺎﻫﺎ را ﺑﺎ ﻛﺎﺷﻲﻫﺎي ‪ 5‬ﺿﻠﻌﻲ ﻧﻤﻲ ﺳﺎزﻧﺪ ‪ .‬ﭼﻮن ﻛﻞ دﻳﻮارﻫﺎ را ﭘﻮﺷﺶ‬

‫ﻧﻤﻲدﻫﺪ‪ .‬ﻛﻼ ﺳﺎﺧﺘﺎر ‪ 5‬ﺿﻠﻌﻲ در ﻃﺒﻴﻌﺖ ﺑﺴﻴﺎر ﻛﻢ ﻳﺎﻓﺖ ﻣﻲ ﺷﻮد‪ .‬ﺣﺘﻲ ﺳﻠﻮلﻫﺎي ﺑﺪن داراي‬

‫ﺳﺎﺧﺘﺎر ‪ 6‬ﺿﻠﻌﻲ ﻫﺴﺘﻨﺪ‪.‬‬

‫ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ ﺷﻜﻞ ﺑﺎﻻ ﻣﺤﻮر ﺗﻘﺎرن ‪ 5‬ﺗﺎﻳﻲ و ‪ 8‬ﺗﺎﻳﻲ ﻧﺪارﻳﻢ‪ .‬ﻣﺤﻮر ﺗﻘﺎرن ‪ 7‬ﺗﺎﻳﻲ و ‪ 9‬ﺗﺎﻳﻲ و‪ ...‬ﻧﻴﺰ‬

‫وﺟﻮد ﻧﺪارد‪ .‬ﺣﺘﻲ ﻛﺮه داراي ﺑﻲ ﻧﻬﺎﻳﺖ ﻣﺤﻮر ﺗﻘﺎرن اﺳﺖ‪ ،‬اﻣﺎ در ﻃﺒﻴﻌﺖ ﺳﺎﺧﺘﺎري ﻛﺮوي وﺟﻮد‬

‫ﻧﺪارد ﭼﻮن ﻧﻤﻲﺗﻮاﻧﺪ ﺳﻄﺢ را ﭘﻮﺷﺶ دﻫﺪ ‪.‬‬

‫ﭘﺲ ﻛﻞ ﻣﺤﻮرﻫﺎي ﺗﻘﺎرن ﻃﺒﻴﻌﺖ ﺗﻨﻬﺎ ﻋﺒﺎرﺗﻨﺪ از ‪ 4-fold ،3-fold ،2-fold:‬و ‪.6-fold‬‬

‫‪ - 4- 4- 1‬ﻣﺤﻮرﻫﺎي ﺗﻘﺎرن ﻣﻌﻜﻮس‪(Inverse Symmetry Axis) :‬‬

‫" ﻣﺤﻮر ﺗﻘﺎرن ﻣﻌﻜﻮس ‪ n‬ﺗﺎﻳﻲ" )‪ (Inverse n-fold symmetry axis‬ﻣﺤﻮري اﺳﺖ ﻛﻪ اﮔﺮ ﻫﺮ ﻧﻘﻄﻪ روي‬
‫‪ଶగ‬‬
‫)‪ n‬ﻋﺪد ﺻﺤﻴﺢ اﺳﺖ( ﺣﻮل آن ﻣﺤﻮر ﺑﭽﺮﺧﺎﻧﻴﻢ‪ ،‬و ﻧﻘﻄﻪي‬ ‫‪௡‬‬
‫ﺟﺴﻢ اﻧﺘﺨﺎب ﻛﻨﻴﻢ و ﺑﻪ اﻧﺪازهي‬

‫ﺣﺎﺻﻞ ﺷﺪه را ﻧﺴﺒﺖ ﺑﻪ ﻣﺮﻛﺰ ﺑﻪ ﻫﻤﺎن اﻧﺪازه اﻣﺘﺪاد دﻫﻴﻢ‪ ،‬ﺑﻪ ﻧﻘﻄﻪاي روي ﻫﻤﺎن ﺟﺴﻢ ﺑﺮﺳﻴﻢ ‪.‬‬

‫آﻧﮕﺎه ﻣﻲﮔﻮﻳﻴ ﻢ ﺟﺴﻢ داراي ﺗﻘﺎرن ‪ ݊ത‬اﺳﺖ‪.‬ﺳﻴﻠﻴﺴﻴﻮم داراي ﻣﺤﻮر ﺗﻘﺎرن ﻣﻌﻜﻮس ﻧﻴﺰ ﻣﻲ ﺑﺎﺷﺪ‪.‬‬

‫ﭼﺮﺧﻴﺪه و ﺑﻪ ‪ᇱଵ‬ܣ ﻣﻲرﺳﺪ‪ .‬ﺳﭙﺲ ‪ᇱଵ‬ܣ را‬ ‫ﻣﻜﻌﺐ داراي ﺗﻘﺎرن ‪ 4ത‬اﺳﺖ‪ :‬اﺑﺘﺪا ‪ଵ‬ܣ ﺣﻮل ﻣﺤﻮر ﺗﻘﺎرن‬
‫‪ଶ஠‬‬
‫‪ସ‬‬

‫ﻧﺴﺒﺖ ﺑﻪ ﻣﺮﻛﺰ اﻣﺘﺪاد داده و ﺑﻪ ‪ଶ‬ܣ ﻣﻲرﺳﺪ ﻛﻪ روي ﻣﻜﻌﺐ ﻗﺮار دارد‪.‬‬
‫‪36‬‬
‫ﻛﻞ ﻣﺤﻮرﻫﺎي ﺗﻘﺎرن ﻣﻌﻜﻮس ﻃﺒﻴﻌﺖ ﻋﺒﺎرﺗﻨﺪ از‪ 4ത ، 3ത ، 2ത :‬و ‪.6ത‬‬

‫‪:Symmetry elements for a cube‬‬


‫ﺷﻜﻞ زﻳﺮ اﻟﻤﺎنﻫﺎي ﺗﻘﺎرن ﻳﻚ ﻣﻜﻌﺐ را ﻧﺸﺎن ﻣﻲدﻫﺪ )ﺑﻪ ﺟﺰ ﻣﺤﻮر ﺗﻘﺎرن ﻣﻌﻜﻮس(‪:‬‬

‫‪37‬‬
‫‪: (Point group )Symmetry Classes - 5- 4- 1‬‬

‫ﻣﺎﻫﻲﻫﺎي داﺧﻞ ﻫﺮ ﺷﺒﻜﻪ ﻧﻴﺰ ﻣﻲﺗﻮاﻧﻨﺪ ﺗﻘﺎرنﻫﺎﻳﻲ داﺷﺘﻪ ﺑﺎﺷﻨﺪ‪ 32 .‬ﻛﻼس از ﺗﻘﺎرنﻫﺎ وﺟﻮد‬

‫دارد‪ Point Group .‬از آن ﺟﻬﺖ ﮔﻔﺘﻪ ﻣﻲﺷﻮد ﻛﻪ ﺗﻘﺎرن ﻓﻘﻂ ﺣﻮل ﻳﻚ ﻧﻘﻄﻪ از ﺷﺒﻜﻪ اﺗﻔﺎق‬

‫ﻣﻲاﻓﺘﺪ‪ .‬اﺛﺒﺎت ﺷﺪه ﻛﻪ ﺑﻴﺶ از ‪ 32‬ﻛﻼس ﺗﻘﺎرن ﺣﻮل ﻳﻚ ﻧﻘﻄﻪ وﺟﻮد ﻧﺪارد‪ .‬ﺑﻪ ﻋﺒﺎرت دﻳﮕﺮ‬

‫ﻣﻮﻟﻜﻮلﻫﺎﻳﻲ ﻛﻪ ﻳﻚ ﻛﺮﻳﺴﺘﺎل را ﺑﻪ وﺟﻮد ﻣﻲآورﻧﺪ ﻓﻘﻂ ‪ 32‬ﻛﻼس ﻣﻲﺗﻮاﻧﻨﺪ داﺷﺘﻪ ﺑﺎﺷﻨﺪ ‪.‬‬

‫ﺟﺪول اﻳﻦ ‪ 32 group‬در ﻛﺘﺎب ﻗﺪﻳﻤﻲ "‪ "Philips‬و در " ‪ "Wikipedia‬ﻣﻮﺟﻮد اﺳﺖ ‪.‬‬

‫در ﺷﻜﻞﻫﺎي زﻳﺮ اﻳﻦ ‪ 32‬ﻣﻮرد ﻧﺸﺎن داده ﺷﺪهاﻧﺪ ‪:‬‬

‫‪38‬‬
‫‪:Bravais Lattice‬‬ ‫‪- 6- 4- 1‬‬

‫‪object‬ﻫﺎ ﺑﺎ ﻗﺮارﮔﻴﺮي در ‪ ،lattice‬اﻳﺠﺎد ﺗﻘﺎرن ﻣﻲﻛﻨﻨﺪ ‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ ﺳﺎﺧﺘﺎرﻫﺎي ﺗﻘﺎرﻧﻲ ‪lattice‬ﻫﺎ ﻧﻴﺰ‬

‫ﻣﻬﻤﺎﻧﺪ ‪.‬‬

‫‪ Bravais‬ﻧﺎم داﻧﺸﻤﻨﺪي اﺳﺖ ﻛ ﻪ اﻫﻞ ﻫﻨﺪﺳﻪي ﻓﻀﺎﻳﻲ اﺳﺖ‪ .‬ﻣﻲﺧﻮاﻫ ﻴﻢ ﺑﺒﻴﻨﻴﻢ در ﻓﻀﺎ ﭼﻨﺪ ﻧﻮع‬

‫ﺷﺒﻜﻪي ﻓﻀﺎﻳﻲ وﺟﻮد دارد‪.‬‬

‫‪39‬‬
‫اﻳﻦ داﻧﺸﻤﻨﺪ ﺛﺎﺑﺖ ﻣﻲﻛﻨﺪ ﻛﻪ ‪ lattice‬ﻫﺎ از ﻧﻈﺮ دارا ﺑﻮدن اﻟﻤﺎنﻫﺎي ﺗﻘﺎرن ‪ 14‬ﻧﻮع ﻫﺴﺘﻨﺪ‪.‬ﻳﻌﻨﻲ اﮔﺮ‬

‫‪lattice‬ﻫﺎي ﻛﻞ ﻛﺮﻳﺴﺘﺎلﻫﺎﻳﻲ ﻛﻪ ﺧﺪاوﻧﺪ آﻓﺮﻳﺪه اﺳﺖ را ﻣﺸﺨﺺ ﻛﻨﻴﻢ‪ ،‬ﻣﻲﺑﻴ ﻨﻴ ﻢ ﺑﻴﺸﺘﺮ از ‪ 14‬ﻧﻮع‬

‫ﻧﻴﺴﺖ‪.‬‬

‫در ﺷﻜﻞ زﻳﺮ اﻳﻦ ‪ 14‬ﻧﻮع ﻧﻤﺎﻳﺶ داده ﺷﺪه اﺳﺖ‪:‬‬

‫‪40‬‬
41
‫در اداﻣﻪ ﺑﻪ ﺑﺮرﺳﻲ ﭼﻨﺪ ﻣﻮرد از اﻳﻦ ‪ 14‬ﻣﻮرد ﻣﻲﭘﺮدازﻳﻢ‪:‬‬

‫‪:(SC) Simple Cubic lattice -1-6-4-1‬‬

‫ﺗﻌﺪاد اﻳﻦ ﻧﻮع در ﻃﺒﻴﻌﺖ ﻛﻢ اﺳﺖ‪.‬ﺳﺰﻳﻢ ﻛﻠﺮاﻳﺪ )‪ (CsCl‬و ﺑﺎرﻳﻢ ﺗﺎﻳﺘﺎﻧﺎﻳﺖ )‪ (BaTio3‬از اﻳﻦ ﻧﻮع‬

‫ﻫﺴﺘﻨﺪ‪.‬‬

‫‪ Ti‬در ﻣﺮﻛﺰ ﻣﻜﻌﺐ اﺳﺖ و ‪ O‬ﻫﺎ در ﻣﺮﻛﺰ ﺻﻔﺤﺎت‪ .‬اﻣﺎ ﻓﻘﻂ ﻣﺮﻛﺰ ‪ Ba‬ﻫﺎ ﺑﻪ ﻋﻨﻮان ‪ lattice point‬در‬

‫ﻧﻈﺮ ﮔﺮﻓﺘﻪ ﻣﻲﺷﻮد‪.‬ﭼﻮن ﻗﺮار ﺑﻮد ﻳﺎ ﭼﺸﻢ‪ ،‬ﻳﺎ ﺑﺎل ﻣﺎﻫﻲ ﻳﺎ‪ ...‬را ‪ lattice point‬ﺑﮕﻴﺮﻳﻢ‪ .‬در اﻳﻨﺠﺎ ‪ Ba‬ﺑﻪ‬

‫ﻋﻨﻮان ‪ lattice Point‬اﻧﺘﺨﺎب ﺷﺪه اﺳﺖ ‪.‬‬


‫‪42‬‬
‫‪ Sc‬ﺑﻪ ﻋﻨﻮان ‪ lattice Point‬اﻧﺘﺨﺎب ﺷﺪه اﺳﺖ‪.‬‬

‫‪:(BCC) Body-Centered Cubic lattice -2-6-4-1‬‬


‫در ﻣﺮﻛﺰ ﻣﻜﻌﺐ ﻧﻴﺰ ﻳﻚ ‪ lattice point‬ﻗﺮار دارد‪.‬‬

‫ﻣﺜﺎل ﻣﻌﺮوف اﻳﻦ ﻧﻮع‪ ،‬آﻫﻦ اﺳﺖ‪.‬اﻟﺒﺘﻪ آﻫﻦ ﭘﺎﻳﻴﻦ ܥ‪.700°‬‬

‫آﻫﻦ در دﻣﺎي ﺑﺎﻻﺗﺮ از ܥ‪ 700°‬ﺑﻪ ﺳﺎﺧﺘﺎر ‪ FCC‬ﻣﻲرود و ﺧﻮاص ﻣﻐﻨﺎﻃﻴﺴﻲ ﺧﻮد را از دﺳﺖ ﻣﻲدﻫﺪ‪.‬‬

‫‪43‬‬
‫‪:(FCC) Face-Centered Cubic lattice -3-6-4-1‬‬
‫در ﻫﺮ وﺟﻪ ﻣﻜﻌﺐ ﻧﻴﺰ ﻳﻚ ‪ lattice point‬ﻗﺮار دارد‪.‬‬

‫ﻃﻼ و ﻣﺲ و ﺗﻌﺪادي از ﻧﻴﻤﻪﻫﺎديﻫﺎ ﻣﺎﻧﻨﺪ ‪ GaAs‬از اﻳﻦ ﻧﻮﻋﻨﺪ‪.‬‬

‫اﮔﺮ درون ﻳﻚ ﺟﻌﺒﻪ ﺗﻌﺪاد زﻳﺎدي ﺗﻮپ ﭘﻴﻨﮓﭘﻮﻧﮓ را ﺑﻪ ﺻﻮرت ﻓﺸﺮده ﻗﺮار دﻫﻴﻢ ﺳﺎﺧﺘﺎر ‪FCC‬‬

‫ﺷﻜﻞ ﻣﻲﮔﻴﺮد‪.‬‬

‫ﻛﺮﻳﺴﺘﺎل اﻟﻤﺎس ﺑﺎ ‪ FCC‬ﻣﺘﻔﺎوت اﺳﺖ اﻣﺎ در اﻳﻦ ﺧﺎﻧﻮاده ﻗﺮار ﻣﻲﮔﻴﺮد )‪ 2‬ﺗﺎ ‪ FCC‬ﻛﻪ در ﻫﻢ ﻓﺮو‬

‫رﻓﺘﻪاﻧﺪ(‪.‬‬

‫‪:Simple Tetragonal lattice -4-6-4-1‬‬


‫ﻫﻤﺎن ‪ Simple Cubic‬اﺳﺖ ﺑﺎ اﻳﻦ ﺗﻔﺎوت ﻛﻪ ﺑﻪ ﺟﺎي ﻣﻜﻌﺐ‪ ،‬ﻣﻜﻌﺐ ﻣﺴﺘﻄﻴﻞ ﻗﺮار ﮔﺮﻓﺘﻪ اﺳﺖ‪.‬‬

‫ﮔﺎﻟﻴﻮم ﺗﺎﻳﺘﺎﻧﻴﺖ از اﻳﻦ ﻧﻮع اﺳﺖ‪.‬‬

‫‪ BaTio3‬در دﻣﺎي ﭘﺎﻳﻴﻦﺗﺮ از ܥ‪ 120°‬از ‪ Cubic‬ﺑﻪ ﺗﺘﺮاﮔﻮﻧﺎل ﻣﻲرود‪.‬‬

‫‪ - 7- 4- 1‬ﺳﺎﺧﺘﺎر اﻟﻤﺎﺳﻲ)‪:(Diamond structure‬‬

‫اﻟﻤﺎس داراي ﺳﺎﺧﺘﺎر ﻛﺮﺑﻦ ﭼﻬﺎروﺟﻬﻲ اﺳﺖ‪ Unit cell .‬ﺳﺎﺧﺘﺎر اﻟﻤﺎﺳﻲ ﻣﺸﺎﺑﻪ ﻧﻮع ‪ FCC‬اﺳﺖ و روي‬

‫ﻫﺮ ﻗﻄﺮ اﺻﻠﻲ ﻳﻚ ‪ Building Block‬ﻗﺮار دارد‪.‬ﺳﺎﺧﺘﺎر آن ﺑﻪ ﺷﻜﻞ زﻳﺮ اﺳﺖ ‪:‬‬

‫‪44‬‬
‫در ﺳﺎﺧﺘﺎر ‪ FCC‬ﺳﺎده در ﻛﻞ ‪ 4‬اﺗﻢ در ﻳﻚ ﺳﻠﻮل واﺣﺪه ﻗﺮار دارد اﻣﺎ در ﺳﺎﺧﺘﺎر اﻟﻤﺎﺳﻲ ‪ 8‬ﺗﺎ‪ .‬ﭼﻮن‬
‫‪ଵ‬‬
‫‪ Building Block 4‬داﺧﻞ ﻣﻜﻌﺐ اﺳﺖ‪Building Block .‬ﻫﺎي داﺧﻠﻲ روي ‪ ସ‬ﻗﻄﺮ اﺻﻠﻲ واﻗﻊ اﻧﺪ ‪.‬‬

‫‪ -1-7-4-1‬ﺳﺎﺧﺘﺎر ﺳﻴﻠﻴﺴﻴﻮم‪:‬‬
‫در ﺳﺎﺧﺘﺎر اﻟﻤﺎﺳﻲ اﮔﺮ ﺑﻪ ﺟﺎي ‪Building Block‬ﻫﺎي ﻛﺮﺑﻦ‪ ،‬ﺳﻴﻠﻴﺴﻴﻮم ﻗﺮار دﻫﻴﻢ‪ ،‬ﻛﺮﻳﺴﺘﺎل ‪ Si‬ﺗﻮﻟﻴﺪ‬

‫ﻣﻲﺷﻮد ‪.‬‬

‫ﻣﺜﺎل ‪:‬‬

‫ﺑﺎ داﺷﺘﻦ ﻣﻘﺎدﻳﺮ زﻳﺮ ﺑﺮاي ﺳﻴﻠﻴﺴﻴﻮم ‪:‬‬

‫‪NA=6.02214179×1023 mol−1‬‬
‫‪Density =2.3290 g·cm−3‬‬

‫‪45‬‬
‫‪Standard atomic weight =28.0855g·mol−1‬‬

‫اﻟﻒ( ﺛﺎﺑﺖ ﺷﺒﻜﻪ را ﺣﺴﺎب ﻛﻨﻴﺪ ‪.‬‬

‫ب( ﻓﺎﺻﻠﻪي ﻧﺰدﻳﻚﺗﺮﻳﻦ ﻫﻤﺴﺎيﮔﺎن را از ﻳﻜﺪﻳﮕﺮ ﻣﺤﺎﺳﺒﻪ ﻛﻨﻴﺪ ‪.‬‬

‫ج( ﺑﺎ ﻓﺮض اﻳﻦ ﻛﻪ ﻧﺰدﻳﻚﺗﺮﻳﻦ ﻫﻤﺴﺎﻳﻪﻫﺎ در ﻛﻨﺎر ﻫﻢ ﺑﺎﺷﻨﺪ‪ ،‬ﺷﻌﺎع اﺗﻢ ‪ Si‬را ﺣﺴﺎب ﻛﻨﻴﺪ‪.‬‬

‫د( ﻳﺎﻓﺘﻪﻫﺎ را ﺑﺎ ﻣﻘﺪار واﻗﻌﻲ ﻣﻘﺎﻳﺴﻪ و ﻋﻠﺖ ﺗﻔﺎوت را ﺑﻴﺎن ﻛﻨﻴﺪ ‪.‬‬

‫‪:Space group - 8- 4- 1‬‬

‫‪ Space group‬ﻣﺮﺑﻮط ﺑﻪ ﺗﻘﺎرنﻫﺎي ﻣﻮﺟﻮد در ﻓﻀﺎﺳﺖ ‪ .‬در ﻗﺴﻤﺖﻫﺎي ﻗﺒﻞ دﻳﺪﻳﻢ ﻛﻪ ‪ 32‬ﻧﻮع ﺗﻘﺎرن‬

‫ﻧﻘﻄﻪاي ﺑﺮاي ‪Building Block‬ﻫﺎ و ‪ 14‬ﺳﺎﺧﺘﺎر ﻣﺨﺘﻠﻒ ﺑﺮاي ﺷﺒﻜﻪﻫﺎ وﺟﻮد دارد‪.‬‬

‫اﻣﺎ ‪ 14 × 32 = 448‬ﻧﻮع ﻛﺮﻳﺴﺘﺎل وﺟﻮد ﻧﺪارد‪ .‬ﭼﺮا ﻛﻪ ﻓﻼن ﺗﻘﺎرن ﺑﺮاي ﻣﺎﻫﻲ )از ‪ 32‬ﺗﺎ( ﻧﻤﻲﺗﻮاﻧﺪ‬

‫در ﻓﻼن ﺷﺒﻜﻪ )از ‪ 14‬ﺗﺎ( ﻗﺮار ﮔﻴﺮد‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ ﺗﻌﺪاد زﻳﺎدي از ‪ 448‬ﻧﻮع ﺣﺬف ﻣﻲﺷﻮﻧﺪ و ‪ 332‬ﻧﻮع‬

‫‪ Space group‬وﺟﻮد دارد‪.‬ﻣﺜﻼً ﺑﻪ ﺻﻮرت ‪തതതതതത‬‬


‫݉‪43‬ܨ ﻧﻤﺎﻳﺶ داده ﻣﻲﺷﻮﻧﺪ‪.‬‬

‫ﺗﻮﺟﻪ ﺷﻮد ﻛﻪ ﻛﺮﻳﺴﺘﺎل اﻟﻤﺎس و ﺳﻴﻠﻴﺴﻴﻮم ﺗﻘﺎرن ﻣﺮﻛﺰي ﻧﺪارﻧﺪ ‪.‬‬

‫‪46‬‬
‫اﻧﺪﻳﺲﻫﺎي ﻣﻴﻠﺮ)‪:(miller Indices‬‬ ‫‪- 9- 4- 1‬‬

‫ﻓﺮض ﺷﻮد ﻛﻪ ﻳﻚ ‪ device‬اﻟﻜﺘﺮوﻧﻴﻜﻲ ﺑﺎ ﺳﻴﻠﻴﺴﻴﻮم ﺳﺎﺧﺘﻪاﻳﻢ و ﻧﺘﺎﻳﺞ آن را ﮔﺰارش ﻛﺮدﻳﻢ‪ .‬ﺣﺎل‬

‫اﮔﺮ ﻧﮕﻮﻳﻴﻢ ﻛﻪ در ﻛﺠﺎ و ﭼﻪ راﺳﺘﺎﻳﻲ ﻋﻤﻞ ﺳﺎﺧﺖ اﻧﺠﺎم ﺷﺪه اﺳﺖ‪ ،‬ﮔﺰارش ﻣﺎ ﻓﺎﻳﺪهاي ﻧﺪارد‪.‬‬

‫ﭘﺲ ﻣﺎ ﻧﻴﺎزﻣﻨﺪﻳﻢ ﻛﻪ در ﻣﺤﺎﺳﺒﺎت ﺑﻪ ﺻﻔﺤﺎت ﻛﺮﻳﺴﺘﺎﻟﻮﮔﺮاﻓﻲ و ﺟﻬﺎت ﻛﺮﻳﺴﺘﺎﻟﻮﮔﺮاﻓﻲ ‪reference‬‬

‫ﺑﺪﻫﻴﻢ‪ .‬اﻳﻦ ﻛﺎر ﺗﻮﺳﻂ اﻧﺪﻳﺲﻫﺎﻳﻲ ﺻﻮرت ﻣﻲﮔﻴﺮد ﻛﻪ ﺑﻪ آن اﻧﺪﻳﺲﻫﺎي ﻣﻴﻠﺮ ﻣﻲﮔﻮﻳﻨﺪ‪.‬ﻣﺤﻮرﻫﺎي‬

‫ﻛﺮﻳﺴﺘﺎﻟﻮﮔﺮاﻓﻴﻚ ﻣﺤﻮرﻫﺎﻳﻲ ﺑﻮدﻧﺪ ﻛﻪ ‪ unit cell‬ﺑﺮ اﺳﺎس آنﻫﺎ ﺗﻌﺮﻳﻒ ﻣﻲ ﺷﺪ‪).‬ﻫﻢ ﺟﻬﺖ ﻣﺤﻮرﻫﺎي‬

‫ܿ‪ ሬሬԦ‬و ‪ ܾሬԦ‬و‪( ܽሬሬሬԦ‬‬

‫ﻣﺤﻮرﻫﺎ را ﺑﺮ اﺳﺎس ‪) lattice Constance‬ﺛﺎﺑﺖ ﺷﺒﻜﻪ ﺑﺮاﺑﺮ اﺳﺖ ﺑﺎ ﻗﺪر ﻣﻄﻠﻖ اﻧﺪازه ﺳﻠﻮل واﺣﺪه(‬

‫ﻣﺪرج ﻣﻲﻛﻨ ﻴﻢ‪ .‬ﻣﻤﻜﻦ اﺳﺖ درﺟﻪ ﺑﻨﺪي روي ﻣﺤﻮرﻫﺎي ﻣﺨﺘﻠﻒ ﻣﺘﻔﺎوت ﺑﺎﺷﺪ ‪.‬‬

‫اﺻﻠﻲ ﻛﻪ ﻣﻴﻠﺮ ﺑﺮ آن اﺳﺘﻮار اﺳﺖ اﻳﻦ اﺳﺖ ﻛﻪ ارزش ﺻﻔﺤﺎت ﻣﻮازي در ﻛﺮﻳﺴﺘﺎل ﻳﻜﺴﺎن اﺳﺖ ‪.‬‬

‫ارزش ﻣﺤﻮرﻫﺎي ﻣﻮازي ﻫﻢ در ﻛﺮﻳﺴﺘﺎل ﻳﻜﺴﺎن اﺳﺖ ‪.‬‬

‫‪47‬‬
‫ﻓﺮض ﻛﻨﻴﺪ ﻣﻲﺧﻮاﻫﻴﻢ ﺑﻪ ﺻﻔﺤﻪي ‪ reference ،P‬ﺑﺪﻫﻴﻢ‪.‬ﺳﺎدهﺗﺮﻳﻦ راه اﻳﻦ اﺳﺖ ﻛﻪ در ﺣﻮاﻟﻲ‬

‫ﻧﻘﻄﻪي ﻣﺒﺪأ )ﻳﻚ ‪ lattice point‬دﻟﺨﻮاه( ﻳﻚ ﺻﻔﺤﻪ ﻣﻮازي آن ﺻﻔﺤﻪي ﻣﻮرد ﻧﻈﺮ ﺑﻜﺸﻴﻢ ‪.‬‬

‫‪251‬‬ ‫ﻣﺤﻞ ﺗﻼﻗﻲ آن را ﺑﺎ ﻣﺤﻮرﻫﺎ ﺑﻪ دﺳﺖ آورﻳﻢ‪.‬‬

‫‪ଵ ଵ‬‬
‫‪ଶ ହ‬‬
‫‪1‬‬ ‫اﻳﻦ ﻣﺨﺘﺼﺎت ﻣﻌﻜﻮس ﺷﻮﻧﺪ‪:‬‬

‫‪5 2 10‬‬ ‫ﺳﭙﺲ در ك م م ﺿﺮب ﺷﻮﻧﺪ‪:‬‬

‫⇐ ) ‪( 5 2 10‬‬

‫اﻋﺪاد ﺑﻪ دﺳﺖ آﻣﺪه اﻧﺪﻳﺲﻫﺎي ﻣﻴﻠﺮ ﺻﻔﺤﻪي ‪ p‬ﻫﺴﺘﻨﺪ ‪.‬‬

‫اﮔﺮ ﻣﺨﺘﺼﺎت ﻣﻨﻔﻲ ﺑﻮد ﺑﺎ ﻋﻼﻣﺖ ‪ bar‬ﻧﺸﺎن ﻣﻲدﻫﻴﻢ‪ .‬ﻣﺜﻼً ‪2ത‬‬

‫ﻫﺮﭼﻪ اﻧﺪﻳﺲﻫﺎي ﻣﻴﻠﺮ ﺻﻔﺤﺎت ﻳﻚ ﻛﺮﻳﺴﺘﺎل ﺑﺰرگﺗﺮ ﺑﺎﺷﺪ‪ Structure ،‬ﻣﺮﺑﻮط ﺑﻪ ﻛﺮﻳﺴﺘﺎل ﺑﺎزﺗﺮ‬

‫ﺧﻮاﻫﺪ ﺑﻮد و از ﻟﺤﺎظ ﺷﻴﻤﻴﺎﻳﻲ ﻓﻌﺎلﺗﺮﻧﺪ‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ ﺳﺮﻳﻊﺗﺮ واﻛﻨﺶ داده و ﺳﺮﻳﻊﺗﺮ از ﺑﻴﻦ ﻣﻲروﻧﺪ‪.‬‬

‫‪48‬‬
‫‪ -1-9-4-1‬اﻧﺪﻳﺲﻫﺎي ﻣﻴﻠﺮ ﺻﻔﺤﺎت ﻣﻬﻢ‪:‬‬

‫‪49‬‬
‫ﺻﻔﺤﺎت ﻋﻤﻮد ﺑﺮ اﻗﻄﺎر اﺻﻠﻲ ‪:‬‬

‫) ‪(1 1 1ത‬‬
‫)‪ = {1 1 1} = ቐ(1ത 1 1‬ﻛﻞ ﺻﻔﺤﺎت ﻣﻌﺎدل )‪( 1 1 1‬‬
‫)‪(1 1ത 1‬‬

‫ﺻﻔﺤﺎت ﻋﻤﻮد ﺑﺮ ﻳﻚ ﻣﺤﻮر‪:‬‬

‫)‪(1 0 0‬ۓ‬
‫)‪(0 0 1‬‬
‫ۖ‬
‫‪ۖሺ0 1 0ሻ‬‬
‫‪ = {1 0 0 } = ሺ0 0 1ത ሻ‬ﻛﻞ ﺻﻔﺤﺎت ﻣﻌﺎدل )‪( 1 0 0‬‬
‫۔‬
‫‪ത‬‬
‫‪ۖሺ0 1 0ሻ‬‬
‫ۖ‬
‫‪ത‬‬
‫)‪(1 0 0‬ە‬

‫ﺑﺮ روي ‪ 3‬ﺻﻔﺤﻪ از ﺳﻴﻠﻴﺴﻴﻮم ﺑﻴﺸﺘﺮ ﻛﺎر ﻣﻲ ﺷﻮد‪ (1 0 0) :‬و )‪ (1 1 0‬و )‪( 1 1 1‬‬

‫‪50‬‬
51
‫‪ -5-1‬ﻧﺎﻛﺎﻣﻠﻲﻫﺎ )‪:(imperfection‬‬
‫ﺳﻮاﻟﻲ ﻛﻪ در ﻣﻮرد ﻛﺮﻳﺴﺘﺎلﻫﺎ ﭘﻴﺶ ﻣﻲآﻳﺪ اﻳﻦ اﺳﺖ ﻛﻪ آﻳﺎ ﺟﻤﻌﻴﺖ ﻋﻈﻴﻢ اﺗﻢﻫﺎ ﻛﻪ داﺧﻞ آن‬

‫ﻫﺴﺘﻨﺪ واﻗﻌﺎً ﺑﺪون ﻫﻴﭻ اﺷﺘﺒﺎه و ﻧﻘﺼﺎﻧﻲ ﻛﻨﺎر ﻫﻢ ﻗﺮار ﮔﺮﻓﺘﻪاﻧﺪ؟ ﺑﺎﻳﺪ ﮔﻔﺖ ﻛﻪ اﻳﻦﮔﻮﻧﻪ ﻧﻴﺴﺖ‪.‬‬

‫ﻃﺒﻖ ﻗﻮاﻧﻴﻦ ﻃﺒﻴﻌﺖ اﺗﻢﻫﺎ ﺗﻤﺎﻳﻞ دارﻧﺪ ﺑﻪ ﺷﻜﻠﻲ ﻗﺮار ﮔﻴﺮﻧﺪ ﻛﻪ در ﺣﺎﻟﺖ ﻣﻴﻨﻴﻤﻢ اﻧﺮژي ﻗﺮار ﮔﻴﺮﻧﺪ‪.‬‬

‫از ﻃﺮﻓﻲ دﻳﮕﺮ اﺗﻢﻫﺎ ﺗﻤﺎﻳﻞ دارﻧﺪ در ﺣﺪاﻛﺜﺮ ﺑﻲ ﻧﻈﻤﻲ ﻗﺮار ﮔﻴﺮﻧﺪ ‪.‬‬

‫ﻣﻲﺗﻮان اﻧﺮژي و ﺑﻲ ﻧﻈﻤﻲ را ﺑﻪ ﺻﻮرت ﻣﻌﺎدﻟﻪ در آورد و ﻳﻚ ﺣﺎﻟﺖ ﺑﻬﻴﻨﻪ ﺑﺮاي آنﻫﺎ ﭘﻴﺪا ﻛﺮد‪.‬‬

‫ﻧﻈﺎم ﻛﺎﻣﻞ و ﺑﺪون ﺑﻲ ﻧﻈﻤﻲ ﻧﻴﺎز ﺑﻪ ﻣﺼﺮف اﻧﺮژي ﺑﻲ ﻧﻬﺎﻳﺖ دارد و ﻫﻤﭽﻨﻴﻦ ﭼﻴﺰي ﻏﻴﺮ از دﻣﺎي‬

‫ﺻﻔﺮ ﻛﻠﻮﻳﻦ ﻣﻤﻜﻦ ﻧﻴﺴﺖ ‪.‬‬

‫اﻧﻮاع ﻧﺎﻛﺎﻣﻠﻲ‪:‬‬ ‫‪- 1- 5- 1‬‬


‫ﻧﺎﻛﺎﻣﻠﻲﻫﺎ ﺑﻪ ‪ 4‬دﺳﺘﻪي ﻛﻠﻲ ﺗﻘﺴﻴﻢ ﻣﻲﺷﻮﻧﺪ ‪:‬‬

‫‪52‬‬
‫ﻧﺎﻛﺎﻣﻠﻲﻫﺎي ﻧﻘﻄﻪاي )‪:(Point defects‬‬ ‫‪- 2- 5- 1‬‬

‫ﻧﻮاﻗﺼﻲ ﻫﺴﺘﻨﺪ ﻛﻪ در ﻳﻚ ﻧﻘﻄﻪ از ﻛﺮﻳﺴﺘﺎل ﺣﺎدث ﻣﻲﺷﻮﻧﺪ ‪.‬‬

‫‪:Vacancy -1-2-5-1‬‬
‫ﻳﻜﻲ از اﻧﻮاع ﻧﺎﻛﺎﻣﻠﻲﻫﺎي ﻧﻘﻄﻪاي آن اﺳﺖ ﻛﻪ ﻳﻜﻲ از ‪Building Block‬ﻫﺎ وﺟﻮد ﻧﺪاﺷﺘﻪ ﺑﺎﺷﺪ ﻛﻪ ﺑﻪ‬

‫آن ‪ vacancy‬ﻣﻲﮔﻮﻳﻨﺪ ‪.‬‬

‫دﻗﺖ ﺷﻮد ﻛﻪ ﺑﺎ ﻓﻘﺪان اﻳﻦ ﻣﺎﻫﻲ‪ ،‬ﻋﺪم ﺗﻌﺎدل ﺑﻪ ﻣﺎﻫﻲﻫﺎي اﻃﺮاف ﻣﺎﻫﻲ ﻏﺎﻳﺐ اﻋﻤﺎل ﻣﻲﺷﻮد‪.‬ﺑﻨ ﺎ ﺑﺮ‬

‫اﻳﻦ ﻛﻞ ﺳﺎﺧﺘﺎر ﻛﺮﻳﺴﺘﺎل ﺗﺤﺖ ﺗﺄﺛ ﻴﺮ اﻳﻦ ﻓﻘﺪان ﻗﺮار ﻣﻲﮔﻴﺮد و ﻛﺮﻳﺴﺘﺎل در ﺣﻮاﻟﻲ آن ﻧﻘﻄﻪ‬

‫اﻋﻮﺟﺎج و ﺗﻐﻴﻴﺮ ﺷﻜﻞ ﭘﻴﺪا ﻣﻲﻛﻨﺪ ‪.‬‬

‫واﺣﺪ اﻧﺪازه ﮔﻴﺮي ﻧﺎﻛﺎﻣﻠﻲ ﻧﻘﻄﻪاي ﺗﻌﺪاد در واﺣﺪ ﺣﺠﻢ اﺳﺖ ‪.‬‬

‫ﺳﻮال‪:‬‬

‫‪ Vacancy‬درون ﻛﺮﻳﺴﺘﺎل داراي اﻧﺮژي ﻣﺜﺒﺖ اﺳﺖ ﻳﺎ ﻣﻨﻔﻲ؟‬

‫‪53‬‬
‫ﻣﺜﺒﺖﺗﺮ اﺳﺖ ‪ Vacancy.‬ﺑﺎﻋﺚ اﻓﺰاﻳﺶ ‪ energy per atom‬ﻛﺮﻳﺴﺘﺎل ﻣﻲﺷﻮد‪.‬زﻳﺮا ﻣﻮاد ﺑﺎ ﻫﻢ ﭘﻴﻮﻧﺪ‬

‫اﻧﺮژي ﺑﺮﻗﺮار ﻣﻲﻛﻨﻨﺪ ﻛﻪ ﺑﻪ ﺣﺪاﻗﻞ اﻧﺮژي ﺑﺮﺳﻨﺪ و ﺑﺎ از ﺑﻴﻦ رﻓﺘﻦ ﻳﻚ ﭘﻴﻮﻧﺪ اﻧﺮژي آنﻫﺎ ﺑﻴﺸﺘﺮ‬

‫ﻣﻲﺷﻮد ‪.‬‬

‫ﭘﺲ از ﻃﺮﻓﻲ ﻛﺮﻳﺴﺘﺎل اﻳﻦ ﺣﺎﻟﺖ را روﺳﺖ ﻧﺪارد و دوﺳﺖ دارد ﻛﻪ ﺑﻪ ﺣﺪاﻗﻞ اﻧﺮژي ﺑﺮﺳﺪ‪ .‬از‬

‫ﻃﺮﻓﻲ دﻳﮕﺮ ﺗﻤﺎﻳﻞ ﺑﻪ ﺑﻲ ﻧﻈﻤﻲ وﺟﻮد دارد‪ .‬ﺑﻨﺎ ﺑﺮ اﻳﻦ ﻳﻚ ﺗﻌﺎدﻟﻲ ﺑﻪ وﺟﻮد ﻣﻲآﻳﺪ و ﻧﻬﺎﻳﺘﺎً ﻫﻤﻴﺸﻪ‬

‫در ﺳﺎﺧﺘﺎر ﻛﺮﻳﺴﺘﺎل ‪ Vacancy‬وﺟﻮد ﺧﻮاﻫﺪ داﺷﺖ و ﻧﻤﻲﺗﻮان آن را از ﺑﻴﻦ ﺑﺮد‪ .‬وﻟﻲ ﺧﻠﻮص آن را‬

‫ﻣﻲﺗﻮان ﺗﻐﻴﻴﺮ داد‪.‬‬

‫‪:Vacancy charged/Vacancy Neutral‬‬


‫‪ NaCl‬و ‪ MgO‬داراي ﺳﺎﺧﺘﺎر ‪ FCC‬ﻫﺴﺘﻨﺪ ‪.‬‬

‫‪ NaCl‬ﺟﺰء ﻋﺎﻳﻖﻫﺎي ﺑﺴﻴﺎر ﻋﺎﻟﻲ اﺳﺖ وﻟﻲ آب ﻧﻤﻚ ﻫﺎدي اﺳﺖ ‪.‬‬

‫در ﻛﺮﻳﺴﺘﺎل ‪ NaCl‬ﻳﻚ اﺗﻢ ‪ Na‬ﻫﻤﺮاه ﺑﺎ اﻟﻜﺘﺮون ﺑﻪ اﺷﺘﺮاك ﮔﺬاﺷﺘﻪ ﺷﺪهي ﺧﻮدش‪ ،‬ﺳﺎﺧﺘﺎر‬

‫ﻛﺮﻳﺴﺘﺎل را رﻫﺎ ﻣﻲﻛﻨﺪ‪ .‬ﺣﺎل ﻛﻞ ﻛﺮﻳﺴﺘﺎل از ﻟﺤﺎظ ﺑﺎر اﻟﻜﺘﺮﻳﻜﻲ ﺧﻨﺜﻲ اﺳﺖ اﻣﺎ اﮔﺮ در اﻧﺪازهي‬

‫اﺗﻤﻲ ﺣﺮﻛﺖ ﻛﻨﻴﻢ ﻣﻲ ﺑﻴﻨﻴﻢ ﻛﻪ آن ﻧﺎﺣﻴﻪاي ﻛﻪ ‪Na‬را از دﺳﺖ داده اﺳﺖ داراي ﺑﺎر ﻣﻨﻔﻲ ﺧﻮاﻫﺪ‬

‫ﺑﻮد‪ .‬زﻳﺮا ‪ Na‬در ﻫﻨﮕﺎم ﺑﺮﻗﺮار ﺑﻮدن ﭘﻴﻮﻧﺪ ﻳﻚ ﻳﻮن ﻣﺜﺒﺖ ﺑﻮده اﺳﺖ‪ .‬ﭘﺲ ‪ Vacancy‬ﻣﻲﺗﻮاﻧﺪ ﺑﺎردار‬

‫ﺑﺎﺷﺪ ﻳﺎ ﺑﺪون ﺑﺎر ‪.‬‬

‫در ‪ ZnO‬ﻧﻴﺰ ﺑﺎ دادن ﻣﻘﺪاري اﻧﺮژي ﺑﻪ ﻛﺮﻳﺴﺘﺎل‪ ،‬اﻛﺴﻴﮋن ﻛﺮﻳﺴﺘﺎل را ﺗﺮك ﻛﺮده و دو ﺗﺎ از‬

‫اﻟﻜﺘﺮونﻫﺎي آن ﺑﺎﻗﻲ ﻣﻲﻣﺎﻧﺪ‪ .‬ﺑﺎ وارد ﺷﺪن اﻳﻦ دو اﻟﻜﺘﺮون ﺑﻪ درون ﻛﺮﻳﺴﺘﺎل‪ ،‬در واﻗﻊ ﻋﻤﻞ‬

‫‪ doping‬ﺻﻮرت ﮔﺮﻓﺘﻪ اﺳﺖ ﻛﻪ ﺑﻪ آن ‪ Vacancy doping‬ﻣﻲﮔﻮﻳﻨﺪ ‪.‬‬

‫‪ Vacancy‬ﺑﺎﻋﺚ ﻛﺎﻫﺶ ﻣﻘﺎوﻣﺖ ﻛﺮﻳﺴﺘﺎل ﻣﻲ ﺷﻮد زﻳﺮا ﺑﺎﻋﺚ اﻳﺠﺎد ﺑﺎر اﻟﻜﺘﺮون آزاد ﻣﻲﺷﻮد ‪.‬‬

‫‪54‬‬
‫‪ Vacancy‬ﺑﺎﻋﺚ ﻛﺎﻫﺶ ﻣﻮﺑﻴﻠﻴﺘﻲ ﻣﻲﺷﻮد زﻳﺮا اﻟﻜﺘﺮون در داﺧﻞ ﻛﺮﻳﺴﺘﺎل ﺑﻪ ﺧﺎﻃﺮ ﺑﺎ ﺑﻪ ﻫﻢ‬

‫رﻳﺨﺘﮕﻲﻫﺎي ﺣﺎﺻﻞ از ‪ Vacancy‬ﻣﻮاﺟﻪ ﺷﺪه و ﺗﺼﺎدف ﻣﻲﻛﻨﺪ و ﻣﻮﺑﻴﻠﻴﺘﻲ ﻛﻢ ﻣﻲﺷﻮد‪.‬‬

‫اﮔﺮ ‪vacancy‬ﺑﻪ ﺟﺎي اﻳﻦ ﻛﻪ ﺑﺮاي اﻛﺴﻴﮋن رخ دﻫﺪ ﺑﺮاي ‪ Zn‬اﺗﻔﺎق ﺑﻴﻔﺘﺪ ﻣﻘﺎوﻣﺖ ﻛﺮﻳﺴﺘﺎل زﻳﺎد‬

‫ﻣﻲﺷﻮد‪ .‬زﻳﺮا دو ﺗﺎ اﻟﻜﺘﺮون ﺑﺎ ﻫﺮ ‪ Zn‬از ﻛﺮﻳﺴﺘﺎل ﺧﺎرج ﻣﻲ ﺷﻮد‪ .‬اﻣﺎ ﭼﺮا از ‪ Zn‬ﺻﺤﺒﺖ ﻧﻤﻲ ﺷﻮد‪.‬‬

‫زﻳﺮا ﻋﻨﺼﺮي ﻛﻪ ﻓﺮارﺗﺮ اﺳﺖ ﻛﺮﻳﺴﺘﺎل را ﺗﺮك ﻣﻲﻛﻨﺪ‪ .‬و اﻛﺴﻴﮋن ﻳﻚ ﻋﻨﺼﺮ ﻓﺮار اﺳﺖ‪ .‬ﺑﻪ ﻫﻤﻴﻦ‬

‫ﺧﺎﻃﺮ ﺑﻴﺸﺘﺮ از ‪Vacancy‬ي اﻛﺴﻴﮋن ﺻﺤﺒﺖ ﻣﻲ ﻛﻨﻴﻢ ‪.‬‬

‫‪) Impurity atom -2-2-5-1‬اﺗﻢ ﻧﺎﺧﺎﻟﺼﻲ(‪:‬‬


‫ﻧﻮع دﻳﮕﺮ ﻧﺎﻛﺎﻣﻠﻲ ﻧﻘﻄﻪاي اﺗﻢ ﻧﺎﺧﺎﻟﺼﻲ اﺳﺖ‪ .‬ﺗﻤﺎم ‪doping‬ﻫﺎﻳﻲ ﻛﻪ در اﻟﻜﺘﺮوﻧﻴﻚ ﻣﻄﺮح ﻫﺴﺘﻨﺪ‪،‬‬

‫از اﻳﻦ ﻧﻮع ﻧﺎﻛﺎﻣﻠﻲ اﺳﺖ ‪.‬‬

‫ﻣﺜﻼً ﻣﺎﻫﻲﻫﺎي زرد ﺳﻴﻠﻴﺴﻴﻮم و ﻣﺎﻫﻲ آﺑﻲ ﻓﺴﻔﺮ اﺳﺖ‪ .‬ﻟﺤﻈﻪي اوﻟﻲ ﻛﻪ وارد ﻣﻲﺷﻮد‪ ،‬اﻟﻜﺘﺮون‬

‫ﭘﻨﺠﻢ ﻫﻨﻮز ﺗﺤﺖ ﺗﺄﺛﻴﺮ ﻫﺴﺘﻪي ﻓﺴﻔﺮ اﺳﺖ‪ ،‬ﭘﺲ در آن ﻧﻘﻄﻪ ﻫﻨﻮز ﺑﺎري وﺟﻮد ﻧﺪارد)‪ .(neutral‬اﻣﺎ‬

‫ﺑﺎ دادن اﻧﺮژي‪ ،‬ﻳﻮﻧﻴﺰاﺳﻴﻮن ﺷﻜﻞ ﮔﺮﻓﺘﻪ و اﻟﻜﺘﺮون ﭘﻨﺠﻢ از ﻓﺴﻔﺮ ﺟﺪا ﺷﺪه و ﻧﻴﻤﻪﻫﺎدي ﻧﻮع ‪ N‬و‬

‫ﻫﻤﭽﻨﻴﻦ‪ Impurity atom charged‬ﺷﻜﻞ ﻣﻲﮔ ﻴﺮد‪.‬‬

‫‪55‬‬
‫اﻳﻦ ﻧﻮع ﻧﺎﻛﺎﻣﻠﻲ ﻧﻴﺰ اﻧﺮژي ﻛﺮﻳﺴﺘﺎل را اﻓﺰاﻳﺶ ﻣﻲدﻫﺪ زﻳﺮا ﺳﺎﻳﺰ ‪ 2‬ﻣﺎده ﺑﺎ ﻫﻢ ﻣﺘﻔﺎوت اﺳﺖ و‬

‫ﺑﻨﺎﺑﺮاﻳﻦ ﺳﺎﺧﺘﺎر ﺷﺒﻜﻪ را ﺑﺮ ﻫﻢ ﻣﻴﺰﻧﺪ و ﺑﺮ اﺗﻢﻫﺎ ﺗﻨﺶ وارد ﻣﻲﻛﻨﺪ و اﻧﺮژي ﺳﻴﺴﺘﻢ را ﺑﺎﻻ ﻣﻲ ﺑﺮد‪.‬‬

‫اﻳﻦ ﻧﻮع ﻧﺎﻛﺎﻣﻠﻲ ﻣﻮﺑﻴﻠﻴﺘﻲ را ﻧﻴﺰ ﻛﺎﻫﺶ ﻣﻲدﻫﺪ ﭼﻮ ن ﺑﺮاي اﻟﻜﺘﺮون در ﺣﺎل ﺣﺮﻛﺖ اﻳﺠﺎد ﻣﺰاﺣﻤﺖ‬

‫ﻣﻲﻛﻨﺪ ‪.‬‬

‫ﻧﻜﺘﻪي ﻣﻬﻢ اﻳﻦ اﺳﺖ ﻛﻪ ﻧﺎﻛﺎﻣﻠﻲﻫﺎﻳﻲ از ﻧﻮع ‪ charged‬ﻣﺎﻧﻨﺪ ‪ Impurity atom charged‬اﺛﺮ ﺑﻴﺸﺘﺮي‬

‫در ﻛﺎﻫﺶ ﻣﻮﺑﻴﻠﻴﺘﻲ دارﻧﺪ زﻳﺮا ﻋﻼوه ﺑﺮ ﺑﻪ ﻫﻢ رﻳﺨﺘﮕﻲ ﺳﺎﺧﺘﺎري‪ ،‬ﺑﻪ ﻋﻠﺖ ﺑﺎردار ﺑﻮدن ﺑﺮ‬

‫اﻟﻜﺘﺮونﻫﺎ ﻧﻴﺮو وارد ﻣﻲﻛﻨﻨﺪ و ﺑﻪ ﻫﻤﻴﻦ ﺟﻬﺖ ﻣﻮﺑﻴﻠﻴﺘﻲ را ﺑﻴﺸﺘﺮ ﻛﺎﻫﺶ ﻣﻲدﻫﻨﺪ ‪.‬‬

‫‪Interstitial atom - 3- 2- 5- 1‬‬


‫ﻧﻮع ﺳﻮم ﻧﺎﻛﺎﻣﻠﻲﻫﺎ ي ﻧﻘﻄﻪاي اﺳﺖ و زﻣﺎﻧﻲ رخ ﻣﻲدﻫﺪ ﻛﻪ ﻳﻚ ﻣﺎﻫﻲ در ﺑﻴﻦ ﺳﺎﺧﺘﺎر ﻣﻨﻈﻢ دﻳﮕﺮ‬

‫ﻣﺎﻫﻲﻫﺎ ﻗﺮار ﺑﮕﻴﺮد و ﭼﻮن ﺟﺎ ﻧﻤﻲﺷﻮد ﺑﻪ ﻣﺎﻫﻲﻫﺎي دﻳﮕﺮ ﻧﻴﺮو وارد ﻛﺮده و ﺑﻨﺎ ﺑﺮ اﺑﻦ ﺳﺎﺧﺘﺎر را ﺑﺮ‬

‫ﻫﻢ ﻣﻲزﻧﺪ و ﺑﺎﻋﺚ اﻓﺰاﻳﺶ ‪ energy per atom‬ﻣﻲﺷﻮد ‪.‬‬

‫اﻳﻦ ﻧﺎﻛﺎﻣﻠﻲ ﺑﻪ دو ﺻﻮرت اﺳﺖ‪ self interstitial atom :‬و ‪:foreign interstitial atom‬‬

‫‪56‬‬
‫در ﺷﻜﻞ زﻳﺮ ﺗﻤﺎم ﻧﺎﻛﺎﻣﻠﻲﻫﺎي ﻧﻘﻄﻪاي ﻣﺸﺎﻫﺪه ﻣﻲﺷﻮد‪:‬‬

‫‪57‬‬
‫ﻧﺎﻛﺎﻣﻠﻲﻫﺎي ﺧﻄﻲ ﻳﺎ ﻧﺎﺑﺠﺎﻳﻲ)‪:(Line defects=dislocation‬‬ ‫‪- 3- 5- 1‬‬

‫در اﻳﻦ ﻧﻮع ﻧﺎﻛﺎﻣﻠﻲ ﻳﻚ ﺧﻂ از ﻣﺎﻫﻲﻫﺎ ﻧﺎﻛﺎﻣﻞ ﺗﺸﻜﻴﻞ ﺷﺪه و در ﻧﺘﻴﺠﻪ ﺧﻄﻮط ﺑﻘﻴﻪي ﻣﺎﻫﻲﻫﺎ ﺟﺎ‬

‫ﺑﺠﺎ ﻣﻲﺷﻮﻧﺪ ‪ dislocation.‬ﻫﻤﺮاه ﺑﺎ ﻛﺮﻳﺴﺘﺎل رﺷﺪ ﻣﻲﻛﻨﺪ و در ﻃﻮل ﻛﺮﻳﺴﺘﺎل اﻣﺘﺪاد ﭘﻴﺪا ﻣﻲﻛﻨﺪ ﺗﺎ‬

‫ﺟﺎﻳﻲ ﻛﻪ از ﺳﻄﺢ ﻛﺮﻳﺴﺘﺎل ﺧﺎرج ﺷﻮد‪.‬در اﻳﻦ ﺣﺎﻟﺖ آﺛﺎرش را ﺑﺎ ﭼﺸﻢ ﻏﻴﺮ ﻣﺴﻄﺢ ﻣﻲﺗﻮان‬

‫ﺗﺸﺨﻴﺺ داد‪ .‬اﮔﺮ آنﻫﺎ را داﺧﻞ اﺳﻴﺪ ﺑﻴﺎﻧﺪازﻳﻢ‪ ،‬اوﻟﻴﻦ ﺟﺎﻳﻲ ﻛﻪ ﺧﻮرده ﻣﻲ ﺷﻮد ﻫﻤﻴﻦ ﺟﺎﺳﺖ ‪.‬‬

‫ﺷﻜﻞ زﻳﺮ ﺧﻄﻮﻃﻲ ﻛﻪ از ﭼﺸﻢ ﻣﺎﻫﻲﻫﺎ ﻣﻲ ﮔﺬرد را ﻧﺸﺎن ﻣﻲدﻫﺪ‪ .‬ﺑﻌﺪ از اﻳﻦ ﻛﻪ ‪ dislocation‬رخ‬

‫داد اﺗﻢﻫﺎ ﺧﻮد را ‪ relax‬ﻣﻲﻛﻨﻨﺪ و ﺷﻜﻞ آﺧﺮ اﻳﺠﺎد ﻣﻲ ﺷﻮد‪.‬‬

‫‪58‬‬
‫واﺣﺪ اﻧﺪازه ﮔﻴﺮي ﻧﺎﻛﺎﻣﻠﻲ ﺧﻄﻲ ﺗﻌﺪاد در واﺣﺪ ﺳﻄﺢ اﺳﺖ ‪.‬‬

‫اﻳﻦ ﻧﻮع ﻧﺎﻛﺎﻣﻠﻲ ﺑﺎﻋﺚ ﺿﻌﻒ ﻣﻜﺎﻧﻴﻜﻲ ﻛﺮﻳﺴﺘﺎل ﻣﻲﺷﻮد‪ .‬اﺳﺎﺳﺎً ﻗﻮت ﻣﻜﺎﻧﻴﻜﻲ اﺟﺴﺎم را ﭼﮕﺎﻟﻲ‬

‫‪dislocation‬ﻫﺎ ﺗﻌﻴﻴﻦ ﻣﻲﻛﻨﺪ ﻧﻪ ﺟﻨﺲ ﻣﺎده‪.‬‬

‫ﺗﻔﺎوت ‪ point defects‬و ‪ dislocation‬از ﻟﺤﺎظ اﻧﺮژي ‪:‬‬

‫اﻧﺮژي ‪ dislocation‬ﺑﻪ ﻣﺮاﺗﺐ ﺑﻴﺸﺘﺮ از اﻧﺮژي ‪ point defect‬اﺳﺖ‪ .‬ﺑﻨﺎ ﺑﺮ اﻳﻦ ﻛﺮﻳﺴﺘﺎل ﺧﻴﻠﻲ‬

‫ﻓﺪاﻛﺎري ﻣﻲﻛﻨﺪ ﻛﻪ از ﺷﺮاﻳﻂ ﺣﺪاﻗﻞ اﻧﺮژي ﺧﻮد ﻣﻲﮔﺬرد‪ .‬ﭘﺲ اﮔﺮ ﺗﻜﻨﻮﻟﻮژي ﻇﺮﻳﻒﺗﺮي ﺑﻪ ﻛﺎر‬

‫‪59‬‬
‫ﺑﺒﺮﻳﻢ ﻣﻲﺗﻮاﻧﻴﻢ ﻧﺴﻞ ‪ dislocation‬را در ﻛﺮﻳﺴﺘﺎل از ﺑﻴﻦ ﺑﺒﺮﻳﻢ)ﺑﺮ ﺧﻼف ‪ point defect‬ﻛﻪ در ﻫﺮ‬

‫ﺻﻮرت وﺟﻮد ﺧﻮاﻫﺪ داﺷﺖ(‪ .‬ﺑﻪ ﻋﻨﻮان ﻣﺜﺎل ﻫﻢ اﻛﻨﻮن وﺟﻮد ‪ dislocation‬را درون ﻛﺮﻳﺴﺘﺎل‬

‫ﺳﻴﻠﻴﺴﻴﻮم ﺑﻪ ﻃﻮر ﻛﺎﻣﻞ از ﺑﻴﻦ ﺑﺮدهاﻧﺪ‪.‬‬

‫ﺗﻮﺟﻪ ﺷﻮد ﻛﻪ ﻫﺮ ﻧﺎﻣﻼﻳﻤﺘﻲ در ﻫﻨﮕﺎم رﺷﺪ ﺑﻠﻮر ﺳﻴﻠﻴﺴﻴﻮم‪ ،‬ﺑﺎﻋﺚ اﻳﺠﺎد ‪ dislocation‬ﻣﻲﺷﻮد‪ .‬اﻳﻦ‬

‫ﻧﺎﻣﻼﻳﻤﺎت ﻣﻲﺗﻮاﻧﺪ ﺣﺘﻲ ﻧﺎﺷﻲ از ورود ﻳﻚ ﺑﻴﻨﻨﺪه ﺑﻪ داﺧﻞ آزﻣﺎﻳﺸﮕﺎه ﺑﺎﺷﺪ ‪.‬‬

‫‪ dislocation‬ﻫﺰاران ﺑﺮاﺑﺮ ﺑﻴﺸﺘﺮ از ‪ point defect‬ﻣﻮﺑﻴﻠﻴﺘﻲ را ﻛﺎﻫﺶ ﻣﻲدﻫﺪ‪.‬‬

‫‪ dislocation‬ﺑﺎﻋﺚ اﻓﺰاﻳﺶ ‪ diffusion coefficient‬ﻣﻲﺷﻮد‪ .‬ﺑﻨ ﺎ ﺑﺮ اﻳﻦ اﮔﺮ ﻳﻚ ‪ CPU‬ﻛﺎﻣﭙﻴﻮﺗﺮ داراي‬

‫ﻳﻚ ‪ dislocation‬ﺑﺎﺷﺪ ﻓﺎﺟﻌﻪ ﻣﻲﺷﻮد‪.‬‬

‫ﻧﺎﻛﺎﻣﻠﻲﻫﺎي ﺻﻔﺤﻪاي )‪( Plane defects‬‬ ‫‪- 4- 5- 1‬‬

‫‪ Screw dislocation‬ﻧﻮع ﻣﻌﺮوف ﻧﺎﻛﺎﻣﻠﻲﻫﺎي ﺻﻔﺤﻪاي اﺳﺖ‪ .‬وﻗﺘﻲ رخ ﻣﻲدﻫﺪ ﻛﻪ ﻳﻚ ﺻﻔﺤﻪي‬

‫ﻛﺮﻳﺴﺘﺎﻟﻮﮔﺮاﻓﻴﻚ ﺑﺮ روي ﺻﻔﺤﻪاي دﻳﮕﺮ ﺑﻠﻐﺰد ‪.‬‬

‫‪60‬‬
‫ﻧﺎﻛﺎﻣﻠﻲﻫﺎي ﺣﺠﻤﻲ )‪(Volume defects‬‬ ‫‪- 5- 5- 1‬‬

‫‪ Grain Boundary‬ﻧﻮع ﻣﻌﺮوف ﻧﺎﻛﺎﻣﻠﻲﻫﺎي ﺣﺠﻤﻲ اﺳﺖ‪ .‬ﻧﺎﻛﺎﻣﻠﻲ ﺣﺠﻤﻲ ﺑﻪ اﻳﻦ ﻣﻌﻨﻲ اﺳﺖ ﻛﻪ در‬

‫ﻛﻞ ﺣﺠﻢ ﻛﺮﻳﺴﺘﺎل ﻧﻘﺺ وﺟﻮد داﺷﺘﻪ ﺑﺎﺷﺪ ‪ .‬اﻳﻦ ﻣﻮﺿﻮع را در ﭘﻠﻲ ﻛﺮﻳﺴﺘﺎلﻫﺎ ﻣﺸﺎﻫﺪه ﻛﺮده‬

‫ﺑﻮدﻳﻢ‪ .‬در واﻗﻊ ‪Grain Boundary‬ﻫﺎ ﻧﺎﻛﺎﻣﻠﻲ ﺣﺠﻤﻲ ﻫﺴﺘﻨﺪ ‪.‬‬

‫‪61‬‬
‫ﻓﺼﻞ ‪-2‬ﺳﻴﻠﻴﻜﻮن از ﻣﻌﺪن ﺗﺎ ﺻﻨﻌﺖ اﻟﻜﺘﺮوﻧﻴﻚ‬

‫‪ -1-2‬ﻣﻘﺪﻣﻪ‬
‫ﻳﻜﻲ از وﻳﮋﮔﻲﻫﺎي ﻣﻬﻢ ﺳﻴﻠﻴﻜﻮن ﻓﺮاواﻧﻲ آن در ﺳﻄﺢ ﻛﺮه زﻣﻴﻦ اﺳﺖ ﺑﻪ ﻃﻮري ﻛﻪ ﻣﻲﺗﻮان‬
‫ﮔﻔﺖ در ﻫﻤﻪ ﺟﺎ ﻣﻲﺑﺎﺷﺪ وﻟﻲ درﺻﺪ ﺧﻠﻮص آن ﻣﺘﻔﺎوت ﻣﻲ ﺑﺎﺷﺪ‪ .‬ﺑﺪﻳﻦ دﻟﻴﻞ ﻣﺎده ﺧﺎم آن ﺧﻴﻠﻲ‬
‫ارزان اﺳﺖ‪ .‬ﻳﻜﻲ دﻳﮕﺮ از وﻳﮋﮔﻲﻫﺎي آن درﺻﺪ ﺧﻠﻮص ﺑﺎﻻي ﻣﺎده ﺧﺎم آن ﻣﻲﺑﺎﺷﺪ در اﻳﺮان‬
‫ﺑﻬﺘﺮﻳﻦ ﻣﻌﺪن آن ﺑﺎ درﺻﺪ ﺧﻠﻮص ﺑﺎﻻي ‪ 99.8%‬در ﺧﻮي آذرﺑﺎﻳﺠﺎن ﻏﺮﺑﻲ ﻗﺮار دارد ﺑﻬﺘﺮﻳﻦ ﻣﺎده‬
‫ﺧﺎم آن در ﻣﻌﺪن ﻫﺮ ﻛﻴﻠﻮﮔﺮم ‪ $0.01‬ﻫﺰﻳﻨﻪ دارد ﻛﻪ در اداﻣﻪ ﻫﺰﻳﻨﻪ ﺟﺎﺑﺠﺎﻳﻲ ﺑﻪ آن اﺿﺎﻓﻪ ﻣﻲ ﺷﻮد‪.‬‬
‫ﺳﻴﻠﻴﻜﻮﻧﻲ ﻛﻪ در اﻟﻜﺘﺮوﻧﻴﻚ اﺳﺘﻔﺎده ﻣﻲﺷﻮد ﺑﺎ ﻧﺎم ‪ Electronic grade_Si‬ﺑﻪ ﻛﺎر ﻣﻲرود ﻛﻪ ﺑﺎ‬
‫ﻫﺮ ﻛﻴﻠﻮﮔﺮم ﺷﺶ رﻗﻢ ﻧﻪ‬ ‫درﺻﺪ ﺧﻠﻮص ﺑﺎﻻي ﭘﻨﺞ رﻗﻢ ﻧﻪ )ﻳﻌﻨﻲ ‪(99.999‬اﺳﺖ ﻛﻪ ﻣﺜ ﻼً ﻗﻴﻤﺖ‬
‫آن ﺑﺮاﺑﺮ ‪ $1000‬ﻣﻲﺑﺎﺷﺪ ﭘﺲ ﺻﻨﻌﺖ و اﻗﺘﺼﺎد ﻋﻈﻴﻤﻲ ﭘﺸﺖ ﺳﺮ ﺳﻴﻠﻴﻜﻮن ﻗﺮار دارد ﻛﻪ ﺑﺎﻳﺪ ﻣﻮرد‬
‫ﺗﻮﺟﻪ ﻗﺮار ﮔﻴﺮد‪.‬‬

‫‪ -2-2‬ﻣﺎده ﺧﺎم ﻣﻌﺪﻧﻲ‬


‫ﻣﺎده ﺧﺎم آن ‪ SiO2‬ﻣﻲﺑﺎﺷﺪ ﻫﻢ ‪ Si‬وﻫﻢ ‪ SiO2‬ﻫﺮ دو در اﻟﻜﺘﺮوﻧﻴﻚ ﻛﺎرﺑﺮد دارﻧﺪ ﺑﻪ اﻳﻦ ﺻﻮرت‬
‫ﻛﻪ در ﻛﻨﺎر ﻛﺎرﺑﺮد ‪ Si‬ﺑﻪ ﺻﻮرت ﻧﻴﻤﻪﻫﺎدي ﻧﻴﺎز ﺑﻪ ﻋﺎﻳﻘﻲ ﻫﻤﭽﻮن ‪ Sio2‬ﻫﺴﺖ ﻛﻪ ﺗﺸﻜﻴﻞ ادوات‬
‫اﻟﻜﺘﺮوﻧﻴﻜﻲ را ﺑﺪﻫﻨﺪ ﺑﺎﻳﺪ ﺗﻮﺟﻪ داﺷﺖ ﻛﻪ ﺳﻴﻠﻴﻜﺎتﻫﺎ ﺟﺰء ﻣﺎده ﺧﺎم ﻧﻴﺴﺘﻨﺪ‪ .‬ﺳﻴﻠﻴﻜﺎتﻫﺎ ﻣﺜﻞ‬
‫ﺳﻴﻠﻴﻜﺎت ﺳﺪﻳﻢ ﻳﺎ ﺳﻴﻠﻴﻜﺎت ﭘﺘﺎﺳﻴﻢ ﺟﺰء رادﻳﻜﺎلﻫﺎ ﻫﺴﺘﻨﺪ ‪ .‬ﻫﻴﭻ ﻣﺎده ﻣﻌﺪﻧﻲ در دﻧﻴﺎ ﺑﻪ درﺟﻪ‬
‫ﺧﻠﻮص ﺑﺎﻻي ‪ SiO2‬ﻧﻤﻲ ﺑﺎﺷﺪ ﻫﻤﺎن ﻃﻮر ﻛﻪ ﮔﻔﺘﻪ ﺷﺪ ﺑﻬﺘﺮﻳﻦ ﻣﻌﺪن آن در اﻳﺮان در ﺧﻮي ﻣﻲﺑﺎﺷﺪ و‬
‫ﻣﻌﺪنﻫﺎي ﺧﻮب دﻳﮕﺮ دﻧﻴﺎ در اروﭘﺎي ﺷﺮﻗﻲ و آﻣﺮﻳﻜﺎ ﻣﻲﺑﺎﺷﺪ اﻟﺒﺘﻪ اﻳﻦ را ﺑﺎﻳﺪ ﮔﻔﺖ ﻛﻪ ﻗﻴﻤﺖ و‬
‫ارزش آن را ﻣﻴﺰان ﻧﺎﺧﺎﻟﺼﻲ آﻫﻦ ﺗﻌﻴﻴﻦ ﻣﻲﻛﻨﺪ ﻳﻌﻨﻲ ﻫﺮ ﭼﻪ ﻗﺪر ﻧﺎﺧﺎﻟﺼﻲ آﻫﻦ آن ﻛﻢ ﺑﺎﺷﺪ‬
‫ﻗﻴﻤﺘﺶ ﺑﺎﻻ ﻣﻲرود ﭼﻮن ﺟﺪا ﻛﺮدن ﻧﺎﺧﺎﻟﺼﻲ آﻫﻦ آن از ﺳﻴﻠﻴﻜﻮن ﺳﺨﺖ و ﻫﺰﻳﻨﻪ ﺑﺮ اﺳﺖ از اﻳﻦ‬
‫ﺟﻬﺖ ﺑﻬﺘﺮﻳﻦ ﻣﻌﺪن آن در اروﭘﺎي ﺷﺮﻗﻲ در ﻛﺸﻮر ﻟﻬﺴﺘﺎن ﻣﻲﺑﺎﺷﺪ‪ .‬و اﮔﺮ ﺷﻴﺸﻪاي ﻛﻪ از‬
‫ﺳﻴﻠﻴﻜﻮن ﻣﻲ ﺳﺎزﻧﺪ آﻫﻨﺶ ﺑﻴﺸﺘﺮ ﺑﺎﺷﺪ رﻧﮓ ﺷﻴﺸﻪ ﺑﻪ ﺳﻤﺖ ﺳﺒﺰي ﻣﻲرود در ﺷﺮوع ﻛﺎر ﺑﻌﺪ از‬
‫‪62‬‬
‫اﺳﺘﺨﺮاج آن از ﻣﻌﺪن آن را ﺑﺎ دﺳﺘﮕﺎهﻫﺎي آﺳﻴﺎب ﻣﺨﺼﻮﺻﻲ ﺧﺮد ﻣﻲﻛﻨﻨﺪ ﻛﻪ ﻫﺰﻳﻨﻪ ﺑﺎﻻﻳﻲ دارد‬
‫ﭼﻮن ﭘﻲ در ﭘﻲ دﺳﺘﮕﺎه آﺳﻴﺎب ﺧﺮده ﻣﻲﺷﻮد ‪.‬‬
‫ﺑﺮاي ﺳﻴﻠﻴﻜﻮن اﺳﺎﻣﻲ ﻣﺨﺘﻠﻔﻲ وﺟﻮد دارد ﻛﻪ در زﻳﺮ دﺳﺘﻪ ﺑﻨﺪي ﺷﺪه اﺳﺖ ‪:‬‬

‫‪ .1‬ﺳﻴﻠﻴﺲ‪ :‬اﻳﻦ ﻧﺎم در ﺻﻨﻌﺖ اﻳﺮان ﺧﻴﻠﻲ ﻣﻠﻤﻮس اﺳﺖ ﺑﻪ آن ﭘﻮدر ﺳﻴﻠﻴﺲ ﻫﻢ ﻣﻲﮔﻮﻳﻨﺪ ﻛﻪ‬

‫اﻟﺒﺘﻪ از ﻧﻮع آﻣﻮرف ﻣﻲ ﺑﺎﺷﺪ ‪.‬‬

‫‪ .2‬ﺳﻴﻠﻴﻜﺎ‪ :‬اﺳﻢ ﻋﻠﻤﻲ و ﻣﻬﻨﺪﺳﻲ ‪ SiO2‬ﻣﻲﺑﺎﺷﺪ ﻣﺎﻧﻨﺪ آﻟﻮﻣﻴﻨﺎ ﻛﻪ اﺳﻢ ﻋﻠﻤﻲ آﻟﻮﻣﻴﻨﻴﻢ‬

‫اﻛﺴﻴﺪ ﻣﻲﺑﺎﺷﺪ ‪.‬‬

‫‪ .3‬ﻓﻴﻠﻨﻴﺖ‪ :‬ﻛﻪ ﻫﻤﺎن ﺳﻨﮓ ﭼﺨﻤﺎق ﻣﻲ ﺑﺎﺷﺪ ‪.‬‬

‫‪ .4‬ﺷﻦ و ﺳﻨﮓ در ﺑﻴﺎﺑﺎن‬

‫‪ .5‬ﻛﻮارﺗﺰ‪ :‬ﻛﻪ ﺑﻪ ‪ SiO2‬ﺧﻴﻠﻲ ﺧﺎﻟﺺ ﮔﻮﻳﻨﺪ ﻛﻪ ﺑﺮ ﺧﻼف ﺳﻴﻠﻴﺲ ﺑﻪ ﻓﺮم ﻛﺮﻳﺴﺘﺎﻟﻴﻦ ﻣﻲ ﺑﺎﺷﺪ‬

‫ﻛﻪ اﺳﺘﻔﺎدهﻫﺎي ﻓﺮاواﻧﻲ دارد از ﺟﻤﻠﻪ در ﺻﻨﻌﺖ ﺳﺎﻋﺖ ﺳﺎزي ﻛﻪ از اﻫﻤﻴﺖ ﺑﺎﻻﻳﻲ‬

‫ﺑﺮﺧﻮردار اﺳﺖ و ﻗﻴﻤﺖ ﺑﺎﻻﻳﻲ ﻫﻢ ﻧﺪارد‪.‬‬

‫‪ - 3- 2‬اﺳﺘﺨﺮاج ‪Si‬‬

‫روشﻫﺎي ﻣﺨﺘﻠﻔﻲ ﺑﺮاي اﻳﻦ ﻛﺎر وﺟﻮد دارد و ﻫﺮ ﺷﺮﻛﺖ از روش ﻣﺨﺼﻮص ﺧﻮدش اﺳﺘﻔﺎده‬
‫ﻣﻲﻛﻨﺪ ﻣﺜﻼً روﺷﻲ ﻛﻪ ﺷﺮﻛﺖ زﻳﻤﻨﺲ اﺳﺘﻔﺎده ﻣﻲﻛﻨﺪ ﺑﺎ روﺷﻲ ﻛﻪ ﺷﺮﻛﺖ ﻓﻴﻠﻴﭙﺲ اﺳﺘﻔﺎده‬
‫ﻣﻲﻛﻨﺪ ﻓﺮق ﻣﻲﻛﻨﺪ ﻣﺎ در ﻣﻮرد روﺷﻲ ﺻﺤﺒﺖ ﻣﻲﻛﻨﻴ ﻢ ﻛﻪ ﭘﺎﻳﻪﻫﺎﻳﺶ در اﻳﺮان وﺟﻮد دارد‪.‬‬

‫‪63‬‬
‫‪ -4-2‬ﺳﺎﺧﺖ آﻟﻴﺎژ ﻓﺮو ﺳﻴﻠ ﻴﻜﻮن‬
‫آﻟﻴﺎژ ﻓﺮو ﺳﻴﻠ ﻴﻜﻮن ﻫﻤﺎن ﺳﻴﻠﻴﻜﻮن ﻛﺜﻴﻒ ﻣﻲ ﺑﺎﺷﺪ ﻛﻪ ﺑﺮاي اﻳﻦ ﻛﺎر در اﻳﺮان دو ﻛﺎرﺧﺎﻧﻪ دارﻳﻢ‬
‫ﺑﺮاي ﺳﺎﺧﺖ آﻟﻴﺎژ ﻓﺮو ﺳﻴﻠﻴﻜﻮن از ﻳﻚ ﻣﺨﺰن ﺗﻮﻧﻠﻲ ﺑﺰرگ اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد ﻛﻪ ﺑﻪ ﻳﻚ ﺣﻮﺿﭽﻪ‬
‫ﻣﺘﺼﻞ اﺳﺖ ﺑﻪ داﺧﻞ ﻣﺨﺰن از ﻳﻚ ﻣﺴﻴﺮ ﺳﻴﻠﻴﺴﻴﻢ اﻛﺴﻴﺪ وارد ﻣﻲﺷﻮد و از ﻣﺴﻴﺮ دﻳﮕﺮ ﻛﻚ‬
‫ﻧﻔﺘﻲ ﻳﺎ زﻏﺎل ﺳﻨﮓ وارد ﻣﻲ ﺷﻮد ﻛﻚ ﻧﻔﺘﻲ ﻫﻤﺎن زﻏﺎﻟﻲ اﺳﺖ ﻛﻪ از ﺗﻪ ﻣﺎﻧﺪه دﻳﮓﻫﺎي ﻧﻔﺘﻲ ﺑﻪ‬
‫دﺳﺖ ﻣﻲآﻳﺪ در اداﻣﻪ اﻳﻦ دو ﻣﺎده ﺧﺎم ﺑﺎ ﻫﻢ ﻣﺨﻠﻮط ﺷﺪه و وارد ﻣﺨﺰن ﻣﻲﺷﻮد ﻻزم ﺑﻪ ذﻛﺮ اﺳﺖ‬
‫ﻛﻪ در اﻳﻦ ﻗﺴﻤﺖ ﻻزم ﺑﻪ ﺑﺎﻻ ﺑﻮدن ﺧﻠﻮص ﺳﻴﻠﻴﺴﻴﻢ اﻛﺴﻴﺪ ﻧﻴﺴﺖ ﻣﻬﻢﺗﺮﻳﻦ و ﺳﺨﺖﺗﺮﻳﻦ ﻗﺴﻤﺖ‬
‫‪ ،‬ﻗﺴﻤﺖ ذوب ﻛﺮدن اﺳﺖ ﻛﻪ ﺗﻮﺳﻂ ﻣﺪار ﻗﺪرت اﻧﺠﺎم ﻣﻲ ﺷﻮد اﻳﻦ ﻣﺪار ﻃﻮري ﻃﺮاﺣﻲ ﺷﺪه اﺳﺖ‬
‫ﻛﻪ در ﻃﻮل ﻣﺴﻴﺮ ﻣﺨﺰن ﺟﺮﻳﺎﻧﻲ ﺑﻪ اﻧﺪازه ﻫﻔﺖ ﻫﺰار اﻟﻲ ﺑﻴﺴﺖ ﻫﺰار و در ﺑﻌﻀﻲ ﻣﻮاﻗﻊ ﺗﺎ ﻳﻚ‬
‫ﻣﻴﻠﻴﻮن آﻣﭙﺮ ﺑﺎ وﻟﺘﺎژ ﺑﺎﻻ اﻳﺠﺎد ﻣﻲﻛﻨﺪ در واﻗﻊ ﻫﺰﻳﻨﻪ اﺻﻠﻲ اﻳﻦ ﻓﺮاﻳﻨﺪ را اﻳﻦ ﻗﺴﻤﺖ ﻣﻮﺟﺐ‬
‫ﻣﻲﺷﻮد و اﻳﻦ ﺟﺮﻳﺎن ﻣﺴﻴﺮش را در ﻣﺴﻴﺮ ﺗﻮﻧﻞ از ﻣﻴﺎن ﻛﻚﻫﺎي ﻧﻔﺘﻲ ﭘﻴﺪا ﻣﻲﻛﻨﺪ ﭼﻮن‬
‫ﺳﻴﻠﻴﺴﻴﻢ اﻛﺴﻴﺪ ﻋﺎﻳﻖ ﻣﻲﺑﺎﺷﺪ ﻣﻴﺰان وﻟﺘﺎژ اﻋﻤﺎﻟﻲ ﺑﺴﺘﻪ ﺑﻪ ﻣﻘﺪار ﻛﻚ ﻣﺨﻠﻮط ﺷﺪه ﻣﻲ ﺑﺎﺷﺪ ﺑﺎ‬
‫اﺿﺎﻓﻪ ﻛﺮدن ﺑﻴﺶ از ﺣﺪ ﻛﻚ در ﻧﻬﺎﻳﺖ ﺳﻴﻠﻴﺴﻴﻢ ﻛﺎرﺑﺎﻳﺪ ﻫﻢ ﺗﻮﻟﻴﺪ ﻣﻲﺷﻮد ﻛﻪ زﻳﺎد ﺧﻮب ﻧﻴﺴﺖ‬
‫در اداﻣﻪ ﺑﺎ اﻳﺠﺎد ﭼﻨﻴﻦ ﺟﺮﻳﺎﻧﻲ ﺳﻴﻠﻴﺴﻴﻢ اﻛﺴﻴﺪ ﺷﺮوع ﺑﻪ ذوب ﺷﺪن ﻣﻲ ﻛﻨﺪ و دﻣﺎي اﻳﻦ ﺣﺎﻟﺖ‬
‫در ﺣﺪود ‪ 1500 ͦC‬اﻟﻲ ‪ 1600 ͦC‬ﻣﻲ ﺑﺎﺷﺪ در اﻳﻦ دﻣﺎ ﻛﺮﺑﻦ ﺳﻴﻠﻴﺴﻴﻢ اﻛﺴﻴﺪ را اﺣﻴﺎ ﻣﻲﻛﻨﺪ و‬
‫ﺳﻴﻠﻴﻜﻮن ﺣﺎدث ﻣﻲﺷﻮد ﺑﻪ ﻋﻠﺖ وﺟﻮد اﻛﺴﻴﺪ آﻫﻦ ‪ ،‬آﻫﻦ ﻧﻴﺰ ﺑﺎ ﺳﻴﻠﻴﺴﻴﻢ وارد واﻛﻨﺶ ﺷﺪه و آن‬
‫را اﺣﻴﺎ ﻣﻲﻛﻨﺪ ‪ .‬ﻣﻮادي ﻛﻪ ﻣﺬاب ﺷﺪن وارد ﺣﻮﺿﭽﻪ ﻣﻲ ﺷﻮﻧﺪ و از آﻧﺠﺎ ﻗﺎﻟﺐ ﺑﻨﺪي ﺷﺪه و ﺗﺒﺪﻳﻞ ﺑﻪ‬
‫ﺷﻤﺶ ﻓﺮو ﺳﻴﻠﻴﻜﻮن ﻣﻲﺷﻮﻧﺪ درﺻﺪ ﺧﻠﻮص آﻟﻴﺎژ ﺧﺮوﺟﻲ ﺑﺴﺘﻪ ﺑﻪ روﻧﺪ ﻛﺎري و دﻗﺖ ﻛﺎري‬
‫ﺷﺮﻛﺖ ﻣﺘﻔﺎوت اﺳﺖ ﻣﺜ ﻼً ﺑﺮاي ﻛﺎرﺧﺎﻧﻪ ﺳﻤﻨﺎن ﺷﻤﺶ ﺧﺮوﺟﻲ داراي ‪ 80%‬ﺳﻴﻠﻴﺴﻴﻢ و ‪ 15%‬آﻫﻦ و‬
‫‪ 5%‬ﻧﺎﺧﺎﻟﺼﻲﻫﺎي دﻳﮕﺮ ﻣﻲ ﺑﺎﺷﺪ‪ .‬و واﻛﻨﺸﻲ ﻛﻪ ﺑﻴﻦ ﻣﻮاد ﻣﻮﺟﻮد در ﻣﺨﺰن ﺻﻮرت ﻣﻲﮔ ﻴﺮد ﺑﻪ‬
‫ﺻﻮرت زﻳﺮ ﻣﻲﺑ ﺎﺷﺪ ‪:‬‬
‫)‪C (s) + SiO2 (s) -------1550 ͦC-------> CO2 (g) + Si (s‬‬

‫)‪SiO(g) + SiC(s) → 2Si(l) + CO(g‬‬

‫وﻟﻲ واﻛﻨﺶ ﺑﺎﻻﻳﻲ ﺑﻪ ﻫﻤﻴﻦ ﺳﺎدﮔﻲ ﺻﻮرت ﻧﻤﻲ ﮔﻴﺮد و ﻣﺮاﺣﻞ ﭘﻴ ﭽﻴﺪهاي دارد ﻛﻪ از ﮔﻔﺘﻦ آنﻫﺎ‬
‫ﺻﺮﻓﻪ ﻧﻈﺮ ﺷﺪه اﺳﺖ ﻫﻢ ﭼﻨﻴﻦ از ﮔﻔﺘﻦ واﻛﻨﺶﻫﺎي ﻧﺎﺧﺎﻟﺼﻲﻫﺎ ي ﻣﻮﺟﻮد در ﻛﻚ و ﻣﺎده ﺧﺎم‬

‫‪64‬‬
‫ﺻﺮﻓﻪ ﻧﻈﺮ ﺷﺪه اﺳﺖ ﺷﻤﺶﻫﺎي اﻳﺠﺎد ﺷﺪه را ﺧﺮد ﻣﻲﻛﻨﻨﺪ ﺗﺎ ﺑﺮاي ﻣﺮﺣﻠﻪ ﺑﻌﺪي آﻣﺎده ﺷﻮد در‬
‫ﺿﻤﻦ آﻟﻴﺎژ ﺣﺎﺻﻞ ﻛﻴﻠﻮﻳﻲ ﺣﺪود ‪ 1$‬اﻟﻲ ‪ 1.3$‬ﻣﻌﺎﻣﻠﻪ ﻣﻲﺷﻮد از ﻛﺎرﺑﺮدﻫﺎي دﻳﮕﺮي ﻛﻪ اﻳﻦ آﻟﻴﺎژ‬
‫دارد ﻣﻲﺗﻮان اﺳﺘﻔﺎده آن را در ﺳﺎﺧﺖ ﺻﻔﺤﺎت ﻫﺴﺘﻪ ﺗﺮاﻧﺲﻫﺎ ﻧﺎم ﺑﺮد ﺳﻴﻠﻴﻜﻮن ﻫﻢ ﺑﻪ آﻫﻦ‬
‫ﺧﺎﺻﻴﺖ ﺷﻜﻨﻨﺪﮔﻲ ﻣﻲدﻫﺪ ﻫﻢ ﻟﻮپ ﻫﻴﺴﺘﺮﻳﺴﻴﺲ ﻫﺴﺘﻪ را ﻛﻢﺗﺮ ﻛﺮده ﻛﻪ در ﻧﻬﺎﻳﺖ ﺑﺎﻋﺚ ﻛﻢ‬
‫ﺷﺪن ﺗﻠﻔﺎت ﻫﺴﺘﻪ ﺗﺮاﻧﺲ ﻣﻲﺷﻮد اﻟﺒﺘﻪ ﺳﺎﺧﺖ ﻫﺴﺘﻪ از ﺗﻜﻨﻮﻟﻮژي ﺑﺎﻻﻳﻲ ﺑﺮﺧﻮردار اﺳﺖ ﻛﻪ ﺣﺘﻲ‬
‫اﻳﻦ ﺗﻜﻨﻮﻟﻮژي در اﻳﺮان وﺟﻮد ﻧﺪارد ‪.‬‬

‫ﺷﻜﻞ ‪ - 1‬ﻳﻚ ﻛﺎرﺧﺎﻧﻪ اﺳﺘﺨﺮاج ‪Si‬‬

‫‪ -5-2‬ﺷﺴﺘﺸﻮ‬
‫ﺑﺎ روشﻫﺎي ﺷﺴﺘﺸﻮي ﻣﻌﻤﻮﻟﻲ ﻧﻬﺎﻳﺘﺎً درﺟﻪ ﺧﻠﻮص را ﻣﻲﺗﻮان ﺗﺎ ‪ 99.5%‬ﺑﺎﻻ ﺑﺮد ﻛﻪ اﻳﻦ درﺟﻪ‬
‫ﺧﻠﻮص ﺑﻪ درد ﺻﻨﻌﺖ اﻟﻜﺘﺮوﻧﻴﻚ ﻧﻤﻲﺧﻮرد ﺑﻨﺎﺑﺮاﻳﻦ ﺑﻪ ﺳﺮاغ روشﻫﺎي دﻳﮕﺮ ﻣﻲروﻳﻢ اﻳﻦ روشﻫﺎ‬
‫ﭘﺎﻳﻪﻫﺎﻳﺶ در اﻳﺮان ﻫﺴﺖ وﻟﻲ ﺑﺎ روشﻫﺎﻳﻲ ﻛﻪ در ﺷﺮﻛﺖ زﻳﻤﻨﺲ وﻓﻴﻠﻴﭙﺲ اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد ﺑﻪ‬
‫ﻛﻠﻲ ﻣﺘﻔﺎوت اﺳﺖ ‪.‬‬

‫‪65‬‬
‫‪ - 6- 2‬روش ‪Zone Refining‬‬

‫‪ Zone refining‬در ﺻﻨﻌﺖ اﻟﻜﺘﺮوﻧﻴﻚ ﺧﻴﻠﻲ ﻣﻬﻢ اﺳﺖ و ﻫﻢ زﻣﺎن ﺑﺎ اﺧﺘﺮاع ﺗﺮاﻧﺰﻳﺴﺘﻮر‪ ،‬ﺗﻮﺳﻂ‬
‫آﻗﺎي ‪ Pfann‬اراﺋﻪ ﺷﺪه اﺳﺖ ‪.‬‬
‫ﺑﺮاي درك و ﺗﻮﺿﻴﺢ ﺑﻬﺘﺮ اﻳﻦ روش آزﻣﺎﻳﺶ زﻳﺮ را ﺗﻮﺿﻴﺢ ﻣﻲدﻫﻴﻢ ‪:‬‬
‫ﻳﻚ ﻧﻮﺷﺎﺑﻪ رﻧﮕﻲ اﻧﺘﺨﺎب ﻛﺮده ﻣﻘﺪاري از آن را در ﻟﻴﻮان ﻣﻲرﻳﺰﻳﻢ ﺑﻌﺪ در ﻳﺨﭽﺎل ﮔﺬاﺷﺘﻪ ﺗﺎ ﻳﺦ‬
‫ﺑﺰﻧﺪ وﻟﻲ ﺣﻮاﺳﻤﺎن ﺑﺎﺷﺪ ﺗﺎ ﻧﺼﻔﻪ ﻛﻪ ﻳﺦ زد آن را از ﻳﺨﭽﺎل ﺧﺎرج ﻛﺮده و ﻳﺦ آن را از آﺑﺶ ﺟﺪا‬
‫ﻛﺮده در ﻟﻴﻮان دوم ﻣﻲﮔﺬارﻳﻢ ﺗﺎ آب ﺷﻮد ﺑﻌﺪ آب ﺷﺪن ﺑﺎز دوﺑﺎره در ﻳﺨﭽﺎل ﻣﻲﮔﺬارﻳﻢ ﺑﺎز ﻫﻤﺎن‬
‫ﻛﺎرﻫﺎ را ﻛﺮده و ﻳﺦ ﺣﺎﺻﻞ را در ﻟﻴﻮان ﺳﻮم ﻣﻲﮔﺬارﻳﻢ و ﺑﺎز ﻫﻤﺎن ﻛﺎرﻫﺎ را ﺗﻜﺮار ﻛﺮده و در ﻧﻬﺎﻳﺖ‬
‫ﺳﻪ ﻟﻴﻮان را در ﻛﻨﺎر ﻫﻢ ﮔﺬاﺷﺘﻪ ﺑﺎ ﻫﻢ ﻣﻘﺎﻳﺴﻪ ﻣﻲﻛﻨ ﻴﻢ ﻣﻲﺑ ﻴﻨﻴﻢ ﻛﻪ ﻟﻴﻮان ﺳﻮم آب ﺧﺎﻟﻲ ﻫﺴﺖ و‬
‫ﻟﻴﻮان دوم ﻛﻤﻲ از آن ﭘﺮ رﻧﮓﺗﺮ و ﻟﻴﻮان اول ﻫﻤﺎن ﻧﻮﺷﺎﺑﻪ ﻫﺴﺖ‪ .‬ﺣﺎﻻ ﻫﻤﻴﻦ ﻋﻤﻞ را در ﻓﺎز ﺟﺎﻣﺪ‬
‫اﻧﺠﺎم ﻣﻲدﻫﻴ ﻢ ﻣﻲﺗﻮان ﮔﻔﺖ ﻛﻞ اﻟﻜﺘﺮوﻧﻴﻚ ﺑﺮ ﭘﺎﻳﻪ ﻫﻤﻴﻦ ﻋﻤﻞ ‪ zone refining‬ﺷﻜﻞ ﮔﺮﻓﺘﻪ اﺳﺖ‪.‬‬
‫آﻗﺎي ‪ Pfann‬اﻳﻦ روش را ﺑﻪ ﺳﻤﺖ ﺟﺎﻣﺪات ﺳﻮق داده اﺳﺖ ‪.‬‬
‫آﻗﺎي ‪ Pfann‬ﺑﺮاي اﻧﺠﺎم اﻳﻦ ﻛﺎر ﻇﺮﻓﻲ ﻋﺎﻳﻖ را ﺑﻪ ﺷﻜﻞ ﻗﺎﻳﻖ ﺳﺎﺧﺘﻪ و ﺳﻴﻠﻴﺴﻴﻢ را ﻣﺬاب ﻛﺮده و‬
‫در داﺧﻞ اﻳﻦ ﻇﺮف ﻣﻲرﻳﺰد و ﺑﻌﺪ اﺟﺎزه ﻣﻲدﻫﺪ ﺗﺎ ﻣﺬاب ﻣﻨﺠﻤﺪ ﺷﻮد و ﺑﻌﺪ ﺑﺎ ﻳﻚ ﻫﻴﺘﺮ ﺧﻴﻠﻲ‬
‫ﻧﺎزك ﻛﻪ ﻳﻚ ‪ zone‬ﺧﻴﻠﻲ ﻧﺎزك ﻣﺬاب اﻳﺠﺎد ﻣﻲ ﻛﻨﺪ از ﺑﺎﻻ و ﭘﺎﻳﻴﻦ ﻇﺮف ﺑﻪ آن ﺣﺮارت ﻣﻲدﻫﺪ‬
‫اﻳﻦ ﺣﺮارت ﺑﺎﻋﺚ ذوب ﺷﺪن ﻳﻚ ‪ zone‬ﻧﺎزك در ﺳﻴﻠﻴﺴﻴﻢ ﻣﻲ ﺷﻮد و ﺑﻌﺪ در اداﻣﻪ اﻳﻦ ﻫﻴﺘﺮ را در‬
‫ﻣﺴﻴﺮ ﻗﺎﻳﻖ ﺣﺮﻛﺖ ﻣﻲدﻫﺪ ﺑﺎ ﺣﺮﻛﺖ ﻫﻴﺘﺮ اﺑﺘﺪاي آن ﺷﺮوع ﺑﻪ ذوب ﺷﺪن ﻣﻲﻛﻨﺪ و اﻧﺘﻬﺎي آن‬
‫ﺷﺮوع ﺑﻪ ﻣﻨﺠﻤﺪ ﺷﺪن ﻣﻲ ﻛﻨﺪ‪ .‬و ﻣﻲداﻧﻴﻢ ﻣﻴﺰان ﻧﺎﺧﺎﻟﺼﻲ در ﺟﺎﻣﺪ ﻛﻤﺘﺮ از ﻣﻴﺰان ﻧﺎﺧﺎﻟﺼﻲ در‬
‫ﻣﺎﻳﻊ اﺳﺖ اﮔﺮ ﻣﻴﺰان ﻧﺎﺧﺎﻟﺼﻲ ﻣﺎﻳﻊ را ﺑﺎ ‪ CL‬و ﻣﻴﺰان ﻧﺎﺧﺎﻟﺼﻲ ﺟﺎﻣﺪ را ﺑﺎ ‪ CS‬ﻧﺸﺎن دﻫﻴﻢ ﻫﻤﻴﺸﻪ‬
‫‪େୱ‬‬
‫‪<1‬‬ ‫ﻧﺴﺒﺖ ‪ CS‬ﺑﻪ ‪ CL‬ﻛﻤﺘﺮ از ﻳﻚ اﺳﺖ ‪:‬‬
‫୐‪େ‬‬

‫‪66‬‬
Zone refining process ‫ ﺟﺎﺑﺠﺎﻳﻲ ﻓﺎز ﻣﺎﻳﻊ در‬- 2 ‫ﺷﻜﻞ‬

:‫ﻣﻲﺗﻮان ﻣﻌﺎدﻻت ﺣﺎﻛﻢ ﺑﺮ آن را اﻳﻦ ﭼﻨﻴﻦ ﻧﻮﺷﺖ‬

kO: Segregation coefficient


L: Zone length
CO: Initial uniform impurity concentration of the rod
CL: Concentration of impurities in the liquid
I: Number of impurities in the liquid
IO: Number of impurities in zone when first formed at bottom

67
‫ﺷﻜﻞ ‪- 3‬روش ‪Zone refining‬‬

‫وﻟﻲ اﺳﺘﺜﻨﺎﺋﺎً اﻳﻦ ﻧﺴﺒﺖ ﺑﺮاي ﺑﺮن ﻛﻤﻲ ﺑﺰرگﺗﺮ از ﻳﻚ اﺳﺖ اﻳﻦ ﻧﺸﺎن ﻣﻲدﻫﺪ ﻛﻪ ﺑﺎ اﻳﻦ ﺣﺎﻟﺖ‬
‫ﻧﻤﻲﺗﻮان آن را از ﺑﻴﻦ ﺑﺮد و ﻣﻘﺪارش را ﻛﺎﻫﺶ داد اﻟﺒﺘﻪ ﺑﺮاي ﺣﺬف ﺑﺮن روشﻫﺎي ﺧﺎﺻﻲ وﺟﻮد‬
‫دارد ﻛﻪ ﮔﻔﺘﻪ ﺧﻮاﻫﺪ ﺷﺪ ‪.‬‬
‫ﺑﺎ دو ﻧﺤﻮه اﻳﻦ روش ﺑﻪ ﺑﻬﺘﺮﻳﻦ ﺣﺎﻟﺖ ﻣﻨﺠﺮ ﺑﻪ اﻳﺠﺎد ﺧﻠﻮص ﺑﺎﻻ ﻣﻲ ﺷﻮد‪:‬‬
‫‪ .1‬ﺣﺮﻛﺖ آرام ‪zone‬‬

‫‪ .2‬ﻫﺮ ﭼﻪ ﻧﺎزكﺗﺮ ﺑﻮدن ‪zone‬‬

‫ﻣﺤﺪودﻳﺖ اﻳﻦ روش‬


‫ﻣﺤﺪودﻳﺖ اﻳﻦ روش اﻳﻦ اﺳﺖ ﻛﻪ ﻣﺎ ﺗﺎ ﺣﺪي ﻣﻲﺗﻮاﻧ ﻴﻢ ﻧﻮك ﻫﻴﺘﺮ را ﺑﺎرﻳﻚ ﻛﻨﻴﻢ ﺗﺎ ‪ zone‬اﻳﺠﺎد‬
‫ﺷﺪه ﺑﺎرﻳﻚﺗﺮ ﺷﻮد دوﻣﻴﻦ ﻣﺤﺪودﻳﺖ زﻣﺎن اﺳﺖ ﺑﺎ اﻳﻦ ﺣﺎﻟﺖ ﺑﺮاي ﺧﺎﻟﺺ ﺳﺎزي ﻳﻚ ﺑﻮﺗﻪ ﺣﺪاﻗﻞ‬
‫ﻳﻚ ﻣﺎه ﻃﻮل ﻣﻲﻛﺸﺪ ﻛﻪ اﻳﻦ اﺻﻼ ﺑﻪ ﺻﺮﻓﻪ ﻧﻴﺴﺖ ﺑﺮاي ﺳﺮﻳﻊﺗﺮ ﺷﺪن ﻓﺮاﻳﻨﺪ ﺧﺎﻟﺺ ﺳﺎزي ﺧﻮد‬
‫آﻗﺎي ‪ Pfann‬ﭼﺎرهاي اﻧﺪﻳﺸﻴﺪه اﺳﺖ ﻛﻪ در اداﻣﻪ ﺧﻮاﻫﻴﻢ ﮔﻔﺖ ‪.‬‬

‫‪68‬‬
‫ﺷﻜﻞ ‪- 4‬روﺷﻲ ﺳﺮﻳﻊ ﺑﺮاي ‪Zone refining‬‬

‫ﺳﻮﻣﻴﻦ ﻣﺤﺪودﻳﺖ ﻛﻪ وﺟﻮد دارد ﻧﺎﺧﺎﻟﺼﻲ اﺳﺖ ﻛﻪ از ﻃﺮﻳﻖ ﺟﻮ و ﺧﻮد ﻇﺮف اﻳﺠﺎد ﻣﻲ ﺷﻮد ﺑﺮاي‬
‫ﻛﻢ ﺷﺪن آﻟﻮدﮔﻲ ﺟﻮ را ﺑﺎ ﮔﺎزﻫﺎ ي ﺑﻲ اﺛﺮ ﭘﺮ ﻣﻲﻛﻨﻨﺪ وﺟﻨﺲ ﺑﻮﺗﻪ را ﺑﺎ ﮔﺮاﻓﺎﻳﺖ داراي ﻻﻳﻪ‬
‫ﺳﻴﻠﻴﺴﻴﻢ اﻛﺴﻴﺪ اﻧﺘﺨﺎب ﻣﻲﻛﻨﻨﺪ ‪.‬‬
‫اﮔﺮ ﻣﺎ ﺑﺮاي ﻏﻠﻈﺖ ﻧﺎﺧﺎﻟﺼﻲ ﻳﻚ ﻋﻨﺼﺮ ﻧﻤﻮداري ﺑﺮ ﺣﺴﺐ ﻃﻮل ﻗﺎﻳﻖ ﻣﻄﺎﺑﻖ ﺷﻜﻞ در ﻧﻈﺮ ﺑﮕﻴﺮﻳﻢ‬
‫در اﺑﺘﺪا ﻣﺎ ﻏﻠﻈﺖ ﻧﺎﺧﺎﻟﺼﻲ ﺛﺎﺑﺘﻲ ﺧﻮاﻫﻴﻢ داﺷﺖ ﻛﻪ ﺑﺎ اوﻟﻴﻦ ﻋﻤﻞ ‪ zone refining‬ﻧﻤﻮدار ﻏﻠﻈﺖ‬
‫ﻧﺎﺧﺎﻟﺼﻲ ﺑﻪ ﻧﻤﻮدار دوﻣﻲ ﺗﺒﺪﻳﻞ ﻣﻲ ﺷﻮد در اداﻣﻪ اﻳﻦ روﻧﺪ و ‪ run‬ﻫﺎي ﺑﻌﺪي ﻧﻤﻮدار ﻏﻠﻈﺖ ﺑﻪ‬
‫ﺣﺎﻟﺖ آﺧﺮي ﺗﺒﺪﻳﻞ ﺧﻮاﻫﺪ ﺷﺪ ﭘﺲ ﻣﺎ در اﺑﺘﺪاي ﻇﺮف ﺳﻴﻠﻴﺴﻴﻢ ﺧﺎﻟﺺﺗﺮي ﺧﻮاﻫﻴﻢ داﺷﺖ ﻛﻪ‬
‫اﻟﺒﺘﻪ ﺑﺎ ﺗﻜﺮار ﭼﻨﺪ ﻣﺮﺣﻠﻪ ﺳﻴﻠﻴﺴﻴﻢ ﺑﻮﺗﻪ را از وﺳﻂ ﻧﺼﻒ ﻛﺮده وذوب ﻛﺮده وﻫﺮ ﻛﺪام را ﺟﺪاﮔﺎﻧﻪ‬
‫‪ refining‬ﻣﻲﻛﻨﻨﺪ در ﺿﻤﻦ ﻣﺎ در ﻇﺮف ﻣﻴﺰان ﻧﺎﺧﺎﻟﺼﻲ را از دﺳﺖ ﻧﻤﻲدﻫﻴ ﻢ ﺑﻠﻜﻪ ﻓﻘﻂ در ﻇﺮف‬
‫ﺟﺎﺑﺠﺎ ﻣﻲ ﺷﻮد ﭘﺲ ﻣﺴﺎﺣﺖ زﻳﺮ ﻧﻤﻮدار ﻫﻤﻴﺸﻪ ﺛﺎﺑﺖ ﻣﻲ ﺑﺎﺷﺪ ‪.‬‬
‫ﺑﺮاي ﺳﺮﻋﺖ ﺑﺨﺸﻴﺪن ﺑﻪ ﻛﺎر از ﭼﻨﺪﻳﻦ ‪ zone‬اﺳﺘﻔﺎده ﻣﻲﻛﻨﻨﺪ و ﻳﺎ ﺑﺮاي راﺣﺘﻲ ﻛﺎر ﻫﻴﺘﺮ ﻫﺎ را‬
‫ﺛﺎﺑﺖ ﻧﮕﻪ داﺷﺘﻪ و ﺑﻮﺗﻪ را ﺣﺮﻛﺖ ﻣﻲدﻫﻨﺪ ﻳﺎ ﻣﺎﻧﻨﺪ ﺷﻜﻞ از ﻳﻚ رﻳﻞ داﻳﺮهاي اﺳﺘﻔﺎده ﻣﻲﻛﻨﻨﺪ‬
‫وﭼﻨﺪﻳﻦ ﺑﻮﺗﻪ را روي آن ﻗﺮار داده وﻫﻴﺘﺮ ﻫﺎ را در ﻣﺴﻴﺮ داﻳﺮوي ﻗﺮار داده و ﺑﻮﺗﻪﻫﺎ ﺑﺮ روي رﻳﻞ ﺑﻪ‬
‫ﺣﺮﻛﺖ در ﻣﻲآﻳﻨﺪ ﺑﺎ اﻳﻦ روش ﻫﻢ ﺣﺮﻛﺖ ﺑﻮﺗﻪ آﺳﺎنﺗﺮ ﺷﺪه وﻫﻢ ﻣﻲﺗﻮان از از ﺗﻌﺪاد زﻳﺎدي ﻫﻴﺘﺮ‬

‫‪69‬‬
‫وﺑﻮﺗﻪ اﺳﺘﻔﺎده ﻛﺮد واﻳﻦ ﻳﻌﻨﻲ اﻓﺰاﻳﺶ ﻓﻮق اﻟﻌﺎده ﺳﺮﻋﺖ در اﻳﻦ روش ﺑﺎ اﻳﻦ روش ﺑﻪ ﺻﻮرت‬
‫ﺗﺌﻮري ﻣﻲﺗﻮان ﻏﻠﻈﺖ را ﺗﺎ ﻫﺸﺖ رﻗﻢ ﻧﻪ وﻳﺎ ﺗﺎ ﻧﻪ رﻗﻢ ﻧﻪ ﺑﺎﻻ ﺑﺮد‪.‬‬
‫ﺑﺮاي ﺣﺬف ﻧﺎﺧﺎﻟﺼﻲ ﺑﺮن از ﮔﺎز ﻫﻴﺪروژن اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد ﺑﺪﻳﻦ ﺻﻮرت ﻛﻪ ﮔﺎز ﻫﻴﺪروژن را وارد‬
‫ﻛﻮره ﻣﻲﻛﻨﻨﺪ وﺑﺮن ﻫﺎﻳﻲ ﻛﻪ در ﺳﻄﺢ ﺑﻮﺗﻪ ﻗﺮار دارﻧﺪ ﺗﻤﺎﻳﻞ ﺑﻪ ﺗﺮﻛﻴﺐ ﺷﺪن ﺑﺎ ﻫﻴﺪروژن را دارﻧﺪ‬
‫ﺗﺎ ﺳﻴﻠﻴﺴﻴﻢ وﺣﺎﺻﻞ ﮔﺎزي ﺧﻮاﻫﺪ ﺷﺪ ﻛﻪ از ﻛﻮره ﺧﺎرج ﻣﻲﺷﻮد ﺑﺮاي ﺗﺎﺛﻴﺮ ﺑﻴﺸﺘﺮ اﻳﻦ روش‬
‫ﺿﺨﺎﻣﺖ ﺳﻴﻠﻴﺴﻴﻢ را ﻛﻢ ﻣﻲﻛﻨﻨﺪ ‪ .‬ﺑﻪ ﺧﺮوﺟﻲ اﻳﻦ روش ‪ Electronic grade Si‬ﻣﻲﮔﻮﻳﻨﺪ‪ .‬ﺑﺮاي ‪Solar‬‬

‫‪ cell‬ﺧﺎﻟﺺ ﺳﺎزي ﺗﺎ ﭘﻨﺞ رﻗﻢ ﻧﻪ ﻛﺎﻓﻲ ﻣﻲ ﺑﺎﺷﺪ‪.‬‬

‫‪ -7-2‬روش اﺳﺘﻔﺎده از ﻣﺎﻳﻌﺎت ﺳﻴﻠﻴﻦ‬


‫در اﻳﻦ روش ﻫﻢ ﻣﻲﺷﻮد از ﻣﺎده ﺧﺎم ﺳﻴﻠﻴﺴﻴﻢ اﻛﺴﻴﺪ ﺷﺮوع ﻛﺮد وﻫﻢ ﻣﻲﺷﻮد از ﻓﺮو ﺳﻴﻠﻴﻜﻮﻧﻲ‬
‫ﻛﻪ ﺑﻪ دﺳﺖ آوردﻳﻢ‪.‬اﻗﺪام ﻛﺮد وﻟﻲ اﻛﺜﺮا در اﻳﻦ روش از ﻫﻤﺎن ﺳﻴﻠﻴﺴﻴﻢ اﻛﺴﻴﺪ اﺳﺘﻔﺎده ﻣﻲﻛﻨﻨﺪ‬
‫اﻳﻦ روش ﺑﺮ ﭘﺎﻳﻪ ﺗﻘﻄﻴﺮ ﺑﻨﺎ ﻧﻬﺎده ﺷﺪه اﺳﺖ ﻣﺜﻞ ﺗﻘﻄﻴﺮي ﻛﻪ در ﭘﺎﻻﻳﺸﮕﺎهﻫﺎ ﺑﺮاي ﺗﻮﻟﻴﺪ ﺑﻨﺰﻳﻦ ﺑﻪ‬
‫ﻛﺎر ﻣﻲرود ‪.‬‬

‫ﺗﻮﻟﻴﺪ ﻣﺎﻳﻊ ﺳﻴﻠﻴ ﻦ‬ ‫‪- 1- 7- 2‬‬

‫در ﺷﺮوع ﻛﺎر ﺳﻴﻠﻴﺴﻴﻢ اﻛﺴﻴﺪ را ﺑﺎ ﮔﺎز ﻫﻴﺪروژن وﻛﻠﺮ ﺗﺤﺖ ﺷﺮاﻳﻄﻲ وارد واﻛﻨﺶ ﻛﺮده و ﻣﺎﻳﻌﺎﺗﻲ‬
‫ﻫﻢ ﭼﻮن ‪ SiH4,SiH3CL,SiH2CL2,SiHCL3,SiCL4‬ﺑﺪﺳﺖ ﻣﻲآورﻧﺪ ‪.‬‬
‫ﺑﻪ ﺗﺮﻛﻴﺒﺎت ﺑﺎﻻ ﺗﺮﻛﻴﺒﺎت ﺳﻴﻠﻲ ﮔﻮﻳﻨﺪ‪.‬ﻣﺜ ﻼً ﺑﺮاي ﺗﻮﻟﻴﺪ ‪ SiCL4‬دارﻳﻢ‪:‬‬
‫)‪SiO2 (s) + 4HCL (L) --------- 700 ͦ C ---> SiCL4 (L) + 2H2O (L‬‬

‫ﺷﻜﻞ ‪- 5‬ﺗﻮﻟﻴﺪ ﻣﺎﻳﻊ زرد رﻧﮓ ‪SiCl4‬‬

‫‪70‬‬
‫ﺣﺎﺻﻞ ﻣﺎﻳﻊ زرد رﻧﮓ ﺷﻔﺎﻓﻲ ﻣﻲﺑﺎﺷﺪ‪ .‬ﻣﺸﻜﻠﻲ ﻛﻪ ﺑﺮاي اﻳﻦ روش وﺟﻮد دارد ﻣﺴﺌﻠﻪ ﺧﻮرﻧﺪ ﮔﻲ آن‬
‫اﺳﺖ ﺑﻪ ﻋﻠﺖ دﻣﺎي ﺑﺎﻻي ﻓﺮاﻳﻨﺪ ﻇﺮﻓﻲ ﻛﻪ ﺣﺎوي ﺳﻴﻠﻴﺴﻴﻢ ﻣﻲ ﺑﺎﺷﺪ ﺧﻮرده ﺷﺪه واﻳﺠﺎد آﻟﻮدﮔﻲ‬
‫ﻣﻲﻛﻨﺪ‪.‬‬
‫ﺑﺮاي ﺣﻞ اﻳﻦ ﻣﺸﻜﻞ از ﺗﺮﻛﻴﺐ ﻓﺮوﺳﻴﻠﻴﻜﻮن اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ .‬ﺑﻪ دﻳﻦ ﺻﻮرت ﻛﻪ اﺑﺘﺪا ﺷﻤﺶﻫﺎي‬
‫ﻓﺮوﺳﻴﻠﻴﻜﻮن را آﺳﻴﺎب ﻛﺮده و ﺑﺎ اﺳﻴﺪ ‪ HCL‬آن را ﺷﺴﺘﺸﻮ ﻣﻲدﻫﻨﺪ اﺳﻴﺪ ﺑﺎ آﻫﻦ ﻓﺮوﺳﻴﻠﻴﻜﻮن‬
‫ﺗﺮﻛﻴﺐ ﺷﺪه وآن را از ﺳﻴﻠﻴﺴﻴﻢ ﺟﺪا ﻣﻲﻛﻨﺪ ﭼﻴﺰي ﻛﻪ ﺑﺎﻗﻲ ﻣﻲ ﻣﺎﻧﺪ ﺑﻪ آن ﻧﺎم ‪Metallurgical‬‬

‫‪ grade Si‬اﻃﻼق ﻣﻲﺷﻮد ﺑﻌﺪ اﻳﻦ دﻳﮕﺮ ﻻزم ﻧﻴﺴﺖ دﻣﺎ را ﺧﻴﻠﻲ ﺑﺎﻻ ﺑﺮد ‪ ،‬ﺑﺎ دﻣﺎي ﺣﺪود ‪ 200 ͦC‬ﻫﻢ‬
‫ﻣﻲﺷﻮد ﻋﻤﻞ ﻛﻠﺮوﺷﻴﻦ را اﻧﺠﺎم داد وﺗﺮﻛﻴﺐ ﺳﻴﻠﻴﻦ ﻣﻮرد ﻧﻈﺮ را ﺑﻪ دﺳﺖ آورد ‪.‬‬

‫ﺷﻜﻞ ‪- 6‬روﺷﻲ دﻳﮕﺮ ﺑﺮاي ﺗﻮﻟﻴﺪ ﻣﺎﻳﻊ زرد رﻧﮓ ‪SiCl4‬‬

‫ﺗﺨﻠﻴﺺ ﺳﻴﻠﻴﻜﻮن از ﻣﺎﻳﻊ‬ ‫‪- 2- 7- 2‬‬

‫ﺑﺮاي ﺷﺮوع ﻛﺎر ﻣﺨﺰﻧﻲ دارﻳﻢ ﻛﻪ ﺗﻮﺳﻂ اﻟﻤﻨﺖ ﻫﺎي ﻣﺨﺼﻮﺻﻲ ﺗﺎ دﻣﺎي ‪800 ͦC‬ﮔﺮم ﻣﻲﺷﻮد در‬
‫ورودي اﺑﺘﺪا از ﮔﺎزﻫﺎي ﺑﻲ اﺛﺮي ﭼﻮن ﻧﻴﺘﺮوژن اﺳﺘﻔﺎده ﻛﺮده آن را ﺑﻪ ﺳﻤﺖ ﻣﺨﺰن ﺣﺎوي ﺗﺮﻛﻴﺐ‬
‫ﺳﻴﻠﻴﻦ ﻫﺪاﻳﺖ ﻛﺮده و آن را از ﺑﺨﺎرﻫﺎي ﻣﺎﻳﻊ اﻧﺒﺎﺷﺘﻪ ﻛﺮده و ﺑﻪ ﺳﻤﺖ ﻛﻮره ﻫﺪاﻳﺖ ﻣﻲﻛﻨﻴﻢ از‬
‫ﻣﺠﺮاﻫﺎي دﻳﮕﺮ ﮔﺎز ﻫﻴﺪروژ ن و ﮔﺎز آرﮔﻮن را وارد ﻣﻲﻛﻨ ﻴ ﻢ ﮔﺎز آرﮔﻮن ﺑﺮاي ﺗﻨﻈﻴﻢ ﻓﺸﺎر داﺧﻞ‬

‫‪71‬‬
‫ﻛﻮره و ﻫﺪاﻳﺖ راﺣﺖ ﺗﺮﻛﻴﺒﺎت ﺑﺮاي واﻛﻨﺶ ﺑﻪ ﻛﺎر ﻣﻲ رود در دﻣﺎي ذﻛﺮ ﺷﺪه ﮔﺎز ﻫﻴﺪروژن ﺑﺎ ﻛﻠﺮ‬
‫وارد واﻛﻨﺶ ﺷﺪه و از ﻣﺨﺰن ﺑﻪ ﺻﻮرت ﺑﺨﺎر ﺧﺎرج ﻣﻲﺷﻮد واﺗﻢ ﻫﺎي ﺳﻴﻠﻴﻜﻮن در داﺧﻞ ﻛﻮره ﺑﻪ‬
‫ﺻﻮرت ﻗﻨﺪﻳﻞﻫﺎﻳﻲ رﺷﺪ ﻣﻲﻳﺎﺑﻨﺪ ﻛﻪ ﭘﺲ از ﺳﺮد ﺷﺪن ﻛﻮره ﺑﺎز ﺷﺪه و ﺳﻴﻠﻴﻜﻮن ﺧﺎﻟﺺ ﺧﺎرج‬
‫ﻣﻲﮔﺮدد‪.‬‬
‫)‪SiCL4 (L) + 2H2 (g) -------- 800 ͦ C -----> Si (s) + HCl (g‬‬

‫ﺷﻜﻞ ‪- 7‬ﺧﺎﻟﺺ ﺳﺎزي ﺑﻪ روش ﺗﻘﻄﻴﺮ ‪.‬‬

‫ﻣﺮاﺟﻊ‬
‫ﺟﺰوه ﺗﺪرﻳﺴﻲ دﻛﺘﺮ ﻓﺮاﻣﺮز ﺣﺴﻴﻦ ﺑﺎﺑﺎﻳﻲ ‪ ،‬ﺳﺎل ‪ ، 89‬ﻣﻬﻨﺪس ﻧﻜﻮﻳﻲ‬
‫ﺟﺰوه ﻣﻬﻨﺪس ﻣﻬﺪي ﻻﺟﻮردي ‪ ،‬ﺳﺎل ‪84‬‬
‫‪Search on Google for zone refining purification‬‬

‫‪72‬‬
‫ﻓﺼﻞ ‪ -3‬رﺷﺪ ﺑﻠﻮر‪:‬‬

‫‪ -1-3‬ﻣﻘﺪﻣﻪ‬

‫در ﻓﺮآﻳﻨﺪﻫﺎي ‪ fabrication‬ﻧﻴﺎز ﺑﻪ وﻳﻔﺮﻫﺎﻳﻲ ﺑﺎ ﺳﺎﺧﺘﺎر ‪ single crystal‬دارﻳﻢ‪ .‬ﺑﺮاي رﻓﻊ اﻳﻦ ﻧﻴﺎز‬
‫ﻧﺎﭼﺎر ﺑﻪ رﺷﺪ ﺑﻠﻮرﻫﺎي ‪ single crystal‬ﻫﺴﺘﻴﻢ‪.‬‬
‫رﺷﺪ ﺑﻠﻮر ﻓﺮآﻳﻨﺪي اﺳﺖ ﻛﻪ ﺧﺮوﺟﻲ آن ﻣﺸﺨﺺ ﺑﻮده و آن ﭼﻴﺰي ﺟﺰ ﻳﻚ ﺑﺪﻧﻪي ‪single crystal‬‬
‫ﻧﻴﺴﺖ‪ .‬ﺑﻪ اﻳﻦ ﺑﺪﻧﻪ ﻧﺎم ‪ ingot‬داده ﻣﻲ ﺷﻮد‪ .‬ورودي اﻳﻦ ﻓﺮآﻳﻨﺪ ﻧﻴﺰ ﻓﺎزﻫﺎي ﻣﺨﺘﻠﻒ آن ﻣﺎدهاي‬
‫اﺳﺖ ﻛﻪ ﻣﻲﺧﻮاﻫﻴ ﻢ رﺷﺪ دﻫﻴﻢ‪.‬‬

‫ﻧﻈﺮﻳﻪﻫﺎي رﺷﺪ ﺑﻠﻮر‬

‫ﭼﻨﺪﻳﻦ ﺗﺌﻮري ﺑﺮاي ﺗﻮﺿﻴﺢ ﻣﻜﺎﻧﻴﺴﻢ رﺷﺪ ﺑﻠﻮر ﭘﻴﺸﻨﻬﺎد ﺷﺪهاﻧﺪ‪ .‬ﺗﻌﺪادي از اﻳﻦ ﺗﺌﻮريﻫﺎ ﻋﺒﺎرﺗﻨﺪ‬
‫از‪:‬‬
‫ ﺗﺌﻮري اﻧﺮژي ﺳﻄﺤﻲ‬
‫ ﺗﺌﻮري ﺟﺬب ﻻﻳﻪاي‬
‫ ﺗﺌﻮري ﻧﻔﻮذ‬
‫ﺣﺎل ﺑﻪ ﺗﻮﺿﻴﺢ ﻣﺨﺘﺼﺮي در ﻣﻮرد اﻳﻦ ﻧﻈﺮﻳﻪﻫﺎ ﻣﻲﭘﺮدازﻳﻢ‪:‬‬

‫ﺗﺌﻮري اﻧﺮژي ﺳﻄﺤﻲ‬ ‫‪- 1- 1- 3‬‬

‫ﺗﺌﻮري اﻧﺮژي ﺳﻄﺤﻲ ﭘﻴﺸﻨﻬﺎد ﺷﺪه ﺗﻮﺳﻂ ﮔﻴﺒﺲ)‪ (Gibbs‬و ﻛﻮري)‪ (curie‬ﺑﻴﺎن ﻣﻲﻛﻨﺪ ﻛﻪ‬
‫ﺑﻠﻮر در ﺣﺎل رﺷﺪ ﺷﻜﻠﻲ را ﺑﻪ ﺧﻮد ﻣﻲﮔﻴﺮد ﻛﻪ داراي ﻛﻤﻴﻨﻪ اﻧﺮژي ﺳﻄﺤﻲ ﺑﺎﺷﺪ‪.‬‬

‫ﺗﺌﻮري ﺟﺬب ﻻﻳﻪاي‬ ‫‪- 2- 1- 3‬‬

‫‪73‬‬
‫ﺗﺌﻮري ﺟﺬب ﻻﻳﻪاي ﺗﻮﺳﻂ وﻟﻤﺮ)‪ (Volmer‬ﻣﻌﺮﻓﻲ ﮔﺮدﻳﺪه اﺳﺖ‪ .‬ﺑﺮ اﺳﺎس اﻳﻦ ﺗﺌﻮري‪ ،‬ﻣﻮﻟﻜﻮﻟﻲ‬
‫ﻛﻪ از ﺗﻮده ﻣﺤﻠﻮل ﻓﻮق اﺷﺒﺎع ﻳﺎ ﻣﺬاب اﺑﺮ ﺳﺮد ﺷﺪه ﺑﻪ ﺳﻄﺢ ﺑﻠﻮر ﻣﻲرﺳﺪ ﺑﺨﺸﻲ از ﮔﺮﻣﺎي ﻧﻬﺎن‬
‫ﺧﻮد را از دﺳﺖ ﻣﻲدﻫﺪ‪ .‬ﻣﺸﺎﺑﻪ ﺑﻪ اﻳﻦ ﺣﺎﻟﺖ ﺗﻤﺎم ﻣﻮﻟﻜﻮلﻫﺎ در اﻣﺘﺪاد ﺳﻄﺢ ﺣﺮﻛﺖ ﻧﻤﻮده و ﺑﻪ‬
‫ﻳﻜﺪﻳﮕﺮ ﻣﻠﺤﻖ ﺷﺪه ﺗﺎ ﻳﻚ ﻫﺴﺘﻪ دو ﺑﻌﺪي را در اﺛﺮ ﺑﺮﺧﻮرد ﻏﻴﺮ اﻻﺳﺘﻴﻚ ﺗﺸﻜﻴﻞ دﻫﻨﺪ ‪.‬‬

‫ﺗﺌﻮري ﻧﻔﻮذ‬ ‫‪- 3- 1- 3‬‬

‫ﺗﺌﻮري ﻧﻔﻮذ ﺗﻮﺳﻂ ﻧﻮﻳﺰ )‪ ، (Noyes‬واﻳﺘﻨﻲ)‪ (Whitney‬اراﺋﻪ ﺷﺪه اﺳﺖ‪ .‬ﺑﺮ اﺳﺎس ﺗﺌﻮري ﻧﻔﻮذ‬
‫ﻣﻮاد ﺑﻪ ﻃﻮر ﭘﻴﻮﺳﺘﻪ ﺑﺮ روي ﻳﻚ ﺳﻄﺢ ﺑﻠﻮر ﺑﺎ آﻫﻨﮕﻲ ﻣﺘﻨﺎﺳﺐ ﺑﺎ اﺧﺘﻼف ﻏﻠﻈﺖ ﺑﻴﻦ ﻧﻘﻄﻪ رﺷﺪ و‬
‫ﺗﻮده ﻣﺤﻠﻮل ﻧﺸﺴﺖ داده ﻣﻲﺷﻮﻧﺪ‪.‬‬

‫‪ -2-3‬ﻛﻼسﻫﺎي رﺷﺪ ﺑﻠﻮر‬

‫ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ ﻓﺎز ﻣﻮاد ورودي در ﻓﺮآﻳﻨﺪ رﺷﺪ ﺑﻠﻮر ﻣﻲﺗﻮان ﻛﻼسﻫﺎي ﻣﺨﺘﻠﻒ رﺷﺪ ﺑﻠﻮر را ﺗﻌﺮﻳﻒ‬
‫ﻛﺮد‪:‬‬
‫‪ .1‬رﺷﺪ ﺑﻠﻮر از ﻓﺎز ﺟﺎﻣ ﺪ )‪(Growth from Solid‬‬
‫‪ .2‬رﺷﺪ ﺑﻠﻮر از ﻓﺎز ﮔﺎز ) ﺑﺨﺎر ( )‪(Growth from Vapor‬‬
‫‪ .3‬رﺷﺪ ﺑﻠﻮر از ﻓﺎز ﻣﺤﻠﻮل )‪(Growth from Solution‬‬
‫‪ .4‬رﺷﺪ ﺑﻠﻮر از ﻓﺎز ﻣﺬاب )‪(Growth from Melt‬‬
‫رﺷﺪ ﺑﻠﻮر در ﻓﺎز ﺟﺎﻣﺪ زﻳﺎد ﻣﺘﺪاول ﻧﻴﺴﺖ و اﻳﻦ روش ﻫﻨﻮز ﺑﻪ ﺻﻮرت ﺗﺤﻘﻴﻘﺎﺗﻲ اﺳﺖ ﺑﻪ ﻫﻤﻴﻦ‬
‫دﻟﻴﻞ از ﭘﺮداﺧﺘﻦ ﺑﻪ آن ﺻﺮف ﻧﻈﺮ ﻣﻲﻛﻨ ﻴﻢ‪ .‬ﺣﺎل ﺑﻪ ﺗﻮﺿﻴﺢ ﻣﺨﺘﺼﺮي در ﻣﻮرد ﻫﺮ ﻛﺪام از اﻳﻦ‬
‫روشﻫﺎ ﻣﻲﭘﺮدازﻳﻢ‪.‬‬

‫‪74‬‬
‫رﺷﺪ ﺑﻠﻮر از ﻓﺎز ﮔﺎز‬ ‫‪- 1- 2- 3‬‬

‫در اﻳﻦ روش از ﻓﺎز ﺑﺨﺎر روي ﻳﻚ ﻫﺴﺘﻪي ﻗﻴﻒ ﻣﺎﻧﻨﺪ ﺑﻪ ﺻﻮرت ﻛﻨﺘﺮل ﺷﺪه ﻧﺸﺴﺖ ﻣﻲدﻫﻴﻢ‪.‬‬
‫رﺷﺪ ﺑﻠﻮر در اﻳﻦ روش ﺑﻪ ﻛﻨﺪي ﺻﻮرت ﻣﻲ ﮔﻴﺮد‪ .‬از ﻧﻤﻮﻧﻪﻫﺎي رﺷﺪ ﺑﻠﻮر از ﻓﺎز ﺑﺨﺎر ﻣﻲﺗﻮان از ﺑﺮف‬
‫ﻧﺎم ﺑﺮد‪ .‬ﻧﻤﻮﻧﻪﻫﺎﻳﻲ از رﺷﺪ ﺑﻠﻮر در ﻓﺎز ﺑﺨﺎر را در زﻳﺮ ﻣﺸﺎﻫﺪه ﻣﻲ ﻛﻨﻴﻢ ‪:‬‬

‫‪Vapor Phase Epitaxy(VPE) - 1- 1- 2- 3‬‬

‫اﮔﺮ ﻻﻳﻪي ﻧﺸﺴﺖ داده ﺷﺪه ﻧﺎزكﺗﺮ از ﭼﻨﺪ ﻣﻴﻜﺮون ﺑﺎﺷﺪ ﺑﻪ اﻳﻦ روش ﻧﺎم ‪Vapor Phase‬‬
‫‪ Epitaxy‬ﻳﺎ ‪VPE‬داده ﻣﻲﺷﻮد‪ .‬اﻳﻦ روش ﺑﻪ دﻟﻴﻞ ﺿﺨﺎﻣﺖ ﻛﻢ ﻻﻳﻪي ﻧﺸﺴﺖ داده ﺷﺪه از ﻧﻈﺮ‬
‫اﻗﺘﺼﺎدي ﺑﻪ ﺻﺮﻓﻪ ﺑﻮده و در ﻋﻤﻞ از اﻳﻦ روش اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‪.‬‬

‫‪Vapor Phase Bulk(VPB) - 2- 1- 2- 3‬‬

‫اﻣﺎ در ﺻﻮرﺗﻲ ﻛﻪ ﺿﺨﺎﻣﺖ ﻻﻳﻪي ﻧﺸﺴﺖ داده ﺷﺪه ﺑﻴﺸﺘﺮ از ﭼﻨﺪ ﻣﻴﻜﺮون ﺑﺎﺷﺪ ﺑﻪ اﻳﻦ روش ﻧﺎم‬
‫‪ Vapor Phase Bulk‬ﻳﺎ ‪ VPB‬داده ﻣﻲﺷﻮد‪ .‬اﻳﻦ روش ﺑﻪ دﻟﻴﻞ ﻛﻨﺪ ﺑﻮدن ﻧﺸﺴﺖ اﻗﺘﺼﺎدي ﻧﺒﻮده و‬
‫در ﺻﻨﻌﺖ ﭼﻨﺪان از آن اﺳﺘﻔﺎده ﻧﻤﻲ ﺷﻮد ‪.‬‬

‫‪Chemical Vapor Deposition (CVD) - 3- 1- 2- 3‬‬

‫در اﻳﻦ روش ﻳﻚ ﻻﻳﻪي ﻧﺎزك از ﻣﺎدهي ﻣﻮرد ﻧﻈﺮ را روي ﺳﻄﺢ ﻣﻲﻧﺸﺎﻧﻴﻢ‪ .‬ﺑ ﻪ ﻋﻨﻮان ﻣﺜﺎل ﺳﻄﺢ‬
‫ﻣﻮرد ﻧﻈﺮ ﻣﻲﺗﻮاﻧﺪ ﺳﻄﻮح ﻳﻚ وﻳﻔﺮ ﺑﺎﺷﺪ ‪.‬‬
‫در اﻳﻦ روش وﻳﻔﺮﻫﺎ را داﺧﻞ ﻳﻚ رآﻛﺘﻮر ﻗﺮار ﻣﻲدﻫﻴﻢ‪ .‬درون اﻳﻦ رآﻛﺘﻮر ﺗﻮﺳﻂ ﮔﺎزﻫﺎﻳﻲ ﻛﻪ‬
‫ﻣﻲﺧﻮاﻫﻴﻢ ﺗﻮﺳﻂ آنﻫﺎ ﻻﻳﻪ ﻧﺸﺎﻧﻲ را اﻧﺠﺎم دﻫﻴﻢ ﭘﺮ ﺷﺪه اﺳﺖ‪ .‬اﺳﺎس اﻳﻦ روش ﺑﺮ آن اﺳﺖ ﻛﻪ‬
‫ﻳﻚ ﺳﺮي واﻛﻨﺶ ﺷﻴﻤﻴﺎﻳﻲ ﺑﻴﻦ ﮔﺎزﻫﺎي ﻣﻨﺒﻊ رخ ﻣﻲدﻫﺪ ﻛﻪ ﻧﺘ ﻴﺠﻪي اﻳﻦ واﻛﻨﺶﻫﺎ ﻳﻚ ﻣﺎدهي در‬
‫ﻓﺎز ﺟﺎﻣﺪ اﺳﺖ ﻛﻪ روي ﺗﻤﺎﻣﻲ ﺳﻄﻮح داﺧﻞ رآﻛﺘﻮر ﺧﻮاﻫﺪ ﻧﺸﺴﺖ‪.‬‬
‫از روشﻫﺎي ﻣﺘﺪاول ‪ CVD‬ﻛﻪ در ‪ MEMS‬ﻫﻢ ﺑﺴﻴﺎر ﭘﺮﻛﺎرﺑﺮد ﻫﺴﺘﻨﺪ ﻣﻲﺗﻮان ﺑﻪ ‪ LPCVD‬و‬
‫‪ PECVD‬اﺷﺎره ﻛﺮد‪ LPCVD .‬ﻣﺨﻔﻒ ‪ Low Pressure Chemical Vapor Deposition‬و ‪PECVD‬‬
‫ﻣﺨﻔﻒ ‪ Plasma Enhanced Chemical Vapor Deposition‬اﺳﺖ‪.‬‬

‫‪75‬‬
‫در روش ‪ LPCVD‬ﻳﻚ ﻻﻳﻪي ﺑﺴﻴﺎر ﻋﺎﻟﻲ از ﻧﻈﺮ ﻳﻜﻨﻮاﺧﺘﻲ در ﺿﺨﺎﻣﺖ ﻻﻳﻪي ﻧﺸﺎﻧﺪه ﺷﺪه‪ ،‬روي‬
‫ﺳﻄﺢ اﻳﺠﺎد ﻣﻲ ﺷﻮد‪ .‬از ﻣﺸﻜﻼت اﻳﻦ روش ﻣﻲﺗﻮان ﺑﻪ دﻣﺎي ﺑﺎﻻي ﻣﻮرد ﻧﻴﺎز ﺑﺮاي ﻓﺮآﻳﻨﺪ و ﻫﻤﻴﻦ‬
‫ﻃﻮر ﺳﺮﻋﺖ ﻛﻢ ﻻﻳﻪ ﻧﺸﺎﻧﻲ اﺷﺎره ﻛﺮد‪ .‬ﻻﻳﻪ ﻧﺸﺎﻧﻲ در اﻳﻦ روش در رآﻛﺘﻮرﻫﺎﻳﻲ ﺑﺎ دﻣﺎي ﺑﺎﻻﺗﺮ از‬
‫‪ 600‬درﺟﻪي ﺳﺎﻧﺘﻲ ﮔﺮاد ﺻﻮرت ﻣﻲﭘﺬﻳﺮد‪ .‬ﺿﺨﺎﻣﺖ ﻻﻳﻪي اﻳﺠﺎد ﺷﺪه روي ﺳﻄﺢ وﻳﻔﺮ در دﻣﺎﻫﺎي‬
‫ﺑﺎﻻﺗﺮ ﻳﻜﻨﻮاﺧﺖﺗﺮ ﺧﻮاﻫﺪ ﺑﻮد‪ .‬در ﺷﻜﻞ زﻳﺮ ﻳﻚ رآﻛﺘﻮر ‪ LPCVD‬را ﻣﺸﺎﻫﺪه ﻣﻲﻛﻨﻴﺪ ‪:‬‬

‫در ﺷﻜﻞ زﻳﺮ ﻳﻚ رآﻛﺘﻮر ‪ PECVD‬را ﻣﺸﺎﻫﺪه ﻣﻲﻛﻨﻴﺪ ‪:‬‬

‫در روش ‪ PECVD‬ﻓﺮاﻳﻨﺪ در دﻣﺎﻫﺎي ﭘﺎﻳﻴﻦﺗﺮ ﺣﺪود ‪ 300‬درﺟﻪي ﺳﺎﻧﺘﻲﮔﺮاد اﻧﺠﺎم ﻣﻲﭘﺬﻳﺮد‪ .‬ﻫﺮ‬
‫ﭼﻨﺪ ﻻﻳﻪي ﻧﺸﺎﻧﺪه ﺷﺪه از ﻧﻈﺮ ﺿﺨﺎﻣﺖ ﻳﻜﻨﻮاﺧﺘﻲ روش ‪ LPCVD‬را ﻧﺨﻮاﻫﺪ داﺷﺖ ‪.‬‬

‫‪76‬‬
‫رﺷﺪ ﺑﻠﻮر از ﻓﺎز ﻣﺤﻠﻮل‬ ‫‪- 2- 2- 3‬‬

‫در اﻳﻦ روش ﻣﺎ از ﻣﺎدهي ﻣﻮرد ﻧﻈﺮ آﻧﻘﺪر ﺑﻪ ﺣﻼل اﺿﺎﻓﻪ ﻣﻲﻛﻨ ﻴﻢ ﺗﺎ ﻣﺤﻠﻮل ﺑﻪ ﺣﺎﻟﺖ اﺷﺒﺎع ﺑﺮود‪.‬‬
‫ﺳﭙﺲ آﻫﺴﺘﻪ ﻣﺤﻠﻮل را ﺳﺮد ﻣﻲ ﻛﻨﻴ ﻢ ﺗﺎ رﺷﺪ ﺻﻮرت ﮔﻴﺮد‪.‬‬
‫اﻳﻦ روش را ﻣﻲﺗﻮان ﺑﻪ دو زﻳﺮ روش ﺗﻘﺴﻴﻢ ﻛﺮد ‪:‬‬
‫رﺷﺪ ﺑﻠﻮر از ﻣﺤﻠﻮلﻫﺎي آﺑﻲ )‪(Growth from Aqueous Solution‬‬

‫رﺷﺪ ﺑﻠﻮر از ﻣﺤﻠﻮلﻫﺎي ﻏﻴﺮ آﺑﻲ )‪(Growth from Nonaqueous solution‬‬


‫‪Flux Growth‬‬

‫‪ -1-2-2-3‬رﺷﺪ ﺑﻠﻮر از ﻣﺤﻠﻮلﻫﺎي آﺑﻲ‬

‫در اﻳﻦ روش ﺣﻼل آب اﺳﺖ‪ .‬رﺷﺪ ﻛﺮﻳﺴﺘﺎلﻫﺎي ﻧﺒﺎت و ﻧﻤﻚ ﻃﻌﺎم از اﻳﻦ دﺳﺖ ﻫﺴﺘﻨﺪ‪ .‬ﻧﺒﺎت در‬
‫واﻗﻊ ﻛﺮﻳﺴﺘﺎلﻫﺎي ﺑﺰرگ ﺳﺎﻛﺎروز اﺳﺖ ‪.‬‬
‫ﺳﻨﮓ ﻧﻤﻚ ﻧﻤﻮﻧﻪاي از رﺷﺪ ﺑﻠﻮر در اﻳﻦ ﻛﻼس اﺳﺖ‪.‬‬

‫‪77‬‬
‫‪-2-2-2-3‬رﺷﺪ ﺑﻠﻮر از ﻣﺤﻠﻮلﻫﺎي ﻏﻴﺮ آﺑﻲ‬

‫در اﻳﻦ روش رﺷﺪ ﺑﻠﻮر در ﻣﺤﻠﻮلﻫﺎﻳﻲ ﺻﻮرت ﻣﻲﭘﺬﻳﺮد ﻛﻪ ﺣﻼل آنﻫﺎ ﻣﺎدهاي ﻏﻴﺮ از آب ﻧﻈﻴﺮ‬
‫اﻟﻜﻞ ﻳﺎ اﺗﻴﻠﻦ ﻳﺎ‪ ...‬اﺳﺖ‪.‬‬

‫‪Flux Growth‬‬
‫در اﻳﻦ روش ﺣﻼل ﺟﺎﻣﺪ اﺳﺖ‪ .‬در اﻳﻨﺠﺎ اﺑﺘﺪا ﺑﺎﻳﺪ ﺧﻮد ﺣﻼل را ذوب ﻛﺮد و ﺳﭙﺲ ﻣﺎده را درون آن‬
‫ﺣﻞ ﻛﺮد‪ .‬ﺗﻤﺎﻣﻲ ﺧﺎﻧﻮادهي ‪GaAs‬و ‪ LED‬ﻫﺎ از اﻳﻦ ﻧﻮع ﻫﺴﺘﻨﺪ‪.‬‬

‫رﺷﺪ ﺑﻠﻮر از ﻓﺎز ﻣﺬاب‬ ‫‪- 3- 2- 3‬‬

‫ﻳﻜﻲ از ﻣﺘﺪاولﺗﺮﻳﻦ روشﻫﺎي رﺷﺪ ﺑﻠﻮر‪ ،‬رﺷﺪ آن از ﻓﺎز ﻣﺬاب اﺳﺖ‪ .‬ﺑﻴﺶ از ‪ 90%‬ﻗﻄﻌﺎت‬
‫اﻟﻜﺘﺮوﻧﻴﻜﻲ ﺑﺎ اﺳﺘﻔﺎده از اﻳﻦ ﻧﻮع رﺷﺪ ﺑﻠﻮر ﺳﺎﺧﺘﻪ ﻣﻲﺷﻮﻧﺪ‪ .‬روشﻫﺎي ﻣﺘﻨﻮﻋﻲ ﺑﺮاي رﺷﺪ ﺑﻠﻮر در‬
‫ﻓﺎز ﻣﺬاب وﺟﻮد دارد ﻛﻪ در زﻳﺮ ﺑﻪ ﺑﺮﺧﻲ از آنﻫﺎ اﺷﺎره ﻣﻲ ﺷﻮد‪:‬‬
‫‪ .1‬روش ‪ Czochralski‬ﻳﺎ ‪CZ‬‬
‫‪ .2‬روش ‪Verneuil‬‬
‫‪ .3‬روش ‪Bridgman‬‬
‫ﺣﺎل ﺑﻪ ﺗﻮﺿﻴﺢ ﻣﺨﺘﺼﺮي در ﻣﻮرد اﻳﻦ روشﻫﺎ ﻣﻲﭘﺮدازﻳﻢ‪:‬‬

‫‪Czochralski‬‬ ‫‪-1-3-2-3‬روش‬

‫اﻳﻦ روش ﺗﻮﺳﻂ داﻧﺸﻤﻨﺪي ﺑﺎ ﻧﺎم ‪ Jan Czochralski‬در ﺳﺎل ‪ 1916‬ﻛﺸﻒ ﺷﺪ‪ .‬اﻣﺮوزه اﻳﻦ روش ﻳﻜﻲ‬
‫از ﻣﺘﺪاولﺗﺮﻳﻦ روشﻫﺎي رﺷﺪ ﺑﻠﻮر در ﺻﻨﻌﺖ اﻟﻜﺘﺮوﻧﻴﻚ اﺳﺖ ‪.‬‬

‫‪78‬‬
‫در اﻳﻦ روش ﻛﻞ ﺳﺎﻣﺎﻧﻪ ﺑﺎﻳﺪ درون ﻳﻚ اﺗﻤﺴﻔﺮ ﻛﻨﺘﺮل ﺷﺪه ﻗﺮار ﺑﮕﻴﺮد‪ .‬اﺑﺘﺪا ﺳﻴﻠﻴﺴﻴﻢ ﺧﺎﻟﺺ را‬
‫درون ﺑﻮﺗﻪ ذوب ﻣﻲﻛﻨﻴﻢ‪ .‬اﻳﻦ ﺑﻮﺗﻪ از ﻛﻮارﺗﺰ ﺳﺎﺧﺘﻪ ﺷﺪه اﺳﺖ ‪ .‬ﭘﺲ از آن ﻫﺴﺘﻪاي از ﺟﻨﺲ‬
‫‪ Single Crystal‬ﺳﻴﻠﻴﺴﻢ ﻛﻪ روي ﻳﻚ ﮔﻴﺮه ﻧﺴﺐ ﺷﺪه اﺳﺖ ﭘﺎﻳﻴﻦ آورده ﻣﻲ ﺷﻮد ﺗﺎ ﺑﺎ ﺳﻄﺢ ﻣﺬاب‬
‫ﺗﻤﺎس ﺑﺮ ﻗﺮار ﻛﻨﺪ‪ .‬ﻫﺴﺘﻪ ﻫﻢ زﻣﺎن ﺑﻪ دور ﺧﻮد ﻧﻴﺰ ﻣﻲﭼﺮﺧﺪ ‪ .‬در ﻫﻨﮕﺎم ﺗﻤﺎس ﻫﺴﺘﻪ ﺑﺎ ﺳﻄﺢ‬
‫ﺳﻴﻠﻴﻜﻮن ﻣﺬاب‪ ،‬ﺣﺮﻛﺖ رو ﺑﻪ ﭘﺎﻳﻴﻦ ﻫﺴﺘﻪ ﻣﺘﻮﻗﻒ ﺷﺪه و ﺳﻴﻠﻴﻜﻮن ﻣﺬاب ﺳﻴﻠﻴﻜﻮن ﺟﺎﻣﺪ ﻫﺴﺘﻪ‬
‫را ﺧﻴﺲ ﻣﻲﻛﻨﺪ ‪ .‬ﭘﺲ از ﻣﺪﺗﻲ ﻛﻪ ﻫﺴﺘﻪ در ﻫﻤﻴﻦ ارﺗﻔﺎع ﺑﺎﻗﻲ ﻣﺎﻧﺪ‪ ،‬ﻫﺴﺘﻪي در ﺣﺎل ﭼﺮﺧﺶ ﺑﺎﻻ‬
‫ﻛﺸﻴﺪه ﻣﻲ ﺷﻮد‪ .‬ﺑﺎ ﻛﻨﺘﺮل دﻗﻴﻖ دﻣﺎي ﻣﺬاب و ﺳﺮﻋﺖ ﭼﺮﺧﺶ و ﻫﻤﻴﻦ ﻃﻮر ﺳﺮﻋﺖ ﺑﺎﻻ ﻛﺸﻴﺪن‬
‫ﻫﺴﺘﻪ ﻣﻲﺗﻮان ﺑﻪ ﻳﻚ ‪ Single Crystal Ingot‬دﺳﺖ ﻳﺎﻓﺖ‪.‬‬

‫ﺷﻜﻞ ﺑﺎﻻ ﻧﺤﻮهي ﺑﺮﺧﻮرد ﻫﺴﺘﻪ ﺑﺎ ﺳﻴﻠﻴﻜﻮن ﻣﺬاب و ﺗﺸﻜﻴﻞ ‪Single Crystal‬را ﻧﻤﺎﻳﺶ ﻣﻲدﻫﺪ‪.‬‬
‫در ﺷﻜﻞ زﻳﺮ ﺑﻪ ﺻﻮرت ﺷﻤﺎﺗﻴﻚ دﺳﺘﮕﺎه رﺷﺪ ﺑﻠﻮر ﺑﻪ روش ‪ Czochralski‬را ﻣﺸﺎﻫﺪه ﻣﻲﻛﻨﻴﺪ ‪:‬‬

‫‪79‬‬
‫ﺑﺰرگﺗﺮﻳﻦ ﻗﻄﺮي ﻛﻪ ﺗﺎ ﺑﻪ اﻣﺮوز ﺑﺎ اﻳﻦ روش ﺑﻪ آن دﺳﺖ ﻳﺎﻓﺘﻪاﻧﺪ ‪ 400 mm‬اﺳﺖ ‪Ingot .‬ﻫﺎﻳﻲ ﺑﺎ‬
‫ﻗﻄﺮ ‪ 200- 300 mm‬و ﻃﻮل ‪ 1- 2 m‬در اﻳﻦ روش ﻣﺘﺪاولﺗﺮ ﻫﺴﺘﻨﺪ‪ .‬اﮔﺮ دﻣﺎي ﻣﺬاب را اﻧﺪﻛﻲ ﻛﻢ‬
‫ﻛﻨﻴﻢ رﻏﺒﺖ ﻣﺎﻳﻊ ﺑﻪ ﺟﺎﻣﺪ ﻛﻢ ﺷﺪه و در ﻧﺘﻴﺠﻪ ﻗﻄﺮ ‪ Ingot‬اﻓﺰاﻳﺶ ﻣﻲﻳﺎﺑﺪ ‪.‬‬
‫ﻃﻮل ﺷﻤﺶ را ﻣﻴﺰان ﻣﺎدهي ﻣﺬاب درون ﺑﻮﺗﻪ و ﻫﻤﻴﻦ ﻃﻮر ﻃﻮل ﻣﻴﻠﻪ )در واﻗﻊ ﺳﺎﻳﺰ ﺳﻴﺴﺘﻢ(‬
‫ﺗﻌﻴﻴﻦ ﻣﻲﻛﻨﺪ‪Ingot .‬ﻫﺎﻳﻲ ﺑﺎ ﻃﻮل ‪ 1m‬ﻣﺘﺪاول ﻫﺴﺘﻨﺪ ‪.‬‬

‫در اﻳﻦ ﺷﻜﻞ ﻳﻚ ‪ Ingot‬ﻛﻪ ﺗﻮﺳﻂ روش ‪ Czochralski‬رﺷﺪ داده ﺷﺪه اﺳﺖ را ﻣﺸﺎﻫﺪه ﻣﻲﻛﻨﻴﺪ ‪:‬‬

‫‪80‬‬
‫در روش ‪ Czochralski‬ﺑﺮاي ﺟﻠﻮﮔﻴﺮي از اﻛﺴﻴﺪ ﺷﺪن ﻣﺬاب و ﻛﺮﻳﺴﺘﺎل ﺗﻤﺎﻣﻲ ﻓﺮآﻳﻨﺪ درون‬
‫ﻣﺤﻔﻈﻪﻫﺎي ﺑﺪون اﻛﺴﻴﮋن ﺻﻮرت ﻣﻲﭘﺬﻳﺮد‪ .‬درون اﻳﻦ ﻣﺤﻔﻈﻪﻫﺎ را ﺑﺎ ﮔﺎز آرﮔﻮن ﭘﺮ ﻣﻲ ﻛﻨﻨﺪ ‪.‬‬

‫روش ‪Verneuil‬‬ ‫‪-2-3-2-3‬‬

‫اﻳﻦ روش در ﺳﺎل ‪ 1902‬ﺗﻮﺳﻂ داﻧﺸﻤﻨﺪي ﺑﺎ ﻧﺎم ‪Auguste Verneuil‬ﺗﻜﻤﻴﻞ ﺷﺪ‪ .‬اﻳﻦ روش اوﻟﻴﻦ‬
‫روش ﺗﺠﺎري در ﺳﺎﺧﺖ ﺟﻮاﻫﺮاﺗﻲ ﻧﻈﻴﺮ ﻳﺎﻗﻮت ﺑﻮده اﺳﺖ ‪.‬‬
‫در اﻳﻦ روش ﺧﻠﻮص ﻣﺎدهي اوﻟﻴﻪ ﻛﻪ ﻫﻤﺎن آﻟﻮﻣﻴﻨﺎ اﺳﺖ از اﻫﻤﻴﺖ ﺧﺎﺻﻲ ﺑﺮﺧﻮردار اﺳﺖ‪ .‬ﺧﻠﻮص‬
‫آﻟﻮﻣﻴﻨﺎ ﺑﺎﻳﺪ در ﺣﺪود ‪ 99.9995%‬ﺑﺎﺷﺪ‪ .‬ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ رﻧﮕﻲ ﻛﻪ ﻣﻲﺧﻮاﻫﻴﻢ ﻳﺎﻗﻮت داﺷﺘﻪ ﺑﺎﺷﺪ‬
‫ﻣﻘﺎدﻳﺮي اﻛﺴﻴﺪ ﺑﻪ آﻟﻮﻣﻴﻨﺎ اﺿﺎﻓﻪ ﻣﻲﻛﻨ ﻴﻢ‪ .‬ﻣﺜﻼً اﺿﺎﻓﻪ ﻛﺮدن اﻛﺴﻴﺪ ﻛﺮوﻣﻴﻮم ﺑﺎﻋﺚ اﻳﺠﺎد ﻳﺎﻗﻮت‬
‫ﻗﺮﻣﺰ ﻣﻲ ﮔﺮدد‪.‬‬
‫اﻳﻦ ﻣﻮاد اوﻟﻴﻪ ﺑﻪ ﺧﻮﺑﻲ ﭘﻮدر ﺷﺪه و درون ﻛﻮرهي ‪ Verneuil‬ﻗﺮار ﻣﻲﮔﻴﺮﻧﺪ‪ .‬ﺑ ﺎ ﻟﺮزش ﻛﺎﻧﺘﻴﻨﺮ ﺣﺎوي‬
‫ﭘﻮدر آﻟﻮﻣﻴﻨﺎ و ﻫﻤﭽﻨﻴﻦ ﺑﺎ ورود اﻛﺴﻴﮋن درون ﻛﺎﻧﺘﻴﻨﺮ ﭘﻮدر و اﻛﺴﻴﮋن ﺑﻪ ﺳﻤﺖ ﻣﺠﺮاﻳﻲ ﻛﻪ در‬
‫ﻗﺴﻤﺖ ﭘﺎﻳﻴﻨﻲ ﻛﺎﻧﺘﻴﻨﺮ ﻗﺮار دارد ﺣﺮﻛﺖ ﻣﻲﻛﻨﻨﺪ‪ .‬اﻳﻦ ﻣﺠﺮا درون ﻣﺠﺮاي ﺑﺰرگﺗﺮي ﻗﺮار ﮔﺮﻓﺘﻪ‬

‫‪81‬‬
‫اﺳﺖ‪ .‬درون ﻣﺠﺮاي ﺑﺰرگﺗﺮ ﻫﻴﺪروژن ﺗﺰرﻳﻖ ﻣﻲﺷﻮد‪ .‬ﺟﺎﻳﻲ ﻛﻪ ﻣﺠﺮاي ﻛﻮﭼﻚﺗﺮ ﺑﻪ ﻣﺠﺮاي‬
‫ﺑﺰرگﺗﺮ ﺑﺎز ﻣﻲﺷﻮد ﻳﻚ ﺷﻌﻠﻪ ﺑﺎ دﻣﺎي ﺣﺪاﻗﻞ ‪ 2000‬درﺟﻪ ﺳﺎﻧﺘﻲﮔﺮاد ﻗﺮار دارد‪ .‬ﺑﺎ ﮔﺬﺷﺘﻦ ﭘﻮدر از‬
‫اﻳﻦ ﺷﻌﻠﻪ ﭘﻮدر ﺑﻪ ﺣﺎﻟﺖ ﻣﺬاب در ﻣﻲآﻳﺪ‪ .‬اﻳﻦ ﻗﻄﺮات ﺑﺮ روي ﻳﻚ ﺻﻔﺤﻪي ﮔﺮد ﺳﻔﺎﻟﻲ ﻛﻪ در زﻳﺮ‬
‫ﻗﺮار دارد رﻳﺨﺘﻪ و ﺗﺸﻜﻴﻞ ﻳﻚ ﻫﺴﺘﻪ ﺑﺮاي رﺷﺪ ﻛﺮﻳﺴﺘﺎل را ﻣﻲدﻫﻨﺪ‪ .‬ﻗﻄﺮات ﺑﻌﺪي ﻛﻪ روي اﻳﻦ‬
‫ﻫﺴﺘﻪ ﻣﻲرﻳﺰﻧﺪ ﺗﺸﻜﻴﻞ ﻳﻚ ‪ Single Crystal‬را ﻣﻲدﻫﻨﺪ‪ .‬در اﻳﻦ ﻫﻨﮕﺎم ﺻﻔﺤﻪ ي ﺳﻔﺎﻟﻲ ﻛﻪ‬
‫ﻛﺮﻳﺴﺘﺎل روي آن واﻗﻊ اﺳﺖ ﺑﻪ ﺳﻤﺖ ﭘﺎﻳﻴﻦ ﺷﺮوع ﺑﻪ ﺣﺮﻛﺖ ﻣﻲﻛﻨﺪ‪.‬‬
‫در ﺷﻜﻞ ﻓﺮاﻳﻨﺪ ﺑﺎﻻ را ﺑﻪ ﺻﻮرت ﺷﻤﺎﺗﻴﻚ ﻣﺸﺎﻫﺪه ﻣﻲﻛﻨﻴﺪ ‪:‬‬

‫در زﻳﺮ ﻳﻚ ﻳﺎﻗﻮت ﻗﺮﻣﺰ رﺷﺪ داده ﺷﺪه ﺑﻪ اﻳﻦ روش را ﻣﺸﺎﻫﺪه ﻣﻲﻛﻨﻴﺪ ‪:‬‬

‫‪Grain Growth‬‬

‫‪82‬‬
‫ ﻫﺎ‬Poly Crystal .‫ ﺑﺪﺳﺖ ﻣﻲآﻳﺪ‬Single Crystal ‫ﺑﺎﻳﺪ دﻗﺖ ﺷﻮد ﻛﻪ ﻣﻴﻨﻴﻤﻢ اﻧﺮژي در ﺣﺎﻟﺖ‬
‫ﻫﺎ ﻣﻲﺗﻮاﻧﻨﺪ ﺑﻪ ﺳﻤﺖ‬Poly Crystal ‫ ﺣﺎل اﮔﺮ ﺷﺮاﻳﻂ ﻓﺮاﻫﻢ ﺷﻮد‬،‫ﺳﺮﺷﺎر از اﻧﺮژي ﻫﺴﺘﻨﺪ‬
, Grain Growth ‫ در روش‬.‫ ﺷﺪن ﺣﺮﻛﺖ ﻛﻨﻨﺪ‬Single Crystal ‫ﻣﻴﻨﻴﻤﻢ اﻧﺮژي ﻳﺎ ﻫﻤﺎن‬
‫ ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ اﻳﻨﻜﻪ اﻳﻦ روش‬.‫ﻫﺎي ﻛﻮﭼﻚﺗﺮ را در ﺧﻮد ﺣﻞ ﻣﻲﻛﻨﻨﺪ‬Grain ‫ ﻫﺎي درﺷﺖﺗﺮ‬Grain
. ‫ ﺳﻠﻴﺴﻴﻢ اﺳﺘﻔﺎده ﻧﻤﻲﺷﻮد‬Single Crystal ‫ﺑﺴﻴﺎر ﻛﻨﺪ اﺳﺖ از آن در ﺗﻮﻟﻴﺪ‬

‫ﻣﻨﺎﺑﻊ‬

1389 ‫ ﺳﺎل‬،‫ دﻛﺘﺮ ﺑﺎﺑﺎﻳﻲ‬،‫ﺟﺰوهي درس ﺗﻜﻨﻮﻟﻮژي ﺳﺎﺧﺖ ادوات ﻧﻴﻤﻪ ﻫﺎدي‬.1
2.Microchip Fabrication, peter Van Zant
3.crystal Growth Technology ,Hans.J.Scheel
4. http://www.memsnet.org/mems/processes/deposition.html
5. Single crystal growth employing Czochralski method, adam pikul
6. http://en.wikipedia.org/wiki/Verneuil_process

83
‫ﻓﺼﻞ ‪ -4‬آﻣﺎده ﺳﺎزي وﻳﻔﺮ‬

‫‪ -1-4‬ﻣﻘﺪﻣﻪ‬
‫در ﺗﻜﻨﻮﻟﻮژي ﺳﺎﺧﺖ ﻗﻄﻌﺎت ﻧﻴﻤﻪ ﻫﺎدي ﻳﻜﻲ از ﻣﺮاﺣﻞ ﻓﺮآﻳﻨﺪ ﺗﻮﻟﻴﺪ‪ ،‬ﺳﺎﺧﺖ و آﻣﺎده ﺳﺎزي وﻳﻔﺮ‬

‫ﻣﻲﺑﺎﺷﺪ‪ ،‬وﻳﻔﺮﻫﺎ از ﺷﻤﺶ ﺗﻚ ﻛﺮﻳﺴﺘﺎل ﻧﻴﻤﻪ ﻫﺎدي ﺳﺎﺧﺘﻪ ﺷﺪه و ﺑﺎ ﻃﻲ ﻣﺮاﺣﻠﻲ ﺑﺮاي ﭘﻴﺎده ﺳﺎزي‬

‫ﻣﺪار ﻃﺮاﺣﻲ ﺷﺪه‪ ،‬آﻣﺎده ﻣﻲ ﺷﻮﻧﺪ‪ .‬ﻧﺘﻴﺠﻪ اﻳﻦ ﻋﻤﻠﻴﺎت ﻳﻚ وﻳﻔﺮ ﺑﺎ ﺳﻄﺢ آﻳﻴﻨﻪاي اﺳﺖ ﻛﻪ آﻣﺎده‬

‫اﻧﺠﺎم ﻣﺮاﺣﻞ ﺑﻌﺪي ﭘﺮوﺳﻪ ‪ FAB‬اﻛﺴﻴﺪاﺳﻴﻮن و ‪ ...‬ﻣﻲ ﺑﺎﺷﺪ ‪.‬‬

‫در اﻳﻦ ﺑﺨﺶ اﺑﺘﺪا ﻛﻤﻲ در ﻣﻮرد ﺿﺮورت ﻛﻴﻔﻴﺖ ﻛﺮﻳﺴﺘﺎل و ﻧﺎﻛﺎﻣﻠﻲﻫﺎي آن ﺗﻮﺿﻴﺢ ﻣﻲدﻫﻴﻢ‪،‬‬

‫ﺳﭙﺲ ﺑﻪ ﺳﺮاغ ﻣﺮاﺣﻞ آﻣﺎده ﺳﺎزي و ﺳﺎﺧﺖ وﻳﻔﺮ ﻣﻲروﻳﻢ ﻛﻪ ﺑﻪ ﻃﻮر اﺧﺘﺼﺎر ﻋﺒﺎرﺗﻨﺪ از ‪:‬‬

‫درو ﻛﺮدن ‪ ،1‬ﺗﺮاش ﻛﺎري ﻗﻄﺮي ‪ ،2‬ﺗﺴﺖﻫﺎي ﭘﺎﻳﻪ ‪ ،3‬ﺗﺮاش ﺳﻄﺢ ﻫﻤﻮار ‪ ،4‬ﺑﺮ ش ‪ ،5‬ﭘﺮداﺧﺖ ‪ ،6‬زداﻳﺶ ‪،7‬‬

‫دﺳﺘﻪ ﺑﻨﺪي از ﻟﺤﺎظ ﺿﺨﺎﻣﺖ و ﻛﻨﺘﺮل ﻣﻴﺰان ﻫﻤﻮاري وﻳﻔﺮ ‪ ،8‬ﺗﺮاش ﻟﺒﻪﻫﺎ ‪ ،9‬ﺗﻤﻴﺰﻛﺎري ﻧﻬﺎﻳﻲ ‪،10‬‬

‫ﺑﺎزرﺳﻲ ‪ 11‬و ﺑﺴﺘﻪ ﺑﻨﺪي ‪.12‬‬

‫‪1- Cropping‬‬
‫‪2‬‬
‫‪2- Diameter Grinding‬‬
‫‪3- Basic Tests‬‬
‫‪4-Flat Grinding‬‬
‫‪5-Slicing‬‬
‫)‪6-Lapping (Rough Grinding‬‬
‫‪7-Etching‬‬
‫‪8-Thickness sorting and flatness checking‬‬
‫‪9-Edge Grinding‬‬
‫‪10- Inspection‬‬
‫‪11- Inspection‬‬
‫‪12-packaging‬‬
‫‪84‬‬
‫‪ -2-4‬ﻧﺎﻛﺎﻣﻠﻲﻫﺎي ﻛﺮﻳﺴﺘﺎل‬
‫ﻛﺮﻳﺴﺘﺎﻟﻲ ﻛﻪ در ﺳﺎﺧﺖ ادوات ﻧﻴﻤﻪ ﻫﺎدي ﺑﻪ ﻛﺎر ﻣﻲرود‪ ،‬ﺑﺎﻳﺪ ﻛﻢﺗﺮﻳﻦ ﻣﻘﺪار ﻣﻤﻜـﻦ ﻧﻘـﺺ را دارا‬

‫ﺑﺎﺷﺪ‪ .‬وﻟﻲ ﻣﺘﺄﺳﻔﺎﻧﻪ ﺣﺘﻲ ﺑﺎ ﭘﻴﭽﻴﺪه ﺗﺮﻳﻦ ﺗﻜﻨﻴﻚ ﻫﺎ ﻫﻢ ﺳﺎﺧﺖ ﻛﺮﻳﺴﺘﺎل اﻳﺪه ال )ﺑـﺪون ﻧﺎﻛـﺎﻣﻠﻲ(‬

‫ﻣﻤﻜﻦ ﻧﻴﺴﺖ‪ .‬وﺟﻮد ﻧﻘﺎﻳﺺ ﻛﺮﻳﺴﺘﺎﻟﻲ – ﻛﻪ اﻣﺮي اﺟﺘﻨﺎب ﻧﺎﭘﺬﻳﺮ اﺳﺖ ـ در ﻣﺮاﺣﻞ ﻣﺨﺘﻠﻔﻲ از ﻋﻤﺮ‬

‫ﻗﻄﻌﻪ ﺑﺎﻋﺚ ﻣﺸﻜﻼﺗﻲ ﻣﻲﺷﻮد‪ .‬از ﺟﻤﻠﻪ اﻳﻦ ﻛﻪ در ﭘﺮوﺳﻪ ﺳﺎﺧﺖ ﻣﻮﺟﺐ رﺷﺪ ﻧﺎﻣﺴـﻄﺢ ﻻﻳـﻪﻫـﺎي‬

‫ﻧﺎزك ﺳﻴﻠﻴﻜﻮن‪ ،‬اﻓﺖ ﻛﻴﻔﻴﺖ ﻻﻳﻪ ﻧﺸﺎﻧﻲ و ﻫﻤﭽﻨـﻴﻦ ﺗﻐﻴﻴـﺮ ﭘﺮوﻓﺎﻳـﻞ آﻻﻳـﺶ ﻧﺴـﺒﺖ ﺑـﻪ ﺣﺎﻟـﺖ‬

‫اﻳﺪه آل ﻣﻲﮔﺮدد‪ .‬در ادوات ﺗﻜﻤﻴﻞ ﺷﺪه‪ ،‬وﺟﻮد ﻧﻘﺎﻳﺺ ﻛﺮﻳﺴﺘﺎﻟﻲ ﻣﻮﺟﺐ ﺟﺮﻳﺎنﻫﺎي ﻧﺎﺧﻮاﺳـﺘﻪ ﻳـﺎ‬

‫ﺗﻐﻴﻴﺮ ﭘﺎراﻣﺘﺮﻫﺎي ﻗﻄﻌﻪ ﻣﻲﺷﻮد‪ .‬ﭼﻨﺪ دﺳﺘﻪ از ﻧﻘﺺﻫﺎي ﻣﻬﻢ ﻛﺮﻳﺴﺘﺎﻟﻲ ﻋﺒﺎرﺗﻨﺪ از ‪:‬‬

‫‪1‬‬
‫‪ - 1- 2- 4‬ﻧﺎﻛﺎﻣﻠﻲﻫﺎي ﻧﻘﻄﻪاي ‪:‬‬

‫ﻧﺎﻛﺎﻣﻠﻲ ﻧﻘﻄﻪاي در ﻛﺮﻳﺴﺘﺎل زﻣﺎﻧﻲ ﭘﻴﺶ ﻣﻲآﻳﺪ ﻛﻪ آﻻﻳﻨﺪهاي ﺑﻪ ﻃﻮر ﻧﺎﺧﻮاﺳﺘﻪ در ﺷﺒﻜﻪ‬

‫ﻛﺮﻳﺴﺘﺎﻟﻲ ﺑﻪ دام ﺑﻴﻔﺘﺪ‪ .‬در اﻳﻦ ﺻﻮرت در ﻣﺤﻠﻲ ﻛﻪ آﻻﻳﻨﺪه ﺑﻪ دام اﻓﺘﺎده اﺳﺖ‪ ،‬ﺷﺒﻜﻪ دﭼﺎر‬

‫آﺷﻔﺘﮕﻲ و ﻛﺸﺶ ‪ 2‬ﻣﻲﺷﻮد‪ .‬ﻧﻮع دﻳﮕﺮ ﻧﻘﺺ ﻧﻘﻄﻪاي‪ ،‬ﺟﺎي ﺧﺎﻟﻲ ‪ 3‬اﺳﺖ‪.‬‬

‫ﻣﻨﻈﻮر از ﺟﺎي ﺧﺎﻟﻲ آن اﺳﺖ ﻛﻪ در ﺷﺒﻜﻪ ﻛﺮﻳﺴﺘﺎﻟﻲ ﺟﺎي ﻳﻚ اﺗﻢ ﺧﺎﻟﻲ ﺑﺎﺷـﺪ‪ .‬ﺑـﻪ وﺟـﻮد آﻣـﺪن‬

‫ﺟﺎي ﺧﺎﻟﻲ ﭘﺪﻳﺪه اي ﻃﺒﻴﻌﻲ اﺳﺖ ﻛﻪ در ﻫﺮ ﻛﺮﻳﺴـﺘﺎﻟﻲ رخ ﻣـﻲدﻫـﺪ‪ .‬ﻣﺘﺄﺳـﻔﺎﻧﻪ اﻧﺠـﺎم ﻋﻤﻠﻴـﺎت‬

‫ﺣﺮارﺗﻲ )ﻛﻪ ﺷﺎﻣﻞ ﮔﺮم و ﺳﺮد ﻛﺮدن ﺑﻠﻮر ﻣﻲﺷﻮد( ﺑﺎﻋﺚ اﻓﺰاﻳﺶ ﺗﻌﺪاد ﺟﺎي ﺧـﺎﻟﻲﻫـﺎ در ﺷـﺒﻜﻪ‬

‫ﻛﺮﻳﺴﺘﺎﻟﻲ ﻣﻲﮔﺮدد‪ .‬ﻋﻠﺖ ﮔﺮاﻳﺶ داﻧﺸﻤﻨﺪان ﺑﻪ ﻳﺎﻓﺘﻦ ﭘﺮوﺳﻪ ﻫـﺎﻳﻲ ﻛـﻪ در دﻣـﺎي ﭘـﺎﻳﻴﻦ اﻧﺠـﺎم‬

‫‪1- point Defects‬‬

‫‪2- Strain‬‬

‫‪3- Vacancy‬‬

‫‪85‬‬
‫ﻣﻲﺷﻮﻧﺪ‪ ،‬آن اﺳﺖ ﻛﻪ ﺷﻤﺎر ﺟﺎي ﺧﺎﻟﻲﻫﺎﻳﻲ ﻛﻪ در ﭘﺮوﺳﻪ ﺳﺎﺧﺖ در اﺛﺮ ﺳﺮد و ﮔﺮم ﻛﺮدن وﻳﻔﺮ ﺑـﻪ‬

‫وﺟﻮد ﻣﻲآﻳﻨﺪ را ﺑﻪ ﺣﺪاﻗﻞ ﺑﺮﺳﺎﻧﻨﺪ‪.‬‬

‫‪1‬‬
‫‪ - 2- 2- 4‬ﻧﺎﺑﺠﺎﻳﻲﻫﺎ ‪:‬‬

‫ﻫﻤﺎن ﻃﻮري ﻛﻪ از درس ﺗﺌﻮري ﺗﻜﻨﻮﻟﻮژي ﺑﻪ ﺧﺎﻃﺮ دارﻳﺪ‪ ،‬ﻧﺎﺑﺠﺎﻳﻲ از دﺳﺘﻪ ﻧﺎﻛﺎﻣﻠﻲﻫﺎي ﺻﻔﺤﻪاي‬

‫اﺳﺖ ﻛﻪ ﺑﺮ اﺛﺮ ﮔﻢ ﺷﺪن اﻣﺘﺪاد ﻳﻜﻲ از ﺻﻔﺤﺎت ﻛﺮﻳﺴﺘﺎﻟﻲ رخ ﻣﻲدﻫﺪ‪ .‬ﻳﻌﻨﻲ ﺑﻪ ﻋﻨﻮان ﻧﻤﻮﻧﻪ در‬

‫ﻣﻴﺎن ﺻﻔﺤﺎت ﻛﺮﻳﺴﺘﺎﻟﻲ ﻛﻪ ﺑﻪ ﺻﻮرت ﻣﻮازي ﺑﺎ ﻫﻢ ﻗﺮار ﮔﺮﻓﺘﻪاﻧﺪ‪ ،‬ﻳﻜﻲ از آنﻫﺎ در ﻣﻴﺎن راه ﻗﻄﻊ‬

‫ﻣﻲﺷﻮد و اﻣﺘﺪاد ﻧﺪارد‪ .‬ﻧﺎﺑﺠﺎﻳﻲ ﻣﻮﺟﺐ ﺑﺮوز ﺗﻨﺶ در ﺳﺎﺧﺘﺎر ﻛﺮﻳﺴﺘﺎل در ﻣﺤﻞ ﺻﻔﺤﻪ ﻧﺎﻛﺎﻣﻞ‬

‫ﻣﻲﮔﺮدد‪.‬‬

‫ﻧﺎﺑﺠﺎﻳﻲ ﺑﻪ ﻋﻠﺖ ﺷﺮاﻳﻂ رﺷﺪ ﻛﺮﻳﺴﺘﺎل و ﻳﺎ اﻋﻤﺎل ﻓﺸﺎر ﺑﻪ آن و ﻳﺎ ﻓﺸﺎرﻫﺎﻳﻲ ﻛﻪ در ﺿﻤﻦ ﭘﺮوﺳﻪ‬

‫ﺳﺎﺧﺖ ﺑﻪ وﻳﻔﺮ وارد ﻣﻲآﻳﺪ ﺑﺮوز ﻣﻲﻛﻨﺪ‪ .‬ﭘﺮﻳﺪﮔﻲﻫﺎ ‪) 2‬ﺷﻜﺴﺘﮕﻲﻫﺎي ﻛﻮﭼﻚ( و ﻳﺎ ﺗﺮكﻫﺎي‬

‫ﻟﺒﻪﻫﺎي وﻳﻔﺮ ﻛﻪ ﻣﻮﺟﺐ ﺑﺮوز اﺳﺘﺮس در ﺷﺒﻜﻪ و ﺧﺮوج آن از وﺿﻊ ﻃﺒﻴ ﻌﻲاش ﻣﻲ ﺷﻮﻧﺪ‪ ،‬ﻣﻲﺗﻮاﻧﻨﺪ‬

‫ﺑﻪ ﻋﻨﻮان ﻣﻨﺎﺑﻊ ﻧﺎﺑﺠﺎﻳﻲ ﻋﻤﻞ ﻛﺮده و ﺧﻄﻮﻃﻲ از ﻧﺎﺑﺠﺎﻳﻲ ﺑﻪ وﺟﻮد آورﻧﺪ‪ .‬ﭼﻨﻴﻦ ﻧﺎﺑﺠﺎﻳﻲﻫﺎﻳﻲ ﺑﺎ ﻫﺮ‬

‫ﺑﺎر ﮔﺮم و ﺳﺮد ﻛﺮدن وﻳﻔﺮ در ﻫﻨﮕﺎم ﭘﺮوﺳﻪ ﺳﺎﺧﺖ ﺑﻴﺸﺘﺮ ﺑﻪ درون وﻳﻔﺮ ﻧﻔﻮذ ﻣﻲﻛﻨﻨﺪ ‪ .‬ﻣﻴﺰان‬

‫ﻧﺎﺑﺠﺎﻳﻲﻫﺎ در ﻳﻚ وﻳﻔﺮ ﺑﻪ ﺻﻮرت ﺗﻌﺪاد ﺑﺮ واﺣﺪ ﺳﻄﺢ ﺑﻴﺎن ﻣﻲ ﺷﻮد و ﻳﻚ ﻣﻘﺪار ﻧﻮﻋﻲ ﺑﺮاي اﻳﻦ‬

‫ﻛﻤﻴﺖ‪ ،‬ﺑﻴﻦ ‪ 200‬ﺗﺎ ‪ 1000‬ﻧﺎﺑﺠﺎﻳﻲ ﺑﺮ ﺳﺎﻧﺘﻲ ﻣﺘﺮ ﻣﺮﺑﻊ اﺳﺖ ‪.‬‬

‫اﻳﻦ ﻧﻮع ﻧﺎﻛﺎﻣﻠﻲ از اﻫﻤﻴﺖ ﺧﺎﺻﻲ در ﻓﺮآﻳﻨﺪ ﺗﻬﻴﻪ وﻳﻔﺮ ﺑﺮﺧﻮردار اﺳﺖ‪ .‬ﺑﻪ ﻧﺤﻮي ﻛﻪ دﺳﺖﻳﺎﺑﻲ ﺑﻪ‬

‫وﻳﻔﺮﻫﺎي ﺑﺪون ﻧﺎﺑﺠﺎﻳﻲ ‪ 3‬ﻧﻪ ﺗﻨﻬﺎ در ﺑﺮﻧﺎﻣﻪ ﻛﺎري‪ ،‬ﺑﻠﻜﻪ ادﻋﺎي ﺑﺮﺧﻲ ﺳﺎزﻧﺪﮔﺎن وﻳﻔﺮ اﺳﺖ ‪.‬‬

‫‪1 - Dislocation‬‬
‫‪2 - Chip‬‬
‫‪3 - Dislocation Free Wafers‬‬
‫‪86‬‬
‫در ﻃﻲ ﺗﺴﺖﻫﺎي ﻣﺨﺘﻠﻔﻲ ﻛﻪ ﺑﺮاي اﻧﺪازه ﮔﻴﺮي ﻧﺎﺑﺠﺎﻳﻲﻫﺎي ﺳﻄﺢ وﻳﻔﺮ رخ ﻣﻲدﻫﺪ‪ ،‬ﺑﺎ اﻋﻤﺎل‬

‫زداﻳﺸﮕﺮ ‪ 1‬ﻫﺎي ﻣﺨﺘﻠﻒ‪ ،‬اﻟﮕﻮﻫﺎي ﻧﺎﺷﻲ از ﻧﺎﺑﺠﺎﻳﻲ آﺷﻜﺎر ﺷﺪه و ﮔﺎﻫﻲ ﺑﺎ ﭼﺸﻢ ﻏﻴﺮ ﻣﺴﻠﺢ ﻫﻢ ﻗﺎﺑﻞ‬

‫ﻣﺸﺎﻫﺪه ﻣﻲ ﺑﺎﺷﻨﺪ ‪ .‬ﺷﻜﻞ اﻳﻦ اﻟﮕﻮﻫﺎ ﻣﺘﻨﺎﺳﺐ ﺑﺎ ﺟﻬﺖ ﻛﺮﻳﺴﺘﺎﻟﻮﮔﺮاﻓﻲ ﺻﻔﺤﻪ ﺑﺮش وﻳﻔﺮ آﺷﻜﺎر‬

‫ﻣﻲﺷﻮﻧﺪ‪ .‬ﺑﺮاي ﻧﻤﻮﻧﻪ وﻳﻔﺮﻫﺎي > ‪ ،< 111‬اﻟﮕﻮﻫﺎي ﻣﺜﻠﺜﻲ و وﻳﻔﺮﻫﺎي > ‪ ،< 100‬اﻟﮕﻮﻫﺎي ﻣﺮﺑﻊ ﺷﻜﻞ‬

‫ﻧﻤﺎﻳﺎن ﻣﻲﻛﻨﻨﺪ‪) .‬ﺷﻜﻞ ‪.(1- 1‬‬

‫ﺷﻜﻞ ‪- 8‬اﻟﮕﻮﻫﺎي ﻧﺎﺷﻲ از زداﻳﺶ ﺳﻄﺢ وﻳﻔﺮ ﺑﺮاي ﺷﻤﺎرش ﺗﻌﺪاد ﻧﺎﺑﺠﺎﻳﻲﻫﺎ ﺳﻤﺖ ﭼﭗ > ‪ ،< 111‬ﺳﻤﺖ راﺳﺖ‬
‫>‪< 100‬‬

‫‪ - 3- 2- 4‬ﻧﺎﻛﺎﻣﻠﻲﻫﺎي ﻣﺨﺘﺺ رﺷﺪ ﻛﺮﻳﺴﺘﺎل ‪:‬‬

‫در ﻫﻨﮕﺎم رﺷﺪ ﺑﻠﻮر‪ ،‬ﺑﺮﺧﻲ ﻋﻮاﻣﻞ ﻣﻲﺗﻮاﻧﺪ ﻣﻮﺟﺐ ﺑﺮوز ﻧﻘﺺﻫﺎﻳﻲ در ﺳﺎﺧﺘﺎر آن ﺑﺸﻮد و آن را از‬

‫ﺣﺎﻟﺖ اﻳﺪه آل ﺧﺎرج ﺳﺎزد‪ .‬از ﻣﻬﻢﺗﺮﻳﻦ ﻧﺎﻛﺎﻣﻠﻲﻫﺎ ي اﻳﻦ دﺳﺘﻪ ﻣﻲﺗﻮان ﺑﻪ ﻟﻐﺰش ‪ 2‬اﺷﺎره ﻛﺮد ﻛﻪ‬

‫ﻋﺒﺎرت اﺳﺖ از ﻟﻐﺰش ﺻﻔﺤﺎت ﻛﺮﻳﺴﺘﺎﻟﻲ ﻧﺴﺒﺖ ﺑﻪ ﻳﻜﺪﻳﮕﺮ‪ .‬ﻣﺸﻜﻞ دﻳﮕﺮ دوﮔﺎﻧﮕﻲ ‪ 3‬اﺳﺖ‪ .‬در اﻳﻦ‬

‫ﺣﺎﻟﺖ از روي ﻳﻚ ﭘﺎﻳﻪ ﺑﺎ ﺟﻬﺖ ﻣﺸﺨﺺ‪ ،‬ﺑﻠﻮر در دو ﺟﻬﺖ ﻛﺮﻳﺴﺘﺎﻟﻲ ﻣﺨﺘﻠﻒ رﺷﺪ ﻣﻲﻛﻨﺪ‪ .‬ﻛﻪ اﻳﻦ‬

‫ﻳﻜﻲ از ﺣﺎﻟﺖﻫﺎي ﭘﻠﻲ ﻛﺮﻳﺴﺘﺎل ﺷﺪن ﻣﺎده اﺳﺖ ‪ .‬ﻫﺮ دو ﻧﻘﺺ ﻓﻮق ﻣﻮﺟﺐ ﻣﺮدود ﺷﺪن وﻳﻔﺮ در‬

‫ﻣﺮﺣﻠﻪ ﺑﺎزرﺳﻲ ﻣﻲ ﺷﻮد‪.‬‬

‫‪1 - Etchant‬‬
‫‪2 - Slip‬‬
‫‪3 - Twinning‬‬
‫‪87‬‬
‫‪ -3-4‬ﻣﺮاﺣﻞ آﻣﺎده ﺳﺎزي وﻳﻔﺮ‬

‫‪ - 1- 3- 4‬درو ﻛﺮدن‬

‫ﺷﻤﺶ ﻛﺮﻳﺴﺘﺎ ل ‪ 1‬ﭘﺲ از ﺟﺪا ﺷﺪن از رﺷﺪ دﻫﻨﺪه ﻛﺮﻳﺴﺘﺎل‪ ،‬ﻣﺮاﺣﻞ ﻣﺘﻨﻮﻋﻲ را ﻃﻲ ﻣﻲﻛﻨﺪ ﺗﺎ ﺑﻪ‬

‫ﺻﻮرت وﻳﻔﺮ ﻗﺎ ﺑﻞ اﺳﺘﻔﺎده در آﻳﺪ‪.‬‬

‫اوﻟﻴﻦ ﻣﺮﺣﻠﻪ درو ﻛﺮدن اﺳﺖ ‪ .‬ﺳﺮﺷﻤﺶ ﺑﻪ دﺳﺖ آﻣﺪه ﻛﻪ در ﻃﻮل ﭘﺮوﺳﻪ رﺷﺪ ﺗﻮﺳﻂ ﮔﻴﺮهاي‬

‫ﮔﺮﻓﺘﻪ ﺷﺪه اﺳﺖ و ﻧﻴﺰ ﺗﻪ ﺷﻤﺶ ﻛﻪ از ﻣﺎﻳﻊ ﺟﺪا ﺷﺪه اﺳﺖ ﻧﺴﺒﺖ ﺑﻪ ﺑﺪﻧﻪ ﺷﻤﺶ ﻗﻄﺮ ﻛﻤﺘﺮي‬

‫)ﺗﻴﺰ‬ ‫دارﻧﺪ‬

‫ﻣﻲﺑﺎﺷﻨﺪ( ) ﺷﻜﻞ ‪ .(1- 2‬ﺑﻨﺎﺑﺮاﻳﻦ اﻳﻦ ﻟﺒﻪﻫﺎ ﻳﻌﻨﻲ ﺳﺮ و ﺗﻪ ﺷﻤﺶ در اﻳﻦ ﻣﺮﺣﻠﻪ ﭼﻴﺪه ﻣﻲﺷﻮﻧﺪ‪ .‬ﻛﻪ‬

‫ﻟﺒﻪ ﭼﻴﺪه ﺷﺪه از ﺳﺮ ﺷﻤﺶ ﺑﻪ ﻋﻨﻮان داﻧﻪ ‪ 2‬در رﺷﺪ ﺷﻤﺶﻫﺎي ﺑﻌﺪي ﻣﻮرد اﺳﺘﻔﺎده ﻗﺮار ﻣﻲﮔ ﻴﺮد‪.‬‬

‫ﺷﻜﻞ ‪- 9‬ﭼﻨﺪ ﻧﻤﻮﻧﻪ ﺷﻤﺶ ﻛﺮﻳﺴﺘﺎل ﺑﺎ ﻗﻄﺮﻫﺎي ﻣﺨﺘﻠﻒ‪ .‬ﺑﻪ دو ﺳﺮ ﺗﻴﺰ آنﻫﺎ ﻗﺒﻞ از ﺑﺮش ﺗﻮﺟﻪ ﻛﻨﻴﺪ‪.‬‬

‫‪3‬‬
‫‪ - 2- 3- 4‬ﺗﺮاش ﻛﺎري ﻗﻄﺮي‬

‫ﻋﻠﻲ رﻏﻢ ﺗﻤﺎم ﺗﻤﻬﻴﺪاﺗﻲ ﻛﻪ ﺑﺮاي ﻛﻨﺘﺮل ﻗﻄﺮ ﻛﺮﻳﺴﺘﺎل ﺣﻴﻦ رﺷﺪ آن ﺻﻮرت ﮔﺮﻓﺘﻪ‪،‬‬

‫ﻧﺎﻫﻤﺎﻫﻨﮕﻲﻫﺎﻳﻲ در ﻗﻄﺮ ﺷﻤﺶ وﺟﻮد ﺧﻮاﻫﺪ داﺷﺖ‪ .‬از آﻧﺠﺎﻳﻲ ﻛﻪ در ﻃﻲ ﻓﺮآﻳﻨﺪ ﺳﺎﺧﺖ‪ ،‬وﻳﻔﺮﻫﺎ‬

‫‪1 - Ingot‬‬
‫‪2 - Seed‬‬
‫‪3 - Diameter Grinding‬‬
‫‪88‬‬
‫در ﻧﮕﻪ دارﻧﺪهﻫﺎ ي ﻣﺘﻨﻮﻋﻲ ﻗﺮار ﻣﻲﮔ ﻴﺮﻧﺪ و ﺟ ﺎ ﺑﺠﺎ ﻣﻲ ﺷﻮﻧﺪ ‪ ،‬دﻗﺖ ﻛﻢ در ﻛﻨﺘﺮل ﻗﻄﺮ وﻳﻔﺮﻫﺎ‬

‫ﻣﻲﺗﻮاﻧﺪ ﻣﻨﺠﺮ ﺑﻪ ﺧﻢ ﺷﺪن و ﻳﺎ ﺷﻜﺴﺘﻦ وﻳﻔﺮﻫﺎ ﺣﻴﻦ ﻓﺮآﻳﻨﺪ ﺷﻮد ‪.‬‬

‫ﺗﺮاش ﻛﺎري ﻗﻄﺮي ﻳﻚ ﻋﻤﻠﻴﺎت ﻣﻜﺎﻧﻴﻜﻲ اﺳﺖ ﻛﻪ ﺑﻪ وﺳﻴﻠﻪي ﻳﻚ ﻣﺎﺷﻴﻦ ﺗﺮاش ﺑﺪون ﻣﺮﻛﺰ ‪ 1‬اﻧﺠﺎم‬

‫ﻣﻲﮔﻴﺮد اﻳﻦ دﺳﺘﮕﺎه ﻛﺮﻳﺴﺘﺎل را ﺗﺎ ﻗﻄﺮ ﻣﻨﺎﺳﺐ ﻣﻲ ﺳﺎﻳﺪ‪.‬‬

‫ﺷﻜﻞ ‪- 10‬ﺷﻤﺎﻳﻲ از ﻳﻚ ﺷﻤﺶ در دﺳﺘﮕﺎه ﺗﺮاش ﻗﻄﺮي‬

‫ﻣﺎﺷﻴﻦ ﺗﺮاش ﺑﺪون ﻣﺮﻛﺰ‪ ،‬دﺳﺘﮕﺎﻫﻲ اﺳﺖ ﺑﺮاي ﺷﻜﻞ دادن دﻗﻴﻖ ﺑﻴﺮون اﺳﺘﻮاﻧﻪﻫﺎ‪ .‬در اﻳﻦ دﺳﺘﮕﺎه‬

‫ﻧﻴﺎزي ﺑﻪ ﮔﻴﺮ دادن ﻛﺮﻳﺴﺘﺎل ﺑﻪ ﻳﻚ ﮔﻴﺮه‪ ،‬ﻣﺜﻞ دﺳﺘﮕﺎه ﺗﺮاش ﺑﺎ ﻧﻘﻄﻪي ﻣﺮﻛﺰي ﺛﺎﺑﺖ ‪ 2‬ﻧﻴﺴﺖ‪.‬‬

‫‪ - 3- 3- 4‬ﺗﺴﺖﻫﺎي ﭘﺎﻳﻪ‬

‫ﭘﻴﺶ از آﻧﻜﻪ ﺷﻤﺶ آﻣﺎده‪ ،‬وارد ﻣﺮﺣﻠﻪ ﺗﻬﻴﻪ وﻳﻔﺮ ﺷﻮد‪ ،‬ﺑﺎﻳﺪ ﺟﻬﺖ ﻛﺮﻳﺴﺘﺎﻟﻲ و ﻫﻤﭽﻨﻴﻦ رﺳﺎﻧﺎﻳﻲ‬

‫آن ﻣﻮرد ﻛﻨﺘﺮل ﻗﺮار ﺑﮕﻴﺮد ﺗﺎ ﻣﻌﻠﻮم ﮔﺮدد ﻛﻪ آﻳﺎ ﻣﺸﺨﺼﺎت ﺷﻤﺶ ﺣﺎﺻﻞ از ﻣﺮاﺣﻞ ﻗﺒﻞ ﺑﺎ ﺣﺎﻟﺖ‬

‫ﻣﻮرد ﻧﻈﺮ و ﻣﻄﻠﻮب ﻣﻄﺎﺑﻖ اﺳﺖ ﻳﺎ ﻧﻪ‪ .‬دو ﺗﺴﺖ ﻣﻬﻢ ﻛﻪ اﻧﺠﺎم ﻣﻲﺷﻮد ﻳﻜﻲ ﻣﺸﺨﺺ ﻛﺮدن ﺟﻬﺖ‬

‫ﻛﺮﻳﺴﺘﺎل اﺳﺖ و دﻳﮕﺮي ﺳﻨﺠﺶ ﻣﻴﺰان ﻣﻘﺎوﻣﺖ و ﻧﻮع ﻫﺪاﻳﺖ آن‪.‬‬

‫ﺟﻬﺖ ﻛﺮﻳﺴﺘﺎل ﺑﻪ ﻛﻤﻚ روش ‪ 3 XRD‬و ﻳﺎ روش ﭘﺮﺗﻮﻫﺎي ﻧﻮر ﻣﻮازي ‪ 4‬ﺗﻌﻴﻴﻦ ﻣﻲﺷﻮد‪ .‬در ﻫﺮ دو‬

‫اﺑﺘﺪا ﻳﻚ ﺳﺮ ﺷﻤﺶ را ﺑﺎ ﻋﻤﻞ ﭘﺮداﺧﺖ ﻳﺎ زداﻳﺶ ﻃﻮري ﺻﺎف ﻣﻲ ﻛﻨﻨﺪ ﻛﻪ ﺻﺪﻣﻪ ﻧﺎﺷﻲ از ﺑﺮش ﺑﺎ‬

‫‪1 - Center less Grinder‬‬


‫‪2 - Lathe –type Grinder‬‬
‫‪3 - X-ray diffraction‬‬
‫‪4 -Collimated light‬‬
‫‪89‬‬
‫اره در ﻣﺮﺣﻠﻪ درو ﻛﺮدن از ﺑﻴﻦ ﺑﺮود‪ .‬ﺳﭙﺲ ﺷﻤﺶ روي ﻳﻚ ﮔﻴﺮه ﺛﺎﺑﺖ ﻣﻲ ﺷﻮد و ﺑﻪ ﺳﻄﺢ ﭘﺮداﺧﺖ‬

‫ﺷﺪه وﻳﻔﺮ ﭘﺮﺗﻮ ‪ x‬ﻳﺎ ﻧﻮري ﺑﺎ ﭘﺮﺗﻮﻫﺎي ﻣﻮازي ﺗﺎﺑﺎﻧﺪه ﻣﻲﺷﻮد ‪ .‬ﭘﺮﺗﻮﻫﺎي ﺑﺎزﺗﺎﺑﻴﺪه ﺷﺪه از ﺳﻄﺢ‬

‫ﻛﺮﻳﺴﺘﺎل روي ﻳﻚ ﺻﻔﺤﻪ ﻓﻴﻠﻢ )ﺑﺮاي روش ‪( XRD‬و ﻳﺎ ﻳﻚ ﭘﺮده )ﺑﺮاي روش ﻧﻮر ﺑﺎ ﭘﺮﺗﻮﻫﺎي ﻣﻮازي(‬

‫ﻣﻲاﻓﺘﺪ و ﺗﺸﻜﻴﻞ ﻳﻚ اﻟﮕﻮي ﺧﺎص ﻣﻲدﻫﺪ ﻛﻪ ﺑﺴﺘﮕﻲ ﺑﻪ ﺟﻬﺖ ﻛﺮﻳﺴﺘﺎﻟﻲ دارد ﻛﻪ ﻧﻮر از روي آن‬

‫ﺑﺎزﺗﺎﺑﻴﺪه اﺳﺖ‪ .‬ﺑﻪ ﻋﻨﻮان ﻧﻤﻮﻧﻪ‪ ،‬اﻟﮕﻮي ﻧﺸﺎن داده ﺷﺪه در ﺷﻜﻞ )‪ (1- 4‬ﻣﺮﺑﻮط ﺑﻪ ﺷﻤﺸﻲ اﺳﺖ ﻛﻪ‬

‫ﺳﻄﺢ ﻣﻘﻄﻊ آن داراي ﺟﻬﺖ > ‪ < 100‬ﻣﻲﺑﺎﺷﺪ‪ .‬ﻣﺮﺣﻠﻪ دﻳﮕﺮ ﻛﻨﺘﺮل ﻧﻮع ﻫﺪاﻳﺖ )‪ N‬ﻳﺎ ‪ (P‬اﺳﺖ‪ .‬اﻳﻦ‬

‫ﻣﺮﺣﻠﻪ ﺑﺮاي آن اﺳﺖ ﻛﻪ ﻣﺸﺨﺺ ﺷﻮد آﻳﺎ ﻧﻮع آﻻﻳﺶ ﺑﻪ ﻛﺎر رﻓﺘﻪ درﺳﺖ اﺳﺖ ﻳﺎ ﻧﻪ‪ .‬اﻳﻦ ﻛﺎر ﺑﻪ‬

‫ﻛﻤﻚ روش ﭘﺮوب داغ ﺻﻮرت ﻣﻲﭘﺬﻳﺮد‪ .‬در اﻳﻦ روش وﻟ ﺖ ﻣﺘﺮي ﺑﻜﺎر ﻣﻲرود ﻛﻪ ﻳﻜﻲ از ﭘﺮوﺑﻬﺎﻳﺶ‬

‫ﮔﺮمﺗﺮ اﺳﺖ‪ .‬در واﻗﻊ ﺑﻴﻦ دو ﭘﺮوب ﻳﻚ ﮔﺮادﻳﺎن دﻣﺎﻳﻲ اﻳﺠﺎد ﻛﺮدهاﻳﻢ‪ .‬ﺑﺴﺘﻪ ﺑﻪ ﻧﻮع ﺣﺎﻣﻞ اﻛﺜﺮﻳﺖ‬

‫ﭘﺮوب داغﺗﺮ ﻣﺜﺒﺖﺗﺮ ﺷﺪه ﻳﺎ ﺑﺮﻋﻜﺲ‪ .‬ﭘﺲ ﺑﺪﻳﻦ وﺳﻴﻠﻪ ﻧﻮع ﺷﻤﺶ ﻣﺸﺨﺺ ﻣﻲﮔﺮدد‪ .‬ﻣﻘﺪار‬

‫آﻻﻳﻨﺪهاي ﻛﻪ در ﻛﺮﻳﺴﺘﺎل ﺑﻪ ﻛﺎر رﻓﺘﻪ ﺗﻮﺳﻂ ﺳﻨﺠﺶ ﻣﻘﺎوﻣﺖ ‪ 1‬ﺑﺎ روش ﭘﺮوب ﭼﻬﺎر ﺳﺮ ‪ 2‬ﺗﻌﻴﻴﻦ‬

‫ﻣﻲﺷﻮد‪ .‬در واﻗﻊ ﺑﺎ اﻳﻦ روش ﻣﻴﺰان ﻫﺪاﻳﺖ ﺳﻨﺠﻴﺪه ﻣﻲﺷﻮد و از آﻧﺠﺎ ﻛﻪ ﻣﻴﺰان ﻫﺪاﻳﺖ ﺑﺴﺘﮕﻲ‬

‫ﻣﺴﺘﻘﻴﻢ ﺑﻪ ﺗﻌﺪاد ﺣﺎﻣﻞﻫﺎ )و در ﻧﺘﻴﺠﻪ ﺗﺮاﻛﻢ آﻻﻳﻨﺪهﻫﺎ ( دارد‪ ،‬ﻣﻲﺗﻮان ﺗﺮاﻛﻢ آﻻﻳﻨﺪهﻫﺎ را در ﻫﺮ‬

‫ﻧﻘﻄﻪ در ﻃﻮل ﻣﺤﻮر ﺷﻤﺶ ﻛﺮﻳﺴﺘﺎل ﺗﻌﻴﻴﻦ ﻛﺮد ‪ .‬دﻟﻴﻞ اﺳﺘﻔﺎده از ﭼﻬﺎر ﭘﺮوب ﺑﻪ ﺟﺎي دو ﭘﺮوب‬

‫ﻣﻘﺎوﻣﺖ ﺑﺎﻻ در ﻣﺤﻞ ﻛﻨﺘﺎﻛﺖ ﻫﺎ ﻣﻲﺑﺎﺷﺪ‪ .‬از آﻧﺠﺎ ﻛﻪ در ﻣﺤﻞ ﺗﻤﺎس ﻣﻘﺎوﻣﺖ ﺑﺎﻻ اﺳﺖ‪ ،‬اﻓﺖ‬

‫ﭘﺘﺎﻧﺴﻴﻞ اﻳﺠﺎد ﺷﺪه ﻗﺎﺑﻞ ﻣﻼﺣﻈﻪ ﺧﻮاﻫﺪ ﺑﻮد‪ .‬از اﻳﻦ رو دو ﭘﺮوب ﺑﺮاي ﺗﺰرﻳﻖ ﺟﺮﻳﺎن ﻣﻲﮔﺬارﻳﻢ و‬

‫وﻟﺘﺎژ را از دو ﭘﺮوب دﻳﮕﺮ ﻣﻲﺧﻮاﻧﻴﻢ ‪.‬‬

‫‪1 - Resistivity‬‬
‫‪2 - Four point probe‬‬
‫‪90‬‬
‫ﺷﻜﻞ ‪- 11‬ﺗﻌﻴﻴﻦ ﻣﺨﺘﺼﺎت ﺻﻔﺤﻪ ﻛﺮﻳﺴﺘﺎﻟﻲ ﻣﻘﻄﻊ ﺷﻤﺶ‬

‫‪ - 4- 3- 4‬ﺗﺮاش ﺳﻄﺢ ﻫﻤﻮار‬


‫‪1‬‬
‫ﭘﺲ از ﻗﺮار ﮔﺮﻓﺘﻦ ﺷﻤﺶ روي ﺑﻠﻮك ﺑﺮش‪ ،‬در اﻣﺘﺪاد ﻣﺤﻮر اﺳﺘﻮاﻧﻪ ﻛﺮﻳﺴﺘﺎل ﻳـﻚ ﺳـﻄﺢ ﻫﻤـﻮار‬

‫ﻧﺸﺎﻧﺪه ﻣﻲﺷﻮد‪ .‬اﻳﻦ ﺳﻄﺢ ﻫﻤﻮار در ﺗﻤﺎم ﺷﻤﺶ ﻫﺎ وﺟـﻮد دارد؛ و ﻣﻜـﺎن آن در راﺳـﺘﺎي ﻳﻜـﻲ از‬

‫ﺻﻔﺤﺎت ﻛﺮﻳﺴﺘﺎﻟﻲ اﺳﺖ‪ .‬وﻗﺘﻲ ﻛﻪ ﺷﻤﺶ ﺑﻪ ﺻﻮرت ﺻﻔﺤﻪﻫﺎي داﻳﺮهاي ﺷﻜﻞ ﺑﺮش ﺑﺨـﻮرد ﺳـﻄﺢ‬

‫ﻫﻤﻮار در ﻛﻨﺎره ﻫﺮ وﻳﻔﺮ ﺑﻪ ﺻﻮرت ﻟﺒﻪ ﻫﺎي ﻫﻤﻮار ﻣﺸﺎﻫﺪه ﺧﻮاﻫﺪ ﺷﺪ‪ .‬ﻟﺒﻪ ﻫﻤﻮار ﺑـﻪ ﻋﻨـﻮان ﻳـﻚ‬

‫ﺻﻔﺤﻪ ﻣﺮﺟﻊ ﺑﺮاي اﻏﻠﺐ دﺳﺘﮕﺎه ﻫﺎ و ﺗﺠﻬﻴﺰات اﺗﻮﻣﺎﺗﻴﻚ ﺑﻪ ﻛﺎر ﻣﻲرود‪ .‬ﺑـﺮاي ﻧﻤﻮﻧـﻪ از آن ﺑـﺮاي‬

‫ﻗﺮار دادن ﻣﺎﺳﻚ ﻫﺎي ﻟﻴﺘﻮﮔﺮاﻓﻲ روي وﻳﻔﺮ ﺑﻪ ﻋﻨﻮان ﻣﺮﺟﻊ اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ .‬ﺑﻪ ﻧﺤﻮي ﻛـﻪ ﺟﻬـﺖ‬

‫ﺗﺮاﺷﻪ ﻫﺎ ‪ 2‬ﻫﻤﻮاره ﺑﺮ ﻳﻚ ﺻﻔﺤﻪ ﻛﺮﻳﺴﺘﺎﻟﻲ اﺻﻠﻲ ﺑﺎﺷﺪ‪) .‬ﺻﻔﺤﻪاي ﻛﻪ ﺳﻄﺢ ﻫﻤـﻮار در راﺳـﺘﺎي آن‬

‫اﺳﺖ( ﺑﻪ ﺷﻜﻞﻫﺎي )‪ (1- 5‬و )‪ (1- 6‬دﻗﺖ ﻛﻨﻴﺪ‪.‬‬

‫‪1 - Flat‬‬
‫‪2 - Chip‬‬
‫‪91‬‬
‫ﺷﻜﻞ ‪- 12‬ﻧﻤﻮﻧﻪاي از ﺷﻤﺶ ﺑﺎ ﺳﻄﺢ ﻫﻤﻮار ﺑﻪ ﻫﻤﺮاه وﺳﻴﻠﻪ ﺗﺮاش ﺳﻄﺢ ﻫﻤﻮار‬

‫ﺷﻜﻞ ‪- 13‬ﻣﻔﻬﻮم ﺳﻄﺢ ﻫﻤﻮار‬

‫در اﻏﻠﺐ ﻛﺮﻳﺴﺘﺎلﻫﺎ ﻳﻚ ﺳﻄﺢ ﻫﻤﻮار دﻳﮕﺮ )ﺛﺎﻧﻮﻳﻪ( و ﻛﻮﭼﻚﺗﺮ وﺟﻮد دارد‪ .‬ﻧﺤﻮه ﻗﺮار ﮔﺮﻓﺘﻦ‬

‫ﺳﻄﺢ ﻫﻤﻮار دوم ﻧﺴﺒﺖ ﺑﻪ ﺳﻄﺢ ﻫﻤﻮار اول‪ ،‬ﻃﺒﻖ ﻗﺮار دادﻫﺎﻳﻲ‪ ،‬ﻫﻢ ﺟﻬﺖ ﻛﺮﻳﺴﺘﺎﻟﻲ و ﻫﻢ ﻧﻮع‬

‫رﺳﺎﻧﺎﻳﻲ وﻳﻔﺮ را ﻣﺸﺨﺺ ﻣﻲﻛﻨﺪ‪ .‬ﻧﻤﻮﻧﻪﻫﺎﻳﻲ از اﻳﻦ ﻗﺮار دادﻫﺎ در ﺷﻜﻞ )‪ (1- 7‬آﻣﺪه اﺳﺖ‪ .‬در‬

‫ﺑﺮﺧﻲ ﻣﻮارد‪ ،‬ﺑﻪ ﺧﺼﻮص در وﻳﻔﺮﻫﺎﻳﻲ ﻛﻪ ﻗﻄﺮ ﺑﻴﺸﺘﺮي دارﻧﺪ‪ ،‬ﺑﻪ ﺟﺎي ﻟﺒﻪ ﻫﻤﻮار از ﻳﻚ ﺷﻜﺎف‬

‫ﻛﻮﭼﻚ ‪ V‬ﺷﻜﻞ ‪ 1‬ﺑﺮاي ﺑﻴﺎن ﺟﻬﺖ ﻛﺮﻳﺴﺘﺎﻟﻲ وﻳﻔﺮ اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪.‬‬

‫‪1 - Notch‬‬
‫‪92‬‬
‫در ﺷﻜﻞ ) ‪ ،(1- 7‬ﺣﺮف ‪ p‬ﻧﺸﺎﻧﮕﺮ ﻟﺒﻪ ﻫﻤﻮار اوﻟﻴﻪ ‪ 1‬و ﺣﺮف ‪ S‬ﻧﺸﺎﻧﮕﺮ ﻟﺒﻪ ﻫﻤﻮار ﺛﺎﻧﻮﻳﻪ ‪ 2‬اﺳﺖ ‪.‬‬

‫ﻓﺎﻳﺪه دﻳﮕﺮ اﻳﻦ ﺳﻄﻮح ﻫﻤﻮار ﻳﺎ ﺷﻜﺎف ‪ v‬ﺷﻜﻞ آن اﺳﺖ ﻛﻪ از ﭼﺮﺧﺶ وﻳﻔﺮ داﺧﻞ ﭘﺪﻫﺎ در ﻃﻮل‬

‫ﻋﻤﻠﻴﺎت ﺑﻌﺪي ﺟﻠﻮﮔﻴﺮي ﻣﻲ ﺷﻮد‪.‬‬

‫ﺷﻜﻞ ‪- 14‬ﻗﺮاردادﻫﺎي ﻣﺮﺑﻮط ﺑﻪ ﻟﺒﻪﻫﺎي ﻫﻤﻮار وﻳﻔﺮﻫﺎ‬

‫‪- 5- 3- 4‬ﺑﺮش‬

‫روشﻫﺎي ﻣﺨﺘﻠﻔﻲ ﺑﺮاي اﻳﻦ ﻣﺮﺣﻠﻪ وﺟﻮد دارد ‪:‬‬

‫• ﺑﺮش ﺑﺎ اره ‪:‬‬

‫ارهﻫﺎﻳﻲ ﻛﻪ ﺑﻪ ﻛﺎر ﻣﻲروﻧﺪ ﺻﻔﺤﺎت ﻧﺎزﻛﻲ از ﻓﻮﻻدﻧﺪ ﻛﻪ ﻣﺮﻛﺰﺷﺎن ﺑﻪ ﺻﻮرت داﻳﺮهﻫﺎﻳﻲ ﺳﻮراخ‬

‫ﺷﺪه اﺳﺖ ‪ .‬ﻗﻄﺮ درو ﻧﻲ ﺳﻮراخ‪ ،‬ﻟﺒﻪ ﺑﺮﻧﺪه اﺳﺖ و ﺑﺎ اﻟﻤﺎس ‪ 3‬روﻛﺶ ﺷﺪه اﺳﺖ ‪ .‬ﺑﻪ اﻳﻦ ارهﻫﺎ ﭼﻮن‬

‫‪1 - Primary Flat‬‬


‫‪2 - Secondary Flat‬‬
‫‪3- Diamond‬‬
‫‪93‬‬
‫ﻗﺴﻤﺖ ﺑﺮﻧﺪه آنﻫﺎ ﻟﺒﻪ داﺧﻠﻲ ﺷﺎن اﺳﺖ‪ ،‬ارهﻫﺎي ﻗﻄﺮ داﺧﻠﻲ ﻳﺎ ارهﻫﺎي ‪1 ID‬ﮔﻔﺘﻪ ﻣﻲﺷﻮد‪ .‬اﻳﻦ ﻧﻮع‬

‫اره ﺑﺪون اﻳﻨﻜﻪ زﻳﺎد ﻛﻠﻔﺖ ﺑﺎﺷﺪ‪ ،‬ﺳﺨﺘﻲ ﻻزم ﺑﺮاي ﺑﺮش را دارد‪ ،‬و اﻟﺒﺘﻪ اﻳﻦ ﺑﺎﻋﺚ ﻛﻮﭼﻚ ﺑﻮدن‬

‫اﻧﺪازه ﻋﺮض ﺑﺮش و در ﻧﺘﻴﺠﻪ ﻛﺎﻫﺶ ﺗﻠﻔﺎت ﺑﺮش ‪ 2‬ﻣﻲﺷﻮد ﻛﻪ ﺑﻪ ﻧﻮﺑﻪ ﺧﻮد از ﻫﺪر رﻓﺘﻦ ﻛﺮﻳﺴﺘﺎل‬

‫ﺟﻠﻮﮔﻴﺮي ﻣﻲﻛﻨﺪ‪ .‬ﻳﺎدآور ﻣﻲﺷﻮﻳ ﻢ ﻛﻪ در ﻫﻨﮕﺎم ﺑﺮش ﺑﺨﺶ ﻗﺎﺑﻞ ﺗﻮﺟﻬﻲ از ﻛﺮﻳﺴﺘﺎل ﺑﻪ ﺻﻮرت‬

‫ﺧﺎك اره ‪ 3‬ﺑﻪ ﻫﺪر ﻣﻲرود ﻛﻪ اﻳﻦ ﻫﻤﺎن ﺗﻠﻔﺎت ﺑﺮش اﺳﺖ‪ .‬در ﻫﺮ ﺣﺎل ﻓﻘﻂ در ﺣﺪود ‪ ٪50‬ﺷﻤﺶ ﺑﻪ‬

‫ﺻﻮرت وﻳﻔﺮ در ﻣﻲآﻳﺪ و ﺑﻘﻴﻪ ﺑﻪ ﺷﻜﻞ ﺧﺎك اره ﻫﺪر ﻣﻲ رود‪ .‬ﻋﻤﻠﻴﺎت ﺑﺮش در ﺣﻀﻮر روان‬

‫ﻛﻨﻨﺪهﻫﺎي ﺧﻴﺲ ‪ 4‬اﻧﺠﺎم ﻣﻲﭘﺬﻳﺮد‪ .‬ﺷﻜﻞ ) ‪ (1- 8‬ﻳﻚ اره ‪ ID‬ﺑﻪ ﻫﻤﺮاه وﻳﻔﺮﻫﺎي ﺣﺎﺻﻞ از ﺑﺮش و‬

‫ﺷﻜﻞ )‪ (1- 9‬ﭼﮕﻮﻧﮕﻲ ﻗﺮار ﮔﺮﻓﺘﻦ ﻣﻘﻄﻊ ﺷﻤﺶ را ﻧﺴﺒﺖ ﺑﻪ اره در اﺑﻌﺎد ﺻﻨﻌﺘﻲ ﻧﺸﺎن ﻣﻲدﻫﺪ ‪ .‬اﻳﻦ‬

‫روش ﺑﻪ دو دﻟﻴﻞ اﻧﺘﺨﺎب ﺷﺪه اﺳﺖ‪ .‬اول آﻧﻜﻪ ﺗﻨﺶ در ﺣﺎﻟﺖ ﻋﻤﻮدي ﺑﻴﺸﺘﺮ ﺑﻮده ﺗﻠﻔﺎت ﺑﻴﺸﺘﺮي‬

‫را ﺳﺒﺐ ﻣﻲﺷﻮد‪ .‬دﻟﻴﻞ دﻳﮕﺮ اﻳﻨﺴﺖ ﻛﻪ ﺑﺎ اﻳﻦ روش ﺑﻪ ارهﻫﺎﻳﻲ ﺑﺎ ﺿﺨﺎﻣﺖ ﻛﻤﺘﺮي ﻧﻴﺎز ﺧﻮاﻫﺪ ﺑﻮد‪،‬‬

‫در ﺣﺎﻟﻴﻜﻪ در ﺑﺮش ﻋﻤﻮدي ﺿﺨﺎﻣﺖ اره ﻣﻲ ﺑﺎﻳﺴﺖ ﺑﻪ ﻗﻄﺮ وﻳﻔﺮ ﺑﺮاﺑﺮ ﺑﺎﺷﺪ‪.‬‬

‫ﺷﻜﻞ ‪- 15‬ﻳﻚ ﻧﻤﻮﻧﻪ اره ‪ ID‬ﺑﻪ ﻫﻤﺮاه ﭼﻨﺪ ﻧﻤﻮﻧﻪ وﻳﻔﺮ ﺑﺮش ﺧﻮرده‬

‫)‪1- ID saw(Inner Diameter Saw‬‬


‫‪2- Kerf loss‬‬
‫‪3- Dust‬‬
‫‪4- Wet Lubricants‬‬
‫‪94‬‬
‫ﺷﻜﻞ ‪- 16‬ﻣﻮﻗﻌﻴﺖ ﻧﺴﺒﻲ ﺷﻤﺶ و اره ‪) ID‬ﺳﻤﺖ ﭼﭗ( و ﺷﻤﺶ اﺻﻠ ﻲ ﺑﻪ ﻫﻤﺮاه وﻳﻔﺮﻫﺎي ﺑﺮﻳﺪه ﺷﺪه از ﻣﻘﻄﻊ آن‬
‫)ﺳﻤﺖ راﺳﺖ(‬

‫ﺷﻤﺶ را ﺑﻪ ﺻﻮرت اﻓﻘﻲ روي ﻳﻚ ﻧﮕﻪ دارﻧﺪه ‪ 1‬ﻗﺮار ﻣﻲدﻫﻨﺪ ﻛﻪ آن را ﺑﻪ ﺳﻤﺖ ﺟﻠﻮ و ﻗﺴﻤﺖ ﺑﺮﻧﺪه‬

‫اره ﺣﺮﻛﺖ ﻣﻲدﻫﺪ‪ .‬ﺷﻜﻞ )‪ (1- 10‬ﺗﺼﻮﻳﺮ ﺷﻤﺎ ﺗﻴﻜﻲ ﺳﻪ ﺑﻌﺪي از ﻳﻚ دﺳـﺘﮕﺎه ﺑـﺮش را ﺑـﻪ دﺳـﺖ‬

‫ﻣﻲدﻫﺪ‪ .‬ﻫﻤﭽﻨﻴﻦ ﺷﻜﻞ )‪ (1- 11‬ﻳﻚ ﻧﻤﻮﻧﻪ آزﻣﺎﻳﺸﮕﺎﻫﻲ از دﺳﺘﮕﺎه ﻫﺎي ﺑﺮش را ﺑﻪ ﺗﺼﻮﻳﺮ ﻣﻲﻛﺸـﺪ‬

‫ﻛﻪ ﺑﺮاي ﺑﺮش وﻳﻔﺮﻫﺎي ﻛﻮﭼﻚ )ﺑﺎ ﻗﻄﺮ ﭼﻨﺪ اﻳﻨﭻ( ﺑﻪ ﻛﺎر ﻣﻲرود‪.‬‬

‫ﺷﻜﻞ ‪- 17‬ﺷﻤﺎي دﺳﺘﮕﺎه ﺑﺮش‬

‫ﺷﻜﻞ ‪- 18‬ﻳﻚ ﻧﻤﻮﻧﻪ دﺳﺘﮕﺎه ﺑﺮش آزﻣﺎﻳﺸﮕﺎﻫﻲ ﺑﺮاي وﻳﻔﺮﻫﺎي ﻛﻮﭼﻚ‬

‫‪1 - Holder‬‬
‫‪95‬‬
‫• ﺑﺮش ﺑﺎ ﺳﻴﻢ اﻟﻤﺎﺳﻲ ‪: 1‬‬

‫در ﺑﺮش ﺑﺎ اره ‪ ،ID‬وﻳﻔﺮﻫﺎ ﺑﻪ ﺻﻮرت ﭘﺸﺖ ﺳﺮ ﻫﻢ و ﺗﻚ ﺑﻪ ﺗﻚ ﺑﺮش ﻣﻲﺧﻮردﻧﺪ‪ ،‬وﻟﻲ در اﻳـﻦ روش‬

‫وﻳﻔﺮﻫﺎ ﺑﻪ ﺻﻮرت ﻫﻢ زﻣﺎن ﺑﺮش ﻣﻲﺧﻮرﻧﺪ‪ ،‬ﻫﻤﭽﻨﻴﻦ ﻋﺮض ﺑﺮش و در ﻧﺘﻴﺠﻪ ﺗﻠﻔـﺎت ﺑـﺮش ﺑـﺎ اﻳـﻦ‬

‫روش ﻛﺎﻫﺶ ﻣﻲ ﻳﺎﺑﺪ‪ .‬ﺑﻨﺎ ﺑﺮ اﻳﻦ ﻣﻘﺪار ﻛﻤﻲ از ﺷﻤﺶ ﻫﺪر ﻣـﻲرود‪ .‬اﻳـﻦ روش ﺑـﻪ ﺧﺼـﻮص ﺑـﺮاي‬

‫وﻳﻔﺮﻫﺎي ﺑﺎ ﻗﻄﺮ ﺑﺎﻻ ﺑﻪ ﻛﺎر ﻣﻲآﻳﺪ‪) .‬ﺑﻪ ﻋﻨﻮان ﻧﻤﻮﻧﻪ ﺑﺮاي وﻳﻔﺮﻫﺎي ‪ .( 300 mm‬ﺷﻜﻞ )‪ (1- 12‬ﺷـﻤﺎﻳﻲ‬

‫از اﻳﻦ روش ﺑﺮش را ﻧﺸﺎن ﻣﻲدﻫﺪ‪.‬‬

‫• ﺑﺮش ﺑﺎ ﻧﺦ ‪:‬‬

‫در اﻳﻦ روش ﻧﻴﺰ ﻣﺎﻧﻨﺪ ﺑﺮش ﺑﺎ ﺳ ﻴﻢﻫﺎي اﻟﻤﺎﺳﻲ ﻧﺦﻫﺎ را ﺑﻪ ﺻﻮرت ﻣﻮازي ﺑﺎ ﻫﻢ ﻗﺮار ﻣﻲدﻫﻨﺪ ‪ .‬ﻧﺦﻫﺎ‬

‫آﻏﺸﺘﻪ ﺑﻪ اﺳﻴﺪ ﻫﺴﺘﻨﺪ وﺑﺎ ﻓﺸﺎر از روي وﻳﻔﺮ ﻋﺒﻮر ﻣﻲﻛﻨﻨﺪ ﻛﻪ ﺑﻪ ﻣﺮور زﻣﺎن ﺷﻤﺶ را ﻣﻲﺑﺮد‪.‬‬

‫ﺷﻜﻞ ‪- 19‬ﺑﺮش ﺷﻤﺶ ﺑﺎ ﻧﺦ اﻟﻤﺎﺳ ﻲ‬

‫‪- 6- 3- 4‬ﭘﺮداﺧﺖ‬

‫ﺳﻄﺢ ﻳﻚ وﻳﻔﺮ ﻧﻴﻤﻪ ﻫﺎدي ﺑﺎﻳﺪ ﻋﺎري از ﻫﺮ ﮔﻮﻧﻪ ﻧﺎﻣﻨﻈﻤﻲ و ﺻﺪﻣﺎت ﻧﺎﺷﻲ از اره ﺑﻮده و ﻛﺎﻣ ﻼً ﺻﺎف‬

‫ﺑﺎﺷﺪ‪ .‬ﻋﻠﺖ آن اﺳﺖ ﻛﻪ در ﺳﺎﺧﺖ ادوات اﻟﻜﺘﺮوﻧﻴﻜﻲ‪ ،‬ﻻﻳﻪﻫﺎﻳﻲ ﻛﻪ ﺑﺮ روي ﺳﻄﺢ ﻳﺎ در داﺧﻞ وﻳﻔﺮ‬

‫‪1 - Diamond Wire‬‬


‫‪96‬‬
‫اﻳﺠﺎد ﻣﻲ ﺷﻮﻧﺪ‪ ،‬داراي اﺑﻌﺎد ﺑﺴﻴﺎر ﻛﻮﭼﻜﻲ ﻫﺴﺘﻨﺪ و ﺿﺨﺎﻣﺖ آنﻫﺎ ﺑﻴﻦ ‪ 0/ 5‬ﺗﺎ ‪ 2‬ﻣﻴﻜﺮون ﻣﻲ ﺑﺎﺷﺪ؛‬

‫و اﻳﻦ ﺑﺪان ﻣﻌﻨﻲ اﺳﺖ ﻛﻪ ﺑﺮاي داﺷﺘﻦ ادوات ﺳﺎﻟﻢ ﺑﺎﻳﺪ ﻧﺎﻫﻤﻮاريﻫﺎي ﺳﻄﺢ ﻧﺴﺒﺖ ﺑﻪ اﻋﺪاد ﻓﻮق‬

‫ﺑﺴﻴﺎر ﻛﻤﺘﺮ ﺑﺎﺷﻨﺪ‪) .‬اﺻﻮﻻً ﺳﻄﺢ ﻫﻤﻮار ﺑﺪﻳﻦ ﻣﻌﻨﺎﺳﺖ ﻛﻪ ﺳﻄﺢ ﺑﻪ ﻗﺪري ﻫﻤﻮار اﺳﺖ ﻛﻪ ﭘﺴﺘﻲ و‬

‫ﺑﻠﻨﺪيﻫﺎي آن ﻧﺴﺒﺖ ﺑﻪ اﺑﻌﺎد ﻻﻳﻪﻫﺎي ﻣﻮرد ﻧﻈﺮ ﻗﺎﺑﻞ اﻏﻤﺎض اﺳﺖ و ﮔﺮ ﻧﻪ ﻫﻴﭻ ﺳﻄﺢ ﻛﺎﻣﻼً ﻫﻤﻮار‬

‫اﻳﺪه آﻟﻲ وﺟﻮد ﻧﺪارد(‪.‬‬

‫ﺑﺮاي ﻓﺮآﻳﻨﺪ ﻟﻴﺘﻮﮔﺮاﻓﻲ در اﺑﻌﺎد ﻛﻮﭼﻚ ﻧﻴﺰ ﻛﻪ در ﻓﺼﻮل ﺑﻌﺪ ﺑﺎ آن آﺷﻨﺎ ﻣﻲ ﺷﻮﻳﻢ‪ ،‬ﺳﻄﺢ وﻳﻔﺮ ﺑﺎﻳﺪ‬

‫ﻛﺎﻣﻼً ﻫﻤﻮار ﺑﺎﺷﺪ‪ .‬ﻋﺪم ﻫﻤﻮاري ﺳﻄﺢ وﻳﻔﺮ ﻣﻮﺟﺐ ﺧﻮاﻫﺪ ﺷﺪ ﻛﻪ ﻧﻘﺸﻲ ﻛﻪ روي وﻳﻔﺮ ﻣﻲاﻓﺘﺪ‬

‫ﻣﻌﻮج ﺑﺎﺷﺪ‪ .‬درﺳﺖ ﻣﺎﻧﻨﺪ آﻧﻜﻪ ﻫﻨﮕﺎم ﻧﺸﺎن دادن اﺳﻼﻳﺪي ﺑﺎ ﭘﺮوژﻛﺘﻮر‪ ،‬ﭘﺮده اﻳﻲ ﻛﻪ ﻋﻜﺲ روي‬

‫آن ﻣﻲاﻓﺘﺪ ﻧﺎﻫﻤﻮار ﺑﺎﺷﺪ‪ .‬در اﻳﻦ ﺻﻮرت ﺑﺨﺶﻫﺎﻳﻲ از ﺗﺼﻮﻳﺮ ﻛﺎﻧﻮﻧﻲ ‪ 1‬و ﺑﺨﺶﻫﺎي دﻳﮕﺮ ﻏﻴﺮ‬

‫ﻛﺎﻧﻮﻧﻲ ‪ 2‬ﺧﻮاﻫﻨﺪ ﺑﻮد‪ .‬ﭘﺮداﺧﺖ ﺳﻄﺢ وﻳﻔﺮ در دو ﻣﺮﺣﻠﻪ ﭘﺮداﺧﺖ اوﻟﻴﻪ و ﭘﺮداﺧﺖ ﺷﻴﻤﻴﺎﻳﻲ‬

‫ﻣﻜﺎﻧﻴﻜﻲ ) ‪ ( CMP3‬اﻧﺠﺎم ﻣﻲﭘﺬﻳﺮد‪ .‬در ﺣﻘﻴﻘﺖ ﭘﺮداﺧﺖ اوﻟﻴﻪ ﺑﻴﺸﺘﺮ ﺑﺎ ﻫﺪف ﺑﺮ ﻃﺮف ﻛﺮدن ﺻﺪﻣﻪ‬

‫ﻧﺎﺷﻲ از اره در ﻣﺮﺣﻠﻪ ﺑﺮش اﻧﺠﺎم ﻣﻲ ﺷﻮد و ﺳﻄﺢ وﻳﻔﺮ را ﺗﺎ ﺣﺪي ـ و ﻧﻪ ﻛﺎﻣﻼً ﻫﻤﻮار ﻣﻲﻛﻨﺪ‪ .‬وﻟﻲ‬

‫در ﭘﺮوﺳﻪ ‪ CMP‬ﺳﻄﺢ وﻳﻔﺮ ﻛﺎﻣ ﻼً ﻫﻤﻮار ﻣﻲ ﺷﻮد‪) .‬ﺑﻪ ﻣﻌﻨﻲ واژه ﻫﻤﻮار در ﺑﻨﺪ ﻓﻮق ﺗﻮﺟﻪ ﺷﻮد ( در‬

‫ﺷﻜﻞ ) ‪ (1- 13‬ﺗﻔﺎوت اﻳﻦ دو ﻧﻮع ﭘﺮداﺧﺖ ﺑﻪ ﺻﻮرت ﻧﻤﺎدﻳﻦ ﻧﺸﺎن داده ﺷﺪه اﺳﺖ‪.‬‬

‫‪1 - Focus‬‬
‫‪2 - Out of focus‬‬
‫‪3 - Chemical Mechanical polishing‬‬
‫‪97‬‬
‫ﺷﻜﻞ ‪- 20‬ﺗﻔﺎوت ﭘﺮداﺧﺖ اوﻟﻴﻪ و ﭘﺮوﺳﻪ ‪CMP‬‬

‫• ﭘﺮداﺧﺖ اوﻟﻴﻪ ‪:‬‬

‫ﭘﺮداﺧﺖ اوﻟﻴﻪ ﺑﺎ اﺳﺘﻔﺎده از ﻣﻮاد ﺳﺎﻳﻨﺪه ‪ 1‬اﻧﺠﺎم ﻣﻲﺷﻮد و ﻳﻚ ﻋﻤﻞ ﻛﺎﻣ ﻼً ﻣﻜﺎﻧﻴﻜﻲ اﺳﺖ‪ .‬اﻏﻠﺐ‪،‬‬

‫ﭘﺮداﺧﺖ ﺑﺎ اﺳﺘﻔﺎده از ﻳﻚ ﻣﺎﺷﻴﻦ دوران ﻣﺨﺎﻟﻒ‪ 2 ،‬ﺗﺤﺖ ﻓﺸﺎر ﺑﺎﻻ ﺑﺮاي دﺳﺖ ﻳﺎﺑﻲ ﺑﻪ ﺣﺪاﻛﺜﺮ‬

‫ﺻﺎﻓﻲ در ﺳﻄﺢ اﻧﺠﺎم ﻣﻲﭘﺬﻳﺮد‪ .‬ﻫﻤﺎن ﻃﻮر ﻛﻪ در ﺷﻜﻞ ) ‪ ( 1- 14‬دﻳﺪه ﻣﻲ ﺷﻮد ﭼﺮﺧﺶﻫﺎي‬

‫ﻣﺨﺘﻠﻒ و در ﺟﻬﺖﻫﺎي ﻣﺨﺘﻠﻒ اﻧﺠﺎم ﻣﻲ ﮔﻴﺮﻧﺪ‪ .‬در ﻋﻤﻠﻴﺎت ﭘﺮداﺧﺖ اوﻟﻴﻪ از ﻣﻼتﻫﺎﻳﻲ ‪ 3‬ﻣﺜﻞ‬

‫اﻛﺴﻴﺪ آﻟﻮﻣﻴﻨﻴﻮم )آﻟﻮﻣﻴﻨﺎ( و ﻳﺎ ﺳﻴﻠ ﻴﻜﻮن ﻛﺎر ﺑﺎﻳﺪ اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪.‬‬

‫ﺷﻜﻞ ‪- 21‬ﻣﺎﺷﻴﻦ دوران ﻣﺨﺎﻟﻒ )ﻣﺸﺎﻫﺪه ﻣﻲﺷﻮد ﻛﻪ دو ﺑﺨﺶ ﺑﺎﻻﻳﻲ و ﭘﺎﻳﻴﻨﻲ ﻣﺎﺷﻴﻦ در دو ﺟﻬﺖ ﻣﺨﺎﻟﻒ‬
‫ﻣﻲﮔﺮدﻧﺪ(‬

‫‪1 - Abrasive‬‬
‫‪2 -Counter Rotating‬‬
‫‪3 - Slurry‬‬
‫‪98‬‬
‫• ﭘﺮداﺧﺖ ﻣﻜﺎﻧﻴﻜﻲ ﺷﻴﻤﺎﻳﻲ )‪(CMP‬‬

‫اﻳﻦ ﻣﺮﺣﻠﻪ ﺗﺮﻛﻴﺒﻲ از زداﻳﺶ ﺷﻴﻤﻴﺎﻳﻲ و ﺳﺎﻳﺶ ﻣﻜﺎﻧﻴﻜﻲ اﺳﺖ و ﺳﻄﺢ وﻳﻔﺮ را ﺑﻪ اﻧﺪازه ﻛﺎﻓﻲ‬

‫ﺻﺎف و ﻫﻤﻮار و آﻳﻨﻪاي ﻣﻲﻛﻨﺪ‪ .‬وﻳﻔﺮﻫﺎ روي ﻧﮕﻬﺪارﻧﺪه اي ‪ 1‬ﻛﻪ ﭼﺮﺧﺎن اﺳﺖ ﻗﺮار ﻣﻲﮔﻴﺮﻧﺪ‪ .‬اﻳﻦ‬

‫ﻧﮕﻬﺪارﻧﺪه وﻳﻔﺮ را ﺑﺮ روي ﺳﻄﺢ ﺳﺎﻳﻨﺪه ‪ 2‬دﻳﮕﺮي ﻛﻪ در ﺟﻬﺖ ﻣﺨﺎﻟﻒ ﻧﮕﻬﺪارﻧﺪه وﻳﻔﺮ ﻣﻲ ﭼﺮﺧﺪ‪،‬‬

‫ﻗﺮار داده و ﻣﻲ ﺳﻮﻳﻨﺪ‪ .‬ﺷﻜﻞ )‪ (1- 15‬ﭼﮕﻮﻧﮕﻲ ﻗﺮار ﮔﺮﻓﺘﻦ وﻳﻔﺮﻫﺎ را ﺑﻴﻦ ﻧﮕﻬﺪارﻧﺪه وﻳﻔﺮﻫﺎ و‬

‫ﺳﻄﺢ ﺳﺎﻳﻨﺪه ﻧﺸﺎن ﻣﻲدﻫﺪ‪ .‬ﺷﻜ ﻞ ) ‪ (1- 16‬دو ﻧﻤﻮﻧﻪ ﻧﮕﻬﺪارﻧﺪه واﻗﻌﻲ را در ﺣﺎل اﻧﺠﺎم ﭘﺮوﺳﻪ‬
‫‪4‬‬
‫‪ CMP‬ﻧﺸﺎن ﻣﻲدﻫﺪ‪ .‬ﺟﻨﺲ ﺳﻄﺢ ﺳﺎﻳﻨﺪه از ﭘﻠﻲ اورﺗﺎن ‪ 3‬و ﻳﺎ ﻧﻤﺪ ﭘﻮﺷﻴﺪه ﺷﺪه از اوره ﺗﺎن‬

‫ﻣﻲﺑﺎﺷﺪ‪ .‬در ﻫﻨﮕﺎم اﻧﺠﺎم ﺳﺎﻳﺶ ﻳﻚ ﻣﻼت ﺣﺎوي ﻣﺨﻠﻮط ﻣﻌﻠﻖ ﺳﻴﻠﻴﻜﺎ در ﻳﻚ زداﻳﺸﮕﺮ رﻗﻴﻖ‬

‫ﻣﺎﻧﻨﺪ ﻣﺤﻠﻮل ﺋﻴﺪرواﻛﺴﻴﺪ ﭘﺘﺎﺳﻴﻢ ﻳﺎ ﺋﻴﺪرواﻛﺴﻴﺪ آﻣﻮﻧﻴﻮم ﺑﻪ ﺳﻄﺢ ﺳﺎﻳﻨﺪه اﺿﺎﻓﻪ ﻣﻲ ﺷﻮد‪ .‬وﺟﻮد‬

‫ﻳﻚ ﻣﺎﻳﻊ ﻗﻠﻴﺎﻳﻲ در ﻣﻼت ﺑﺎﻋﺚ اﻳﺠﺎد ﻳﻚ ﻻﻳﻪ ﻧﺎزك از اﻛﺴﻴﺪ در ﺳﻄﺢ وﻳﻔﺮ ﻣﻲ ﺷﻮد و اﻧﺠﺎم ﻋﻤﻞ‬

‫ﺳﺎﻳﺶ )ﻣﻜﺎﻧﻴﻜﻲ( ﻣﻮﺟﺐ ﺑﺮداﺷﺘﻪ ﺷﺪن اﻳﻦ ﻻﻳﻪ اﻛﺴﻴﺪ از ﺳﻄﺢ وﻳﻔﺮ ﻣﻲﮔﺮدد‪ .‬ﺑﺪﻳﻦ ﺗﺮﺗﻴﺐ ﺑﺎ‬

‫اداﻣﻪ دادن ﭘﺮوﺳﻪ ‪ CMP‬ﺳﻄﺢ وﻳﻔﺮ ﺑﻪ ﺻﻮرت ﻻﻳﻪﻫﺎي ﺧﻴﻠﻲ ﻧﺎزك ﻛﻢ ﻛﻢ ﺑﺮداﺷﺘﻪ ﻣﻲ ﺷﻮد ﺗﺎ آﻧﻜﻪ‬

‫ﺳﻄﺢ ﻛﺎﻣﻼً ﺻﺎف و ﻳﻜﺪﺳﺖ ﮔﺮدد‪ .‬اﻓﺰاﻳﺶ دﻣﺎ ﻳﻜﻲ از ﻋﻮاﻣﻠﻲ اﺳﺖ ﻛﻪ ﺳﺮﻋﺖ اﻧﺠﺎم اﻳﻦ ﻣﺮﺣﻠﻪ را‬

‫اﻓﺰاﻳﺶ ﻣﻲدﻫﺪ‪ .‬در دﻣﺎﻫﺎي ﭘﺎﻳﻴﻦ اﻳﻦ ﻓﺮآﻳﻨﺪ ﺑﺴﻴﺎر ﻛﻨﺪ اﺳﺖ‪ .‬ﻣﺜﻼً در دﻣﺎي ‪ 55 o c‬ﻧﺮخ ﺧﻮردﮔ ﻲ‬

‫در ﺣﺪود ‪ 0.1 − 0.2 µm‬در ﺳﺎﻋﺖ اﺳﺖ ‪.‬‬

‫ﻣﻴﺰان ﺻﺎﻓﻲ ﺳﻄﺢ ﺑﻪ دﺳﺖ آﻣﺪه ﺑﺴﺘﮕﻲ ﺑﻪ ﻛﻨﺘﺮل دﻗﻴﻖ زﻣﺎن ﭘﺮداﺧﺖ‪ ،‬ﻓﺸﺎر اﻋﻤﺎﻟﻲ روي وﻳﻔﺮ و‬

‫ﺳﻄﺢ ﺳﺎﻳﻨﺪه در ﻃﻮل ﻓﺮآﻳﻨﺪ ﺳﺎﻳﺶ‪ ،‬ﺳﺮﻋﺖ ﭼﺮﺧﺶ ﺳﻄﺢﻫﺎ‪ ،‬اﻧﺪازه داﻧﻪﻫﺎي ﺳﺎﻳﻨﺪه داﺧﻞ ﻣﻼت‬

‫‪1 - Holder‬‬
‫‪2 - Pad‬‬
‫‪3 - Polyurethane‬‬
‫‪4 - Urethane coated felt‬‬
‫‪99‬‬
‫)ﻋﻤﺪﺗﺎً ﺳﻴﻠﻴﻜﺎ(‪ ،‬ﺳﺮﻋﺖ رﻳﺨﺘﻦ ﻣﻼت روي ﺳﻄﺢ ﺳﺎﻳﻨﺪه و ‪ pH‬ﻣﺤﻠﻮل ﻗﻠﻴﺎﻳﻲ و ﺟﻨﺲ ﺳﻄﺢ‬

‫ﺳﺎﻳﻨﺪه ﺑﺴﺘﮕﻲ دارد‪.‬‬

‫ﺷﻜﻞ ‪- 22‬ﭼﮕﻮﻧﮕﻲ ﻗﺮار ﮔﺮﻓﺘﻦ وﻳﻔﺮﻫﺎ ﺑﻴﻦ ﻧﮕﻬﺪارﻧﺪه و ﺳﻄﺢ ﺳﺎﻳﻨﺪه‬

‫ﺷﻜﻞ ‪- 23‬دﺳﺘﮕﺎه ‪ CMP‬واﻗﻌﻲ‬

‫‪ - 7- 3- 4‬زداﻳﺶ‬

‫ﻫﺮ ﮔﻮﻧﻪ رﻳﺰ ﺗﺮﻛﻬﺎي ‪ 1‬ﺑﺎﻗﻴﻤﺎﻧﺪه روي ﺳﻄﺢ وﻳﻔﺮ در اﻳﻦ ﻣﺮﺣﻠﻪ از ﺑﻴﻦ ﻣﻲرود ﻛﻪ ﻧﻬﺎﻳﺘﺎً ﺿﺨﺎﻣﺖ را‬

‫ﻫﻢ ﻛﺎﻫﺶ ﻣﻲدﻫﺪ‪ .‬زداﻳﺶ ﻳﻚ ﻓﺮآﻳﻨﺪ ﺷﻴﻤﻴﺎﻳﻲ اﺳﺖ ﻛﻪ ﺑﺎ ﻳﻚ ﻣﺤﻠﻮل ﺑﺎ ﺧﺎﺻﻴﺖ ﺧﻮردﮔ ﻲ اﻧﺠﺎم‬

‫‪1 - Micro cracks‬‬


‫‪100‬‬
‫ﻣﻲﺷﻮد‪ .‬در اﻳﻦ ﻣﺮﺣﻠﻪ وﻳﻔﺮﻫﺎ روي ﻧﮕﻬﺪارﻧﺪه ﻫﺎﻳﻲ روي ﺻﻔﺤﺎت ﮔﺮدان ﻗﺮار ﻣﻲﮔﻴ ﺮﻧﺪ و روي‬

‫ﺻﻔﺤﺎت ﻣﺤﻠﻮل زداﻳﺶ رﻳﺨﺘﻪ ﻣﻲﺷﻮد‪ .‬ﺑﻌﺪاً ﺑﺎﻗﻲ ﻣﺎﻧﺪه اﻳﻦ ﻣﺤﻠﻮل ﺗﻨﺪ ‪ 1‬ﺑﺎ ﺷﺴﺘﺸﻮي وﻳﻔﺮﻫﺎ در‬

‫ﻳﻚ ﺣﻤﺎم آب ﺑﺪون ﻳﻮن ﺑﺎ درﺟﻪ ﺧﻠﻮص ‪ 2‬ﺑﺎﻻ از ﺑﻴﻦ ﻣﻲرود‪.‬‬

‫‪ - 8- 3- 4‬ﭘﺮداﺧﺖ ﺳﻄﺢ ﭘﺸﺖ وﻳﻔﺮ ‪:3‬‬

‫ﻓﺮاﻳﻨﺪ ﺑﺎرﻳﻚ ﻛﺮدن وﻳﻔﺮ و ﻧﻴﺰ ﺳﺎﻳﺮ ﻣﺮاﺣﻞ اﻧﺠﺎم ﺷﺪه ﺑﺮاي آﻣﺎده ﺳﺎزي وﻳﻔﺮ ﺑﺎﻋﺚ ﺑﻪ وﺟﻮد‬
‫آﻣﺪن ﺑﻲ ﻧﻈﻤﻲ و در ﻧﺘﻴﺠﻪ ﺑﺮوز ﺗﻨﺶ ﺑﺮ ﺳﻄﺢ ﭘﺸﺖ وﻳﻔﺮ ﻣﻲ ﺷﻮد و ﻫﻤﭽﻨﻴﻦ آﻟﻮدﮔﻲﻫﺎ و ﻳﻮن‬
‫ﻫﺎي ﻓﻠﺰي از ﻫﻤﻴﻦ ﻧﻮاﺣﻲ ﻣﻲﺗﻮاﻧﻨﺪ ﺑﻪ درون وﻳﻔﺮ ﻧﻔﻮذ ﻛﻨﻨﺪ‪) .‬ﭼﺮا ﻛﻪ ﺳﻄﺢ روﻳﻴﻦ وﻳﻔﺮ ﻃﻲ‬
‫ﻋﻤﻠﻴﺎت زداﻳﺶ و ﺻﺎﻓﻜﺎري ﻛﺎﻣﻼً ﻫﻤﻮار و ﺻﻴﻘﻠﻲ ﺷﺪه اﺳﺖ‪ ،‬و دﻳﮕﺮ از ﻟﺤﺎظ ورود آﻟﻮدﮔﻲ اﻳﺠﺎد‬
‫ﻧﮕﺮاﻧﻲ ﻧﻤﻲ ﻛﻨﺪ( از ﻃﺮﻓﻲ در ﻣﻮرد وﻳﻔﺮﻫﺎﻳﻲ ﺑﺎ ﺿﺨﺎﻣﺖ ﻛﻢ )‪ ،(<200µ m‬اﻳﻦ ﭘﺪﻳﺪه ﻣﻮﺟﺐ‬
‫ﺷﻜﺴﺖ وﻳﻔﺮ ﻣﻲﺷﻮد ‪.‬‬
‫از اﻳﻨﺮو ﺑﺎﻳﺪ ﺑﻪ ﻃﺮﻳﻘﻲ اﻳﻦ ﺑﻲ ﻧﻈﻤﻲﻫﺎ را از ﺳﻄﺢ ﭘﺸﺖ وﻳﻔﺮ ﺣﺬف ﻛﺮد‪ .‬اﻳﻦ ﻛﺎر را ﻣﻲﺗﻮان ﺑﺎ‬
‫اﺳﺘﻔﺎده از دو روش اﻧﺠﺎم داد ‪:‬‬
‫‪Gettering- 1‬‬
‫‪4‬‬
‫‪ - 2‬ﺻﺎﻓﻜﺎري دو ﺳﻮﻳﻪ‬
‫• ‪Gettering‬‬
‫در ﻃﻲ اﻳﻦ ﻣﺮﺣﻠﻪ در ﺳﻄﺢ ﭘﺸﺖ وﻳﻔﺮ ﻳﻚ ﻻﻳﻪ ﻧﺎﺑﺠﺎﻳﻲ اﻳﺠﺎد ﻣﻲ ﺷﻮد‪ .‬از آﻧﺠﺎ ﻛﻪ ﻧﺎﺑﺠﺎﻳﻲ داراي‬
‫ﺳﺎﺧﺘﺎري ﺑﺎز اﺳﺖ ﻟﺬا ﻣﻮﺟﺐ ﺟﺬب آﻟﻮدﮔﻲ ﻣﻲ ﺷﻮد‪ .‬در ﻧﺘﻴﺠﻪ ذرات آﻟﻮدﮔﻲ در اﻳﻦ ﻻﻳﻪ‪ ،‬ﺟﻤﻊ‬
‫ﺷﺪه و دﻳﮕﺮ درون وﻳﻔﺮ ﻧﻔﻮذ ﻧﺨﻮاﻫﻨﺪ ﻛﺮد‪ .‬اﻳﻦ ﭘﺪﻳﺪه را ‪Gettering‬ﮔﻮﻳﻨﺪ )ﺷﻜﻞ ‪.(1- 17‬‬

‫‪1 - Caustic‬‬
‫‪2 - High purity Dionized Water Baths‬‬
‫‪3 -Backside processing‬‬
‫‪4 -Double side polishing‬‬
‫‪101‬‬
‫ﺷﻜﻞ ‪- 24‬ﺑﻪ دام اﻧﺪاﺧﺘﻦ آﻟﻮدﮔﻲﻫﺎ در ﭘﺸﺖ وﻳﻔﺮ‪.‬‬

‫ﺑﺎ اﻳﻦ روش اﻟﻤﺎنﻫﺎي ﻧﺎﺧﻮاﺳﺘﻪ و آﻟﻮدﮔﻲﻫﺎ و ﺧﺼﻮﺻﺎً ﻳﻮﻧﻬﺎي ﻣﺘﺤﺮك از ﻧﻮاﺣﻲ ﻣﻬﻢ وﻳﻔﺮ ﺣﺬف‬
‫ﺷﺪه و در ﻳﻚ ﻧﺎﺣﻴﻪ ﻏﻴﺮ ﻣﻬﻢ )ﺳﻄﺢ ﭘﺸﺖ وﻳﻔ ﺮ( ﺟﻤﻊ ﻣﻲ ﺷﻮﻧﺪ ‪.‬‬
‫ﺑﺮاي اﻳﺠﺎد ﻧﺎﺣﻴﻪ ‪ ،Gettering‬اﺑﺘﺪا ﺑﺮ ﺳﻄﺢ ﭘﺸﺖ وﻳﻔﺮ ﻳﻚ ﻻﻳﻪ ﻓﺴﻔﺮ‪ (PSG layer) ،‬ﻧﺸﺴﺖ‬
‫ﻣﻲدﻫﻴﻢ‪ .‬ﻣﺮﺣﻠﻪ ﺑﻌﺪي اﻳﺠﺎد ﻧﺎﺑﺠﺎﻳﻲ ﺑﺮ روي اﻳﻦ ﺳﻄﺢ ﻣﻲﺑﺎﺷﺪ ﻛﻪ ﺑﺎ اﺳﺘﻔﺎده از ﻋﻤﻞ‬
‫‪Sandblasting‬اﻧﺠﺎم ﻣﻲﮔﻴﺮد‪ .‬ﭘﺲ از آن در ﻣﺮﺣﻠﻪ آﺧﺮ ﻳﻚ ﻻﻳﻪ ﭘﻠﻲ ﺳﻴﻠﻴﻜﻮن ﻳﺎ ﺳﻴﻠﻴﻜﻮن‬
‫ﻧﻴﺘﺮﻳﺪ روي اﻳﻦ ﻧﺎﺑﺠﺎﻳﻲﻫﺎ رﺳﻮب ﻣﻲدﻫﻴﻢ‪ .‬ﻧﺎﺣﻴﻪ ﺣﺎﺻﻞ ‪ Gettering‬ﻧﺎﻣﻴﺪه ﻣﻲ ﺷﻮد ﻛﻪ آﻟﻮدﮔﻴﻬﺎ‬
‫را در ﺧﻮد ﺟﻤﻊ ﻛﺮده و ﻻﻳﻪ ‪PSG‬ﻣﺎﻧﻊ ﻧﻔﻮذ آنﻫﺎ ﺑﻪ درون وﻳﻔﺮ ﻣﻲﮔﺮدد‪.‬‬

‫ﺷﻜﻞ ‪- 25‬ﻣﺮاﺣﻞ اﻳﺠﺎد ﻧﺎﺣﻴﻪ ‪Gettering‬‬

‫• ﺻﺎﻓﻜﺎري دوﺳﻮﻳﻪ‬
‫‪102‬‬
‫ﻋﻼوه ﺑﺮ ‪ ،gettering‬ﻳﻚ روش دﻳﮕﺮ ﺑﺮاي ﺟﻠﻮﮔﻴﺮي از ﻧﻔﻮذ آﻟﻮدﮔﻲ از ﺳﻄﺢ ﭘﺸﺖ وﻳﻔﺮ ﺑﻪ درون‬
‫آن‪ ،‬اﻳﻨﺴﺖ ﻛﻪ ﺳﻄﺢ ﭘﺸﺖ را ﻧﻴﺰ ﻣﺎﻧﻨﺪ ﺳﻄﺢ ﺟﻠﻮﻳﻲ ﺻﺎف و ﺻﻴﻘﻠﻲ ﻛﻨﻴﻢ‪ .‬ﭼﻨﺎﻧﻜﻪ ﺑﻴﺸﺘﺮ‬
‫ﺳﺎزﻧﺪﮔﺎن وﻳﻔﺮ ﺧﺼﻮﺻ ﺎً ﺑﺮاي وﻳﻔﺮﻫﺎي ﺑﺎ ﻗﻄﺮ ‪ 300mm‬و ﺑﺎﻻﺗﺮ‪ ،‬از اﻳﻦ روش اﺳﺘﻔﺎده ﻣﻲﻛﻨﻨﺪ‪.‬‬
‫ﻣﻴﺰان ﺻﺎﻓﻲ ﻛﻪ ﺑﺎ اﺳﺘﻔﺎده از اﻳﻦ روش ﺑﺮاي ﺳﻄﺢ ﭘﺸﺖ وﻳﻔﺮ ﺑﺪﺳﺖ ﻣﻲآﻳﺪ ﺣﺪود ‪0.18-0.25‬‬
‫‪µm‬در واﺣﺪ ﺳﻄﺢ ‪25*25 mm2‬ﻣﻲﺑﺎﺷﺪ‪ .‬اﻳﻦ ﭘﺮوﺳﻪ در ﻣﺎﺷﻴﻦ ﺻﺎﻓﻜﺎري دو ﺳﻮﻳﻪ اﻧﺠﺎم‬
‫ﻣﻲﮔﻴﺮد ‪.‬‬

‫ﺷﻜﻞ ‪- 26‬ﺻﺎﻓﻜﺎري دو ﺳﻮﻳ ﻪ‬

‫ﻫﻤﺎﻧﻄﻮر ﻛﻪ در ﺷﻜﻞ ‪ 1- 19‬ﻧﻴﺰ ﻣﺸﻬﻮد اﺳﺖ وﻳﻔﺮ ﺑﻴﻦ دو ﺻﻔﺤﻪ دوار ﻗﺮار ﻣﻲﮔﻴﺮد‪ .‬ﺑﺎ ﭼﺮﺧﺶ ﻫﺮ‬
‫دو ﺻﻔﺤﻪ ﺑﺎﻻ و ﭘﺎﻳﻴﻦ در ﺟﻬﺎت ﻋﻜﺲ ﻫﻢ و ﻧﻴﺰ ﺑﺎ ﺣﻀﻮر ﻳﻚ ﻣﺎده ‪ ،agent‬ﺳﻄﻮح ﺟﻠﻮ و ﭘﺸﺖ‬
‫وﻳﻔﺮ ﺑﻪ ﺻﻮرت ﻫﻤﺰﻣﺎن وﻟﻲ ﺑﺎ ﻧﺮخ ﻣﺘﻔﺎوﺗﻲ ﻫﻤﻮار ﻣﻲﺷﻮﻧﺪ‪ .‬در ﻃﻲ اﻳﻦ ﻓﺮاﻳﻨﺪ ﻟﺒﻪ وﻳﻔﺮ ﻧﻴﺰ ﭘﻮﻟﻴﺶ‬
‫ﻣﻲﺷﻮد‪ ،‬ﻟﺬا ﺳﻄﺢ اﺻﻠﻲ ﺗﻘﺮﻳﺒﺎً ﻋﺎري از ﻫﺮﮔﻮﻧﻪ آﻟﻮدﮔﻲ ﺧﻮاﻫﺪ ﺑﻮد ‪.‬‬
‫در اﻳﻦ روش روي ﺳﻄﺢ وﻳﻔﺮ ﺧﻴﻠﻲ ﺧﻮ ب ﺻﺎف ﻣﻲﺷﻮد و دﻳﮕﺮ ﻣﺸﻜﻞ ﺗﺤﺪب )ﻣﺸﻜﻞ ﻣﻮﺟﻮد در‬
‫ﺻﺎﻓﻜﺎري ﻳﻚ ﻃﺮﻓﻪ( را ﻧﺨﻮاﻫﺪ داﺷﺖ‪ .‬از ﻃﺮﻓﻲ در ﺻﺎﻓﻜﺎري ﻳﻚ ﻃﺮﻓﻪ ﻧﻴﺎز ﺑﻪ ‪gettering‬‬
‫ﻣﻲﺑﺎﺷﺪ‪ ،‬در ﺣﺎﻟﻴﻜﻪ اﻳﻦ ﻧﻴﺎز در ﺻﺎﻓﻜﺎري دو ﺳﻮﻳﻪ ﻣﺮﺗﻔﻊ ﻣﻲ ﮔﺮدد و از آﻧﺠﺎ ﻛﻪ وﻳﻔﺮ ﺣﺎﺻﻞ از‬
‫ﺻﺎﻓﻜﺎري دو ﺳﻮﻳﻪ داراي ﺳﻄﻮﺣﻲ ﺑﺎ ﺣﺪ ﺻﺎﻓﻲ ﺑﺴﻴﺎر ﺑﺎﻻ ﻣﻲﺑﺎﺷﻨﺪ و در ﻛﻞ ﻫﺰﻳﻨﻪ ﺗﻮﻟﻴﺪ ﻛﻤﺘﺮي‬
‫ﻧﻴﺰ دارﻧﺪ‪ ،‬ﻟﺬا در ﻣﻮرد وﻳﻔﺮﻫﺎي ﺑﺎ ﻗﻄﺮ زﻳﺎد ﺻﺎﻓﻜﺎري دو ﻃﺮﻓﻪ ﺟﺎي ﺻﺎﻓﻜﺎري ﻳﻚ ﺳﻄﺢ را ﮔﺮﻓﺘﻪ‬
‫اﺳﺖ‪.‬‬

‫‪103‬‬
‫‪1‬‬
‫• ﺻﺎﻓﻜﺎري و ﺗﺮاش ﻟﺒﻪﻫﺎ‬
‫ﭘﺲ از اﻳﻨﻜﻪ ﺳﻄﻮح وﻳﻔﺮ ﺻﺎف و ﻋﺎري از ﻫﺮ ﮔﻮﻧﻪ آﻟﻮدﮔﻲ ﺷﺪ‪ ،‬ﻋﺎﻣﻞ ﺑﻌﺪي ﻛﻪ ﻣﻮﺟﺐ ﻧﻔﻮذ ذرات‬
‫آﻟﻮدﮔﻲ درون وﻳﻔﺮ ﻣﻲﺷﻮد وﺟﻮد ﻧﺎﻛﺎﻣﻠﻲ روي ﻟﺒﻪ وﻳﻔﺮ ﻣﻲﺑﺎﺷﺪ‪ .‬ﻟﺒﻪﻫﺎي وﻳﻔﺮ ﻛﻪ ﺗﻮﺳﻂ‬
‫ﺗﺮاﺷﻜﺎري ﻗﻄﺮي ﺣﺎﺻﻞ ﺷﺪهاﻧﺪ‪ ،‬ﺧﻮد ﺷﺎﻣﻞ ﻧﺎﺑﺠﺎﻳﻲ و زواﻳﺎي ﺗﻴﺰ ﻣﻲ ﺑﺎﺷﻨﺪ‪ ،‬ﻛﻪ ﻫﻤﻴﻦ ﻧﺎﻛﺎﻣﻠﻲﻫﺎي‬
‫ﻟﺒﻪ وﻳﻔﺮ‪ ،‬ﻣﻮﺟﺐ ﻧﻔﻮذ آﻟﻮدﮔﻲ ﺑﻪ درون آن ﻣﻲﮔﺮدﻧﺪ‪ .‬از ﻃﺮﻓﻲ ﻃﻲ ﻓﺮاﻳﻨﺪ ﻟﻴﺘﻮﮔﺮاﻓﻲ )ﻛﻪ در ﻓﺼﻮل‬
‫ﺑﻌﺪي ﺑﺎ آن آﺷﻨﺎ ﺧﻮاﻫﻴﺪ ﺷﺪ( ﻧﻴﺰ ﻣﻤﻜﻦ اﺳﺖ ﻣﺎده ﻓﺘﻮرزﻳﺴﺖ ﺑﻪ ﻧﺎﻛﺎﻣﻠﻲﻫﺎي ﻟﺒﻪ وﻳﻔﺮ ﺑﭽﺴﺒﺪ‪،‬‬
‫ﻛﻪ ﺟﺪا ﻛﺮدن آن دردﺳﺮﺳﺎز ﺧﻮاﻫﺪ ﺑﻮد ؛ ﻟﺬا در اﻳﻦ ﻣﺮﺣﻠﻪ ﺑﺎﻳﺪ ﻟﺒﻪ وﻳﻔﺮﻫﺎ را ﺻﺎف و ﻳﻜﻨﻮاﺧﺖ‬
‫ﺳﺎزﻳﻢ‪ .‬ﺑﺮاي ﺗﺤﻘﻖ اﻳﻦ ﻣﺴﺌﻠﻪ ﻣﻄﺎﺑﻖ ﺷﻜﻞ زﻳﺮ‪ ،‬وﻳﻔﺮ ﺑﺎ ﺳﺮﻋﺖ زﻳﺎد در اﻳﻦ دﺳﺘﮕﺎه ﻣﻲﭼﺮﺧﺪ ‪.‬‬

‫ﺷﻜﻞ ‪- 27‬ﺻﺎف ﻛﺮدن ﻟﺒﻪﻫﺎي وﻳﻔﺮ‬

‫در ﻧﺘﻴﺠﻪ وﻳﻔﺮ ﺣﺎﺻﻞ‪ ،‬وﻳﻔﺮي ﺑﺎ ﻟﺒﻪﻫﺎي ﺻﺎف و ﻋﺎري از ﻫﺮﮔﻮﻧﻪ ﺻﺪﻣﻪ ﺧﻮاﻫﺪ ﺑﻮد‪.‬‬

‫‪1 -Edge grinding and polishing‬‬


‫‪104‬‬
‫ﺷﻜﻞ ‪- 28‬ﺗﺮاﺷﻜﺎري ﻟﺒﻪﻫﺎي وﻳﻔﺮ‬

‫ﺷﻜﻞ ‪- 29‬وﻳﻔﺮي ﺑﺎ ﻟﺒﻪ ﺗﺮاﺷﻜﺎري ﺷﺪه‬

‫‪ - 9- 3- 4‬دﺳﺘﻪ ﺑﻨﺪي از ﻟﺤﺎظ ﺿﺨﺎﻣﺖ و ﻛﻨﺘﺮل ﻣﻴﺰان ﻫﻤﻮاري وﻳﻔﺮ‬

‫وﻳﻔﺮﻫﺎ در دﺳﺘﻪﻫﺎﻳﻲ ﺑﺎ ﺿﺨﺎﻣﺖ ﻳﻜﺴﺎن ﻗﺮار ﻣﻲ ﮔﻴﺮﻧﺪ ﺗﺎ ﺑﺎﻋﺚ ﺑﻬﺒﻮد و ﺗﺴﺮﻳﻊ در ﭘﺮوﺳﻪﻫﺎي ﺑﻌﺪ‬

‫ﺷﻮﻧﺪ ‪ .‬ﻫﻤﭽﻨﻴﻦ اﻧﺪازه ﮔﻴﺮي ﻫﻤﻮاري ﺳﻄﺢ ‪ 1‬ﺑﺮاي ﻣﺸﺨﺺ ﺷﺪن و ﻛﻨﺘﺮل ﻛﺮدن ﮔﻮﻧﻪ ﻧﺎﻛﺎﻣﻠﻲﻫﺎي‬

‫ﺳﻄﺤﻲ ‪ 2‬ﻧﺎﺷﻲ از ﭘﺮوﺳﻪﻫﺎي ﻗﺒﻞ اﻧﺠﺎم ﻣﻲ ﮔﻴﺮد ‪.‬‬

‫‪1 - Flatness‬‬
‫‪2 - Defect Trends‬‬
‫‪105‬‬
‫‪1‬‬
‫‪ -4-4‬ارزﻳﺎﺑﻲ وﻳﻔ ﺮ‬
‫وﻳﻔﺮﻫﺎي ﺗﻮﻟﻴﺪ ﺷﺪه آﻣﺎده ﺑﺮاي ﺑﺴﺘﻪ ﺑﻨﺪي ﻣﻲﺑﺎﺷﻨﺪ‪ ،‬اﻣﺎ ﻗﺒﻞ از آن ﺑﺎﻳﺪ از ﻧﻈﺮ دارا ﺑﻮدن‬
‫وﻳﮋﮔﻲﻫﺎي ﻣﺪﻧﻈﺮ ﻣﻮرد ارزﻳﺎﺑﻲ ﻗﺮار ﺑﮕﻴﺮﻧﺪ‪ .‬اﻳﻦ وﻳﮋﮔﻲﻫﺎ ﺷﺎﻣﻞ ﻣﻮاردي ﻧﻈﻴﺮ ﻗﻄﺮ وﻳﻔﺮ‪ ،‬ﺿﺨﺎﻣﺖ‪،‬‬
‫ﺟﻬﺎت ﻛﺮﻳﺴﺘﺎﻟﻲ‪ ،‬رﺳﺎﻧﺎﻳﻲ‪ ،‬وﻳﮋﮔﻲﻫﺎي ﻣﻜﺎﻧﻴﻜﻲ و‪ ...‬ﻣﻲﺑﺎﺷﻨﺪ ‪ .‬اﻛﺜﺮ ﻣﻮارد ﻓﻮق در ﺑﺨﺶﻫﺎي ﻗﺒﻞ‬
‫ﺗﻮﺿﻴﺢ داده ﺷﺪه اﺳﺖ ‪ .‬وﻳﮋﮔﻲﻫﺎي ﻣﻜﺎﻧﻴﻜﻲ ﻧﻈﻴﺮ ﺗﻮاﻧﺎﻳﻲ ﺗﺤﻤﻞ ﺣﺪاﻛﺜﺮ ﻓﺸﺎري اﺳﺖ ﻛﻪ ﻣﻲﺗﻮان‬
‫ﺑﺮ ﺳﻄﺢ وﻳﻔﺮ اﻋﻤﺎل ﮔﺮدد )ﺷﻜﻞ ‪.(1- 23‬‬

‫ﺷﻜﻞ ‪- 30‬اﻋﻤﺎل ﻓﺸﺎر ﺑﺮ ﺳﻄﺢ وﻳﻔﺮ‬

‫ﻣﻮرد ﺑﻌﺪي آﻟﻮدﮔﻲﻫﺎي روي ﺳﻄﺢ وﻳﻔﺮ ﻣﻲ ﺑﺎﺷﻨﺪ ﻛﻪ ﺑﺎ اﺳﺘﻔﺎده از ﻧﻮرﻫﺎي ﭘﺮ ﺷﺪت ‪ 2‬و ﻳﺎ اﺑﺰار‬
‫ارزﻳﺎﺑﻲ اﺗﻮﻣﺎﺗﻴﻚ ‪ 3‬آﺷﻜﺎر ﻣﻲﺷﻮﻧﺪ ‪.‬‬
‫ﻧﺎﻛﺎﻣﻠﻲﻫﺎ ﻣﻮﺟﺐ از دﺳﺖ رﻓﺘﻦ ﻣﻴﺰان ﺑﺎﻻﻳﻲ از وﻳﻔﺮﻫﺎي ﺳﺎﺧﺘﻪ ﺷﺪه ﻣﻲ ﺷﻮﻧﺪ‪ ،‬ﭼﺮا ﻛﻪ ﻗﺒﻞ از‬
‫ﺳﺎﺧﺖ و آﻣﺎده ﺳﺎزي ﻗﺎﺑﻞ ﺗﺸﺨﻴﺺ ﻧﻤﻲﺑﺎﺷﻨﺪ ؛ و ﺑﻪ اﻳﻦ ﺗﺮﺗﻴﺐ ﻣﻴﻠﻴﻮنﻫﺎ دﻻر در ﺳﺎل ﺗﻮﺳﻂ‬
‫ﻫﻤﻴﻦ ﺑﺨﺶ از دﺳﺖ ﻣﻲرود‪.‬‬

‫‪1 -Wafer evaluation‬‬


‫‪2 -High-intensity lights‬‬
‫‪3 - Automated inspection machines‬‬
‫‪106‬‬
‫ﺷﻜﻞ ‪- 31‬ﻧﻘﻮص ﻳﺎﻓﺖ ﺷﺪه روي وﻳﻔﺮ ﻋﻼﻣﺖ ﮔﺬاري ﻣﻲﺷﻮﻧﺪ‪.‬‬

‫در ﭘﺎﻳﺎن اﻳﻦ ﻣﺮﺣﻠﻪ‪ ،‬اﮔﺮ وﻳﻔﺮﻫﺎ وﻳﮋﮔﻲﻫﺎي ﻣﻄﻠﻮب را داﺷﺘﻨﺪ‪ ،‬وارد ﻣﺮﺣﻠﻪ ﺑﻌﺪي ﻣﻲﺷﻮﻧﺪ در ﻏﻴﺮ‬
‫اﻳﻦ ﺻﻮرت در ﻣﺮﺣﻠﻪ ارزﻳﺎﺑﻲ رد ﺷﺪه‪ ،‬ﺑﻪ ﻋﻨﻮان وﻳﻔﺮﻫﺎي ﺗﺴﺖ در ﻣﺮاﺣﻞ دﻳﮕﺮ ﻣﻮرد اﺳﺘﻔﺎده‬
‫ﻗﺮار ﻣﻲﮔﻴﺮﻧﺪ‪.‬‬

‫‪ - 1- 4- 4‬ﻣﻌﻴﺎرﻫﺎي ﺳﻨﺠﺶ ﻛﻴﻔﻴﺖ وﻳﻔﺮ ‪:‬‬

‫در اﻳﻦ ﺑﺨﺶ ﺑﻪ ﻣﻌﺮﻓﻲ ﭘﺎراﻣﺘﺮﻫﺎﻳﻲ ﻣﻲﭘﺮدازﻳﻢ ﻛﻪ ﻣﺸﺨﺼﺎت و اﺳﺘﺎﻧﺪاردﻫﺎي وﻳﻔﺮ ﺑﻪ ﻛﻤﻚ آنﻫﺎ‬
‫ﺑﻴﺎن ﻣﻲ ﺷﻮﻧﺪ‪ .‬ﺑ ﻪ ﺟﺰ اﺑﻌﺎد ﻓﻴﺰﻳﻜﻲ ﻧﻈﻴﺮ ﻗﻄﺮ‪ ،‬ﺿﺨﺎﻣﺖ‪ ،‬ﻃﻮل ﻟﺒﻪ ﻫﻤﻮار اوﻟﻴﻪ و ﻃﻮل ﻟﺒﻪ ﻫﻤﻮار‬
‫ﺛﺎﻧﻮﻳﻪ‪ ،‬ﻣﺸﺨﺼﺎت دﻳﮕﺮي ﻫﻢ ﻫﺴﺘﻨﺪ ﻛﻪ وﻳﻔﺮ ﺑﻪ ﻛﻤﻚ آنﻫﺎ ﻣﻌﺮﻓﻲ ﻣﻲﺷﻮد‪ .‬ﻛ ﻪ ﻣﻬﻢﺗﺮﻳﻦ آنﻫﺎ‬
‫ﻋﺒﺎرﺗﻨﺪ از‪:‬‬

‫‪ - 2- 4- 4‬ذرات ﻧﺎﺧﻮاﺳﺘﻪ ‪: 1‬‬

‫ذرات ﻧﺎﺧﻮاﺳﺘﻪاي ﻫﺴﺘﻨﺪ ﻛﻪ در ﻃﻮل ﭘﺮوﺳﻪ ﺳﺎﺧﺖ ﺑﺮ روي ﺳﻄﺢ وﻳﻔﺮ اﻳﺠﺎد ﺷﺪه اﺳﺖ‪ ،‬ﻛﻪ‬
‫ﻣﻘﺪار آن ﺑﺮاﺑﺮ ﺑﺎ ﻣﺠﻤﻮع ﺗﻌﺪاد ذرات ﻣﻮﺟﻮد در ﺳﻄﺢ ﻣﺸﺨﺼﻲ از وﻳﻔﺮ ﻣﻲﺑﺎﺷﺪ ‪.‬‬

‫)‪1 -LPDs(light point defects‬‬


‫‪107‬‬
‫‪ - 3- 4- 4‬ﺗﻐﻴﻴﺮات در ﺿﺨﺎﻣﺖ ‪: 1‬‬

‫ﻗﺪر ﻣﻄﻠﻖ اﺧﺘﻼف ﺑﻴﻦ ﺿﺨﻴﻢﺗﺮﻳﻦ و ﻧﺎزكﺗﺮﻳﻦ ﺑﺨﺶ وﻳﻔﺮ ﻣﻲ ﺑﺎﺷﺪ ‪.‬‬

‫ﺷﻜﻞ ‪- 32‬ﺗﻐﻴﻴﺮات در ﺿﺨﺎﻣﺖ‬

‫‪- 4- 4- 4‬ﺗﺎب ﺧﻮردﮔﻲ ‪:2‬‬

‫اﺧﺘﻼف ﺑﻴﻦ ﺑﻴﺸﺘﺮﻳﻦ و ﻛﻢﺗﺮﻳﻦ ﻣﻘﺪار ﺳﻄﺢ وﻳﻔﺮ ﻧﺴﺒﺖ ﺑﻪ ﻳﻚ ﺻﻔﺤﻪ ﻣﺮﺟﻊ )ﻛﻪ ﻣﻌﻤﻮﻻً ﺳﻄﺢ‬
‫ﭘﺸﺖ وﻳﻔﺮ اﺳﺖ ( ﻣﻲ ﺑﺎﺷﺪ ﻛﻪ ﻫﻢ ﺷﺎﻣﻞ ﺗﺤﺪب و ﻫﻢ ﺗﻘﻌﺮ ﻣﻲﮔﺮدد‪ ،‬و ﺗﻔﺎوت آن ﺑﺎ ‪ TTV‬در آﻧﺴﺖ‬
‫ﻛﻪ ﺿﺨﺎﻣﺖ وﻳﻔﺮ در آن در ﻧﻈﺮ ﮔﺮﻓﺘﻪ ﻧﻤﻲﺷﻮد ‪.‬‬

‫ﺷﻜﻞ ‪- 33‬اﻧﺪازه ﮔﻴﺮي ﺗﺎب ﺧﻮردﮔﻲ وﻳﻔﺮ‬

‫)‪1 -TTV(total thickness variation‬‬


‫‪2 -Warp‬‬
‫‪108‬‬
‫‪- 5- 4- 4‬ﺧﻤﺶ ‪:1‬‬

‫ﺑﺮاﺑﺮ ﺗﻘﻌﺮ ﻳﺎ ﺗﻐﻴﻴﺮ ﺷﻜﻞ ﺳﻄﺢ وﻳﻔﺮ ﻧﺴﺒﺖ ﺑﻪ ﻳﻚ ﻧﻘﻄﻪ ﻣﺮﻛﺰي آن‪ ،‬ﻣﻲ ﺑﺎﺷﺪ و اﻳﻦ اﻧﺪازه ﻣﺴﺘﻘﻞ‬
‫از ﺗﻐﻴﻴﺮات ﺿﺨﺎﻣﺖ ﻣﻲﺑﺎﺷﺪ ‪.‬‬

‫ﺷﻜﻞ ‪ - 34‬ﺧﻤﺶ در ﻳﻚ وﻳﻔﺮ‬

‫‪2‬‬
‫‪- 6- 4- 4‬ﻫﻤﻮار ﺑﻮدن‬

‫ﺑﺮاي اﻧﺪازه ﮔﻴﺮي ﺻﺎﻓﻲ در ﻳﻚ ﻣﺴﺎﺣﺖ ﻣﺸﺨﺺ از وﻳﻔﺮ‪ ،‬اﺧﺘﻼف ﺑﻴﻦ ارﺗﻔﺎع دو ﻧﻘﻄﻪ اﺑﺘﺪا و‬
‫اﻧﺘﻬﺎي روي ﻗﻄﺮ آن ﺳﻄﺢ را‪ ،‬اﻧﺪازه ﻣﻲﮔ ﻴﺮﻧﺪ ﻛﻪ ﺑﻪ ﺻﻮرت ﻋﺪد در واﺣﺪ ﺳﻄﺢ ﺑﻴﺎن ﻣﻲﺷﻮد ‪.‬‬

‫‪1 -Bow‬‬
‫‪2 -Flatness‬‬
‫‪109‬‬
‫ﺷﻜﻞ ‪- 35‬ﻣﻴﺰان ﺻﺎﻓﻲ در ﻳﻚ وﻳﻔﺮ‬

‫‪1‬‬
‫‪ -5-4‬ﺗﻤﻴﺰﻛﺎري ﻧﻬﺎﻳﻲ‬

‫در ﻣﺮاﺣﻞ ﭘﺎﻳﺎﻧﻲ ﺳﺎﺧﺖ وﻳﻔﺮ‪ ،‬وﻳﻔﺮﻫﺎ ﺑﺎﻳﺪ ﻛﺎﻣ ﻼً ﺗﻤﻴﺰ و ﻋﺎري از ﻫﺮ ﮔﻮﻧﻪ آﻟﻮدﮔﻲ ﺑﺎﺷﻨﺪ‪ .‬اﻳﻦ ﻣﺮﺣﻠﻪ‬
‫ﺷﺎﻣﻞ ﺣﺬف ذرات ارﮔﺎﻧﻴﻚ و اﺗﻤﻬﺎي ﻓﻠﺰي از روي ﺳﻄﺢ وﻳﻔﺮ ﻣﻲﺑﺎﺷﺪ ‪.‬‬
‫در ﻃﻮل ﻓﺮاﻳﻨﺪ ﭘﺎك ﺳﺎزي ‪ ،‬ذرات در رﻧﺞ وﺳﻴﻌﻲ ﺗﻮﺳﻂ آﻟﺘﺮاﺳﻮﻧﻴﻚ ﺣﺬف ﻣﻲﺷﻮﻧﺪ ‪.‬‬

‫‪2‬‬
‫‪ - 1- 5- 4‬روش ﻏﻮﻃﻪور ﺳﺎزي‬

‫ﻳﻜﻲ از روشﻫﺎي ﭘﺎكﺳﺎزي ‪ ،‬ﻏﻮﻃﻪور ﺳﺎزي وﻳﻔﺮ درون ﻣﺤﻠﻮﻟﻬﺎي ﺧﺎﺻﻲ ﻣﻲﺑﺎﺷﺪ‪.‬اﻳﻦ ﻛﺎر ﺑﺎ‬
‫اﺳﺘﻔﺎده از دو ﻧﻮع ﻣﺤﻠﻮل و در ﺳﻪ ﻣﺮﺣﻠﻪ اﻧﺠﺎم ﻣﻲﺷﻮد ‪.‬‬
‫در ﻣﺮﺣﻠﻪ اول وﻳﻔﺮ را درون ﻣﺤﻠﻮل ‪ SC13‬در دﻣﺎي ‪ 80- 90 ̊ C‬ﺑﻤﺪت ده دﻗﻴﻘﻪ ﻗﺮار ﻣﻴﺪﻫﻨﺪ‪.‬‬
‫‪SC1: NH4OH, H2O2, H2O mixture‬‬
‫در اﻳﻦ ﻣﺮﺣﻠﻪ ارﮔﺎﻧﻴﻚ ﻫﺎ و ذرات ﺑﺎﻗﻴﻤﺎﻧﺪه ﺑﺮ ﺳﻄﺢ وﻳﻔﺮ ﺣﺬف ﻣﻴﺸﻮﻧﺪ‪.‬اﻟﺒﺘﻪ ﻣﺤﻠﻮل اﺳﺘﻔﺎده‬
‫ﺷﺪه ﺑﺎﻋﺚ ﺗﺸﻜﻴﻞ ﻳﻚ ﻻﻳﻪ ﻧﺎزك اﻛﺴﻴﺪ ‪ SiO2‬ﺑﺮ ﺳﻄﺢ ﺳﻴﻠﻴﻜﻮن ﻣﻲ ﮔﺮدد‪ .‬ﻟﺬا در ﻣﺮﺣﻠﻪ ﺑﻌﺪ‬
‫وﻳﻔﺮ را در ﻣﺤﻠﻮﻟﻲ از ‪ HF ، DI Water‬رﻗﻴﻖ ﺷﺪه در دﻣﺎي اﺗﺎق ﻏﻮﻃﻪ ور ﻣﻲ ﺳﺎزﻳﻢ ‪ ،‬ﻛﻪ ﻫﻢ‬
‫ﻣﻮﺟﺐ ﺷﺴﺘﻦ ‪ SC1‬از ﺳﻄﺢ وﻳﻔﺮ ﺷﺪه‪ ،‬و ﻫﻢ ﻻﻳﻪ اﻛﺴﻴﺪ ﺗﺸﻜﻴﻞ ﻳﺎﻓﺘﻪ ﺑﺮ ﺳﻄﺢ ﺳﻴﻠﻴﻜﻮن را‬
‫ﺣﺬف ﻣﻲﻛﻨﺪ ‪.‬‬

‫‪1-Final Cleaning‬‬
‫‪2 -Immersion‬‬
‫‪3 -Standard Cleaning‬‬
‫‪110‬‬
‫در آﺧﺮﻳﻦ ﻣﺮﺣﻠﻪ‪ ،‬ﺟﻬﺖ ﺣﺬف آﻟﻮدﮔﻲ ﻫﺎي ﻳﻮﻧﻲ‪ ،‬ﺧﺼﻮﺻﺎ ﻓﻠﺰي از ﺳﻄﺢ وﻳﻔﺮ و ﻧﻴﺰ رﺷﺪ ﻻﻳﻪ‬
‫اﻛﺴﻴﺪ ﺟﺪﻳﺪ ﻓﻮق اﻟﻌﺎده ﺗﻤﻴﺰ روي ﺳﻄﺢ وﻳﻔﺮ از ﻣﺤﻠﻮل ‪ SC2‬در دﻣﺎي ‪ 80- 90 ̊ C‬اﺳﺘﻔﺎده‬
‫ﻣﻴﺸﻮد‪.‬‬

‫‪SC2: HCL, H2O2, H2O mixture‬‬


‫ﻛﻞ ﭘﺮوﺳﻪ ﭘﺎﻛﺴﺎزي ﻧﻬﺎﻳﻲ وﻳﻔﺮ‪ ،‬ﺣﺪود ﺳﻲ دﻗﻴﻘﻪ ﺑﻪ ﻃﻮل ﻣﻲ اﻧﺠﺎﻣﺪ ‪.‬‬

‫ﺷﻜﻞ ‪- 36‬روﻧﺪ ﭘﺎﻛﺴﺎزي وﻳﻔﺮ‬

‫‪1‬‬
‫‪ - 2- 5- 4‬روش ﭼﺮﺧﺸﻲ‬

‫ﻳﻜﻲ دﻳﮕﺮ از روﺷﻬﺎي ﭘﺎﻛﺴﺎزي وﻳﻔﺮ‪ ،‬روش ﭼﺮﺧﺸﻲ اﺳﺖ‪ .‬ﺑﺪﻳﻦ ﻣﻔﻬﻮم ﻛﻪ‪ ،‬در اﻳﻦ روش وﻳﻔﺮﻫﺎ‬
‫را روي ﻧﮕﻬﺪارﻧﺪه ﻫﺎي ﭼﺮﺧﺎن ﻗﺮار داده‪ ،‬و ﻫﻤﺰﻣﺎن ﺑﺎ رﻳﺨﺘﻦ ﻣﺤﻠﻮل ﻫﺎﻳﻲ ﺷﺎﻣﻞ ‪Ozonated‬‬

‫‪1 -Spin Cleaning‬‬


‫‪111‬‬
‫‪ water‬و ‪ HF‬رﻗﻴﻖ ﺷﺪه در ﭼﻨﺪ ﻣﺮﺣﻠﻪ روي ﺳﻄﺢ وﻳﻔﺮ‪ ،‬آن را ﻧﻴﺰ ﻣﻲ ﭼﺮﺧﺎﻧﻨﺪ ‪ .‬ﺑﺎﻳﻦ ﺗﺮﺗﻴﺐ در‬
‫ﻧﻬﺎﻳﺖ وﻳﻔﺮ داراي ﺳﻄﺤﻲ ﺗﻤﻴﺰ و ﻋﺎري از ﻫﺮﮔﻮﻧﻪ ﻧﺎﻛﺎﻣﻠﻲ ﺧﻮاﻫﺪ ﺑﻮد‪.‬‬

‫ﺷﻜﻞ ‪- 37‬ﭘﺎﻛﺴﺎزي وﻳﻔﺮ ﺑﻪ روش ﭼﺮﺧﺸ ﻲ‬

‫‪- 3- 5- 4‬اﻛﺴﻴﺪاﺳﻴﻮن‬

‫از آﻧﺠﺎﻳﻲ ﻛﻪ در ﻃﻮل اﻧﺘﻘﺎل وﻳﻔﺮ ﻣﻤﻜﻦ اﺳﺖ وﻳﻔﺮ دﭼﺎر آﻟﻮدﮔﻲ و ﺧﺮاﺷﻴﺪﮔﻲ ﮔﺮدد‪ ،‬ﻟﺬا ﺑﺎﻳﺪ ﻃﻲ‬
‫ﻋﻤﻠﻴﺎﺗﻲ وﻳﻔﺮ را از آﻟﻮدﮔﻲ ﻫﺎي ﻣﺤﻴﻄﻲ ﻣﺤﺎﻓﻈﺖ ﻛﺮد‪ ،‬ﻛﻪ اﻳﻦ ﻛﺎر ﺗﻮﺳﻂ اﻳﺠﺎد ﻳﻚ ﻻﻳﻪ اﻛﺴﻴﺪ ﺑﺮ‬
‫روي وﻳﻔﺮ اﻧﺠﺎم ﻣﻴﮕﻴﺮد‪ .‬ﭼﺮا ﻛﻪ اﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻮن ﺗﺨﻠﺨﻞ ﻛﻤﺘﺮي داﺷﺘﻪ‪ ،‬و ﺿﺮﻳﺐ ﻧﻔﻮذ در اﻛﺴﻴﺪ‬
‫ﺳﻴﻠﻴﻜﻮن ﺣﺪود ده ﺑﺎر ﻛﻤﺘﺮ از ﺿﺮﻳﺐ ﻧﻔﻮذدر ﺳﻴﻠﻴﻜﻮن اﺳﺖ‪.‬‬
‫ﻟﺬا ﻗﺒﻞ از ﺑﺴﺘﻪ ﺑﻨﺪي‪ ،‬ﻋﻤﻞ اﻛﺴﻴﺪاﺳﻴﻮن روي ﺳﻄﺢ وﻳﻔﺮ ﺻﻮرت ﻣﻴﮕﻴﺮد‪ ،‬ﻛﻪ ﻃﻲ اﻳﻦ ﻋﻤﻞ ﻳﻚ‬
‫ﻻﻳﻪ ‪ SiO2‬روي وﻳﻔﺮ ﺗﺸﻜﻴﻞ ﻣﻴﺸﻮد‪ .‬ﻛﻪ ﻋﻼوه ﺑﺮ ﻣﺤﺎﻓﻈﺖ وﻳﻔﺮ‪ ،‬ﻣﻲﺗﻮاﻧﺪ در ﻣﺮاﺣﻞ ﺑﻌﺪي‬
‫ﺳﺎﺧﺖ‪ ،‬ﺑﻌﻨﻮان ﻻﻳﻪ ﻋﺎﻳﻖ ﻣﻮرد اﺳﺘﻔﺎده ﻗﺮار ﺑﮕﻴﺮد و در ﻧﺘﻴﺠﻪ ﻣﻮﺟﺐ ﻛﺎﻫﺶ ﻳﻚ ﻣﺮﺣﻠﻪ از ﭘﺮوﺳﻪ‬
‫ﺳﺎﺧﺖ ﻧﻴﺰ ﺧﻮاﻫﺪ ﺷﺪ ‪.‬‬

‫‪112‬‬
‫‪ -6-4‬ﺑﺴﺘﻪ ﺑﻨﺪي‬
‫ﺑﺎ ﺗﻤﺎم ﺗﻼﺷﻲ ﻛﻪ ﺑﺮاي ﺳﺎﺧﺖ وﻳﻔﺮﻫﺎي ﺗﻤﻴﺰ و ﺑﺎ ﻛﻴﻔﻴﺖ ﺑﺎﻻ اﻧﺠﺎم ﻣﻲ ﺷﻮد‪،‬ﻧﻮع ﺑﺴﺘﻪ ﺑﻨﺪي و ﺣﻤﻞ‬
‫و ﻧﻘﻞ ﺑﺮاي ﻓﺮوش ﻣﻤﻜﻦ اﺳﺖ ﻃﻮري ﺑﺎﺷﺪ ﻛﻪ ﻣﻮﺟﺐ اﻓﺖ ﻛﻴﻔﻴﺖ وﻳﻔﺮ و ورود آﻟﻮدﮔﻲ ﺑﻪ آن‬
‫ﺑﺸﻮد‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ ﻧﻴﺎز دارﻳﻢ ﻛﻪ ﻳﻚ روش ﺑﺴﺘﻪ ﺑﻨﺪي اﻳﺠﺎد ﻛﻨﻴﻢ ﻛﻪ وﻳﻔﺮ را از آﻟﻮدﮔﻲ ﻫﺎ ﺣﻔﻆ ﻛﻨﺪ‪.‬‬
‫ﺑﺴﺘﻪ ﺑﻨﺪي وﻳﻔﺮ در اﺗﺎق ﺗﻤﻴﺰ ﺻﻮرت ﻣﻲ ﮔﻴﺮد‪ ،‬ﻫﻤﭽﻨﻴﻦ ﻣﻮادي ﻛﻪ ﺑﺮاي ﺑﺴﺘﻪ ﺑﻨﺪي اﺳﺘﻔﺎده‬
‫ﻣﻲﺷﻮﻧﺪ ﻏﻴﺮ اﺳﺘﺎﺗﻴﻚ ﻫﺴﺘﻨﺪ )ﺑﺮ اﺛﺮ ﻣﺎﻟﺶ روي آﻧﻬﺎ ﺑﺎر اﻟﻜﺘﺮﻳﻜﻲ اﻳﺠﺎد ﻧﻤﻲ ﺷﻮد( و ﺗﻮﻟﻴﺪ ذرات‬
‫ﻣﻌﻠﻖ ﻧﻤﻲ ﻛﻨﻨﺪ ‪ .‬ﺗﺠﻬﻴﺰات و ﻟﺒﺎس ﭘﺮﺳﻨﻠﻲ ﻛﻪ در اﻧﺠﺎم ﺑﺴﺘﻪ ﺑﻨﺪي ﺷﺮﻛﺖ دارﻧﺪ ﺑﻪ زﻣﻴﻦ ﻣﺘﺼﻞ‬
‫ﺷﺪه اﻧﺪ ﺗﺎ ﺷﺎرژ اﻟﻜﺘﺮواﺳﺘﺎﺗﻴﻜﻲ ﺣﺎﺻﻞ از ﺣﺮﻛﺎت ﻣﻜﺎﻧﻴﻜﻲ وﻳﻔﺮ‪،‬ﭘﺮﺳﻨﻞ و ﺗﺠﻬﻴﺰات ﺑﺴﺘﻪ ﺑﻨﺪي‬
‫را ﺑﻪ زﻣﻴﻦ ﻣﻨﺘﻘﻞ ﻛﻨﺪ ‪.‬‬

‫‪ - 1- 6- 4‬ﻗﻄﺮ وﻳﻔﺮﻫﺎ‬

‫روز ﺑﻪ روز ﺑﺎ ﭘﻴﺸﺮﻓﺖ ﺗﻜﻨﻮﻟﻮژي ‪،‬ﻗﻄﺮ وﻳﻔﺮﻫﺎ ﻧﻴﺰ اﻓﺰاﻳﺶ ﻣﻲ ﻳﺎﺑﺪ ‪.‬‬

‫ﺷﻜﻞ ‪- 38‬روﻧﺪ اﻓﺰاﻳﺶ ﻗﻄﺮ وﻳﻔﺮﻫﺎ‬

‫در واﻗﻊ ﻫﺮ ﭼﻪ ﻗﻄﺮ وﻳﻔﺮﻫﺎ ﺑﺰرﮔﺘﺮ ﺷﻮد‪ ،‬ﺑﺎﻟﻄﺒﻊ ﻣﺴﺎﺣﺖ آﻧﻬﺎ ﻧﻴﺰ اﻓﺰاﻳﺶ ﻳﺎﻓﺘﻪ و در ﻧﺘﻴﺠﻪ ﺗﻌﺪاد‬
‫‪ die‬ﻫﺎﻳﻲ ﻛﻪ از ﻳﻚ وﻳﻔﺮ ﺑﺪﺳﺖ ﻣﻲآﻳﺪ‪ ،‬ﺑﻴﺸﺘﺮ ﻣﻲﺷﻮد ﻛﻪ ﻫﻤﻴﻦ اﻣﺮ ﻣﻮﺟﺐ ﻛﺎﻫﺶ ﻫﺰﻳﻨﻪ ‪ die‬ﻫﺎ‬

‫‪113‬‬
‫ﺧﻮاﻫﺪ ﺷﺪ‪.‬در واﻗﻊ ﻣﻲ ﺗﻮان ﺑﺎ اﻓﺰاﻳﺶ ﻗﻄﺮ وﻳﻔﺮ‪ ،‬ﺑﺎ ﻃﻲ ﻣﺮاﺣﻞ ﻳﻜﺴﺎﻧﻲ ﺗﻌﺪاد ‪ die‬ﻫﺎي ﺑﻴﺸﺘﺮي‬
‫ﺑﺪﺳﺖ آورد ‪.‬‬

‫‪88 die‬‬ ‫‪232 die‬‬


‫‪200-mm wafer‬‬ ‫‪300-mm wafer‬‬

‫ﺷﻜﻞ ‪- 39‬درﺻﺪ اﻓﺰاﻳﺶ ‪ die‬ﻫﺎ در ﻣﻘﺎﺑﻞ اﻓﺰاﻳﺶ ﻗﻄﺮ وﻳﻔﺮ‬

‫‪114‬‬
‫ﺑﻪ ﻫﻤﻴﻦ دﻟﻴﻞ ﻛﻤﭙﺎﻧﻲ ﻫﺎي ﺳﺎﺧﺖ وﻳﻔﺮ‪ ،‬ﺑﺴﻤﺖ ﺗﻮﻟﻴﺪ وﻳﻔﺮﻫﺎﻳﻲ ﺑﺎ ﻗﻄﺮ ﺑﺰرﮔﺘﺮ ﺗﻤﺎﻳﻞ دارﻧﺪ ﭼﺮا ﻛﻪ‬
‫ﻫﺰﻳﻨﻪ ﺳﺎﺧﺖ آن ﻛﺎﻫﺶ ﻣﻲ ﻳﺎﺑﺪ اﻣﺎ از ﻃﺮﻓﻲ ﺑﺮاي ﺗﻮﻟﻴﺪ وﻳﻔﺮﻫﺎي ﺑﺰرﮔﺘﺮ‪ ،‬ﻧﻴﺎز ﺑﻪ ﺗﺠﻬﻴﺰات‬
‫ﺟﺪﻳﺪي اﺳﺖ و ﻓﻘﻂ ﻣﻲ ﺗﻮان از درﺻﺪ ﻛﻮﭼﻜﻲ از ﺗﺠﻬﻴﺰات ﻗﺒﻠﻲ )ﻣﻮرد اﺳﺘﻔﺎده در ﺳﺎﺧﺖ‬
‫وﻳﻔﺮﻫﺎي ﻛﻮﭼﻜﺘﺮ( ﺳﻮد ﺑﺮد‪.‬ﻛﻪ ﻫﻤﻴﻦ ﻣﺴﺌﻠﻪ از ﻃﺮﻓﻲ ﻫﺰﻳﻨﻪ ﺳﺎﺧﺖ را اﻓﺰاﻳﺶ ﺧﻮاﻫﺪ داد‪.‬‬

‫‪ - 2- 6- 4‬وﻳﻔﺮﻫﺎي ﺑﺴﻴﺎر ﻧﺎزك‬

‫ﻋﻼوه ﺑﺮ ﮔﺮاﻳﺶ ﺑﺴﻤﺖ وﻳﻔﺮﻫﺎي ﺑﺎ ﻗﻄﺮ ﺑﺰرگ )ﺑﻴﺸﺘﺮ از ‪ 300‬ﻣﻴﻠﻴﻤﺘﺮ(‪،‬در ﺳﺎﻟﻬﺎي اﺧﻴﺮ ﺗﻘﺎﺿﺎ‬
‫ﺑﺮاي ﭼﻴﭗ ﻫﺎي ﺧﻴﻠﻲ ﻧﺎزك ﻧﻴﺰ اﻓﺰاﻳﺶ ﻳﺎﻓﺘﻪ اﺳﺖ‪.‬ﻛﻪ اﻳﻦ ﭼﻴﭗ ﻫﺎ ﺑﺮاي اﺳﺘﻔﺎده در ‪mobile‬‬
‫‪ stacked package ، phone‬و ﺑﺴﻴﺎري ﻣﻮارد دﻳﮕﺮ ﻣﻮرد ﻧﻴﺎز ﻣﻲ ﺑﺎﺷﻨﺪ‪ ،‬و ﻫﻤﻴﻦ اﻣﺮ ﻛﻤﭙﺎﻧﻲ ﻫﺎي‬
‫ﺳﺎﺧﺖ وﻳﻔﺮ را ﺑﻪ ﺳﻤﺖ ﺗﻮﻟﻴﺪ وﻳﻔﺮﻫﺎي ﺑﺎ ﺿﺨﺎﻣﺖ ﺧﻴﻠﻲ ﻛﻢ ﺳﻮق داده اﺳﺖ‪.‬‬
‫از ﻃﺮﻓﻲ ﻫﺮﭼﻪ ﺿﺨﺎﻣﺖ وﻳﻔﺮ ﻛﻤﺘﺮ ﺷﻮد ﻣﺸﻜﻼﺗﻲ ﻧﻈﻴﺮ اﻳﺠﺎد ﺗﺮك ‪،‬ﺷﻜﺴﺘﮕﻲ و‪ ...‬اﻓﺰاﻳﺶ ﻣﻲ‬
‫ﻳﺎﺑﺪ‪.‬ﻛﻪ ﺑﺮوز اﻳﻦ ﻣﻮاﻧﻊ ‪،‬ﺑﺎﻋﺚ ﻛﻨﺪي در روﻧﺪ ﻛﺎﻫﺶ ﺿﺨﺎﻣﺖ وﻳﻔﺮﻫﺎ ﺷﺪه اﺳﺖ ‪.‬‬
‫ﻳﻜﻲ از ﻧﺘﺎﻳﺞ ﻛﺎﻫﺶ ﺿﺨﺎﻣﺖ وﻳﻔﺮﻫﺎ اﻳﺠﺎد اﻧﻌﻄﺎف در آﻧﻬﺎ ﻣﻲ ﺑﺎﺷﺪ‪.‬اﻣﺮوزه وﻳﻔﺮﻫﺎﻳﻲ ﺑﺎ ﺿﺨﺎﻣﺖ‬
‫ﺣﺘﻲ ﻛﻤﺘﺮ از ‪ 50µ m‬ﻧﻴﺰ ﻣﻮرد اﺳﺘﻔﺎده ﻣﻲ ﺑﺎﺷﻨﺪ‪.‬دراﻳﻦ ﺷﻜﻞ وﻳﻔﺮ ﺑﺎ ﺿﺨﺎﻣﺖ ‪ 50µ m‬و ﻗﻄﺮ ‪mm‬‬
‫‪ 200‬را ﻧﺸﺎن ﻣﻲدﻫﺪ‪ ،‬ﺗﻮﺟﻪ ﻛﻨﻴﺪ ﻛﻪ ﺿﺨﺎﻣﺖ وﻳﻔﺮ ﺑﻪ ﺣﺪي رﺳﻴﺪه اﺳﺖ ﻛﻪ اﻣﻜﺎن ﭼﻨﻴﻦ اﻧﻌﻄﺎف‬
‫ﭘﺬﻳﺮي اي را ﺑﺮاي آن ﻓﺮاﻫﻢ آورده اﺳﺖ‪.‬‬

‫ﺷﻜﻞ ‪- 40‬ﻧﺎزﻛﻲ وﻳﻔﺮﻫﺎ آﻧﻬﺎ را اﻧﻌﻄﺎف ﭘﺬﻳﺮ ﻣﻲ ﺳﺎزد ‪.‬‬

‫در زﻣﻴﻨﻪ دﺳﺘﻴﺎﺑﻲ ﺑﻪ وﻳﻔﺮﻫﺎي ﺧﻴﻠﻲ ﻧﺎزك ﻧﻴﺰ ﺗﻼﺷﻬﺎي ﻓﺮاواﻧﻲ ﺻﻮرت ﮔﺮﻓﺘﻪ اﺳﺖ‪ ،‬اﻣﺎ ﻫﻨﻮز‬
‫ﻧﺘﻮاﻧﺴﺘﻪ اﻧﺪ ﺑﺮ ﻣﺸﻜﻼت ﻧﺎﺷﻲ از ﺧﻴﻠﻲ ﻧﺎزك ﻛﺮدن وﻳﻔﺮﻫﺎ ﻓﺎﺋﻖ آﻳﻨﺪ‪.‬ﻟﺬا ﮔﺮﭼﻪ وﻳﻔﺮﻫﺎﻳﻲ ﺑﺎ‬

‫‪115‬‬
‫ﺿﺨﺎﻣﺖ ﻛﻢ ﺑﺪﺳﺖ آﻣﺪه اﻧﺪ‪ ،‬وﻟﻲ اﻳﻦ وﻳﻔﺮﻫﺎ ﻗﺎﺑﻞ اﺳﺘﻔﺎده و ﻛﺎرﺑﺮد ﻧﻤﻲ ﺑﺎﺷﻨﺪ‪.‬ﺑﺮاي ﻧﻤﻮﻧﻪ ﺷﻜﻞ‬
‫زﻳﺮ وﻳﻔﺮي ﺑﺎ ﺿﺨﺎﻣﺖ ‪ 5µm‬و ﻗﻄﺮ‪ 300mm‬را ﻧﺸﺎن ﻣﻲدﻫﺪ‪ ،‬ﻛﻪ ﺑﺮاي رﺳﻴﺪن ﺑﻪ اﻳﻦ ﺿﺨﺎﻣﺖ ﻓﻘﻂ‬
‫از روش ﺳﺎﺋﻴﺪن ﺳﻄﺢ وﻳﻔﺮ)‪ (grinding‬اﺳﺘﻔﺎده ﺷﺪه اﺳﺖ‪ .‬وﻟﻲ ﺑﺪﻟﻴﻞ ﻣﺸﻜﻼﺗﻲ ﻛﻪ در اداﻣﻪ ﺑﻴﺎن‬
‫ﻣﻲﺷﻮد ﺗﺎ ﺑﻪ اﻣﺮوز ﻗﺎﺑﻞ اﺳﺘﻔﺎده ﻧﻤﻲ ﺑﺎﺷﺪ ‪.‬‬

‫ﺷﻜﻞ ‪- 41‬دﻗﺖ ﻛﻨﻴﺪ ﻛﻪ ﺿﺨﺎﻣﺖ وﻳﻔﺮ ﺗﺎ ﺣﺪي ﻛﺎﻫﺶ ﻳﺎﻓﺘﻪ اﺳﺖ ﻛﻪ ﻫﺮ ﺷ ﻲء ﭘﺸﺖ آن ﺑﻪ ﺳﻬﻮﻟﺖ ﻗﺎﺑﻞ ﻣﺸﺎﻫﺪه‬
‫ﻣﻲﺑﺎﺷﺪ‪.‬‬

‫ﻣﺴﺌﻠﻪ اي ﻛﻪ راﺟﻊ ﺑﻪ اﻳﻦ وﻳﻔﺮ و دﻳﮕﺮ وﻳﻔﺮﻫﺎي ﺧﻴﻠﻲ ﻧﺎزك ﻣﻄﺮح ﻣﻲ ﺷﻮد ﭼﮕﻮﻧﮕﻲ ﺟﺎﺑﺠﺎﻳﻲ و‬
‫ﻛﺎﻫﺶ اﺳﺘﺤﻜﺎم ﻣﻜﺎﻧﻴﻜﻲ آﻧﻬﺎ )ﻧﺎﺷﻲ از ﻧﺎزك ﺷﺪن زﻳﺎد وﻳﻔﺮﻫﺎ( ﻣﻲﺑﺎﺷﺪ‪ .‬و ﻧﻴﺰ در ﻃﻲ ﻓﺮآﻳﻨﺪ‬
‫ﻛﺎﻫﺶ ﺿﺨﺎﻣﺖ ‪ ،‬ﺑ‪Ĥ‬ﺳﺎﻧﻲ وﻳﻔﺮ ﺗﺮك ﺧﻮرده و در ﻧﺘﻴﺠﻪ ﻣﻮﺟﺐ ﺷﻜﺴﺖ آن ﻣﻴﺸﻮد‪.‬‬

‫ﻣﺮاﺟﻊ‪:‬‬
‫)‪(1‬‬
‫‪Microchip Fabrication/Peter Van zant/2004‬‬
‫)‪(2‬‬
‫‪www.ade.com/employment/silicon_wafer.html‬‬
‫)‪(3‬‬
‫‪Brigham young university/department of electrical and computer‬‬
‫‪engineering/everything wafers_silicon Fabrication_substrates_semiconductor‬‬
‫‪properties_crystal orientation.htm‬‬
‫)‪(4‬‬
‫‪www.wikipedia.org/Search for SEMI‬‬
‫)‪(5‬‬
‫‪www.semi.org‬‬
‫)‪ (6‬ﺟﺰوه آﻣﺎده ﺳﺎزي وﻳﻔﺮ درس ﺗﺌﻮري و ﺗﻜﻨﻮﻟﻮژي ﺳﺎﺧﺖ ﻗﻄﻌﺎت ﻧﻴﻤﻪ ﻫﺎدي ‪/‬دﻛﺘﺮ ﻓﺮاﻣﺮز‬
‫ﺣﺴﻴﻦ ﺑﺎﺑﺎﻳﻲ‬

‫‪116‬‬
‫ﻓﺼﻞ ‪-5‬اﻛﺴﻴﺪاﺳﻴﻮن‬

‫‪ -1-5‬ﻣﻘﺪﻣﻪ‬
‫ﻫﻤﺎﻧﻄﻮرﻛﻪ در ﺑﺨﺶ ﻗﺒﻞ دﻳﺪﻳﻢ‪ ،‬ﭘﺲ از ﻃﻲ ﻣﺮاﺣﻞ ﻣﺨﺘﻠﻒ‪ ،‬وﻳﻔﺮ ﺳﻴﻠﻴﺴﻴﻮﻣﻲ ﺑﺮاي ﺳﺎﺧﺖ‬
‫ﻗﻄﻌﺎت اﻟﻜﺘﺮوﻧﻴﻜﻲ آﻣﺎده ﻣﻲﺷﻮد‪ .‬ﻳﻜﻲ از وﻳﮋﮔﻲﻫﺎي ﺑﺴﻴﺎر ﻣﻬﻢ ﺳﻴﻠﻴﻜﻮن ﻛﻪ آن را ﺑﺮاي ﺳﺎﺧﺖ‬
‫ﻗﻄﻌﺎت ﻧﻴﻤﻪﻫﺎدي ﻣﻨﺎﺳﺐ ﺳﺎﺧﺘﻪ اﺳﺖ‪ ،‬رﺷﺪ آﺳﺎن اﻛﺴﻴﺪ ﺑﺮ روي آن ﻣﻲﺑﺎﺷﺪ‪ .‬اﻳﻦ ﻻﻳﻪ ﺣﺘﻲ در‬
‫دﻣﺎي اﺗﺎق ﻧﻴﺰ ﺑﺮ روي ﺳﻴﻠﻴﻜﻮن ﺷﻜﻞ ﻣﻲﮔﻴﺮد‪ ،‬اﻣﺎ ﻛﻴﻔﻴﺖ آن )ﺷﺎﻣﻞ ﭼﮕﺎﻟﻲ‪ ،‬ﺛﺎﺑﺖ دي اﻟﻜﺘﺮﻳﻚ‪،‬‬
‫اﺳﺘﺤﻜﺎم ﻣﻜﺎﻧﻴﻜﻲ و‪(...‬ﺑﺮاي ﻛﺎرﺑﺮدﻫﺎي اﻟﻜﺘﺮوﻧﻴﻜﻲ‪ ،‬ﻣﻨﺎﺳﺐ ﻧﻤﻲﺑﺎﺷﺪ‪ .‬ﻻﻳﻪ اﻛﺴﻴﺪ ﻣﻨﺎﺳﺐ ﺑﺮ روي‬
‫وﻳﻔﺮ در دﻣﺎﻫﺎي ﺑﺎﻻ و در ﺣﻀﻮر اﻛﺴﻴﮋن رﺷﺪ داده ﻣﻲﺷﻮد‪.‬‬
‫ﺳﻴﻠﻴﻜﻮن ﻳﻚ ﻧﻴﻤﻪﻫﺎدي اﺳﺖ‪ ،‬اﻣﺎ اﻛﺴﻴﺪ آن‪ ،‬ﻳﻚ ﻋﺎﻳﻖ ﺑﺴﻴﺎر ﻋﺎﻟﻲ ﻣﻲﺑﺎﺷﺪ ‪ .‬اﻳﻦ ﺗﺮﻛﻴﺐ‪ ) ،‬ﻻﻳﻪ‬
‫دي اﻟﻜﺘﺮﻳﻚ ﺗﺸﻜﻴﻞ ﺷﺪه ﺑﺮ روي ﻳﻚ ﻧﻴﻤﻪﻫﺎدي( ﺑﻪ ﻫﻤﺮاه ﺳﺎﻳﺮ وﻳﮋﮔﻲﻫﺎي دياﻛﺴﻴﺪ‬
‫ﺳﻴﻠﻴﻜﻮن)‪ ،(SiO2‬آن را ﺑﻪ ﻳﻜﻲ از ﭘﺮﻛﺎرﺑﺮدﺗﺮﻳﻦ ﻻﻳﻪ ﻫﺎ در ﻓﺮآﻳﻨﺪ ﺳﺎﺧﺖ ﻗﻄﻌﺎت اﻟﻜﺘﺮوﻧﻴﻜﻲ‬
‫ﺗﺒﺪﻳﻞ ﻛﺮده اﺳﺖ‪ .‬ﻫﻤﭽﻨﻴﻦ اﻛﺴﻴﺪ ذاﺗﻲ ﺳﻴﻠﻴﻜﻮن)‪ ،(SiO2‬ﻳﻜﻲ از ﺑﺮﺗﺮي ﻫﺎي ذاﺗﻲ ﺳﻴﻠﻴﻜﻮن‬
‫ﻧﺴﺒﺖ ﺑﻪ دﻳﮕﺮ ﻧﻴﻤﻪ ﻫﺎدي ﻫﺎﺳﺖ ‪.‬‬

‫‪ - 2- 5‬وﻳﮋﮔﻲﻫﺎي ‪SiO2‬‬

‫از ﻧﺎﻣﻬﺎي دﻳﮕﺮ اﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻮن‪ ،‬ﻣﻲﺗﻮان ﺑﻪ ﺳﻴﻠﻴﺲ‪ ،‬ﺳﻴﻠﻴﻜﺎ‪ ،‬ﻛﻮارﺗﺰ و‪...‬اﺷﺎره ﻛﺮد‪ .‬ﺳﺎﺧﺘﺎر اﻳﻦ‬
‫ﺟﺎﻣﺪ از ﻧﻮع ‪ amorphous‬اﺳﺖ‪ .‬وﻳﮋﮔﻲﻫﺎي ﺑﺴﻴﺎر ﺟﺎﻟﺐ ‪ SiO2‬ﺑﺎﻋﺚ ﺷﺪه اﺳﺖ ﻛﻪ اﻳﻦ ﻣﺎده ﻧﻘﺶ‬
‫ﻣﻬﻤﻲ را در ﺻﻨﻌﺖ اﻟﻜﺘﺮوﻧﻴﻚ اﻳﻔﺎ ﻛﻨﺪ‪ .‬ﺑﺮﺧﻲ از اﻳﻦ وﻳﮋﮔﻲﻫﺎ ﻋﺒﺎرﺗﻨﺪ از‪:‬‬
‫‪ ( 1‬ﻣﺎدهاي ﭼﮕﺎل و ﻏﻴﺮ ﻣﺘﺨﻠﺨﻞ ‪ 1‬اﺳﺖ‪ ،‬و ﺑﻪ ﻋﻨﻮان ﻣﺤﺎﻓﻆ از ﺳﻄﺢ وﻳﻔﺮ ﻋﻤﻞ ﻣﻲﻛﻨﺪ‪.‬‬
‫‪ ( 2‬در ﻣﻘﺎﺑﻞ ﻧﺎﺧﺎﻟﺼﻲﻫﺎي ﻣﻮرد اﺳﺘﻔﺎده در ﺗﻜﻨﻮﻟﻮژي ﺳﻴﻠﻴﻜﻮن‪ ،‬ﺑﻪ ﻋﻨﻮان ﻣﺎﺳﻚ ﻋﻤﻞ ﻣﻲ‪-‬‬
‫ﻛﻨﺪ‪.‬‬
‫‪ ( 3‬ﺑﺎ داﺷﺘﻦ ﭘﻬﻨﻪ ﻣﻤﻨﻮﻋﻪ در ﺣﺪود ‪ 9 eV‬و وﻟﺘﺎژ ﺷﻜﺴﺖ ﺑﺎﻻ )‪ (10MV/cm3‬ﻳﻚ ﻋﺎﻳﻖ ﺧﻮب‬
‫ﻣﺤﺴﻮب ﻣﻲﺷﻮد‪.‬‬

‫‪1 Nonporous‬‬
‫‪117‬‬
‫‪ (4‬ﺑﺎ اﺳﺘﻔﺎده ازﻓﺮاﻳﻨﺪ ﻟﻴﺘﻮﮔﺮاﻓﻲ ﺑﺮاﺣﺘﻲ ﻣﻲﺗﻮان اﻟﮕﻮي ﻣﻮرد ﻧﻈﺮ را ﺑﺮ روي اﻳﻦ ﻻﻳﻪ ﭘﻴﺎده‬
‫ﻛﺮد‪.‬‬
‫‪ (5‬داراي ﺿﺮﻳﺐ ﺧﻄﻲ اﻧﺒﺴﺎط ﺣﺮارﺗﻲ ‪ 1‬ﺗﻘﺮﻳﺒﺎً ﻧﺰدﻳﻚ ﺑﻪ ﺳﻴﻠﻴﻜﻮن اﺳﺖ ﻛﻪ آن را در ﻓﺮآﻳﻨﺪ‬
‫ﻫﺎي ﺣﺮارﺗﻲ‪ ،‬ﻗﺎﺑﻞ اﺳﺘﻔﺎده ﻣﻲﺳﺎزد‪.‬‬
‫‪ ( 6‬داراي وﻳﮋﮔﻴﻬﺎي اﻟﻜﺘﺮﻳﻜﻲ ﭘﺎﻳﺪار اﺳﺖ ‪.‬‬
‫‪ ( 7‬ﺑﺮ ﺧﻼف ﺑﻌﻀﻲ از اﻛﺴﻴﺪﻫﺎ‪ ،‬ﻣﺎﻧﻨﺪ اﻛﺴﻴﺪ آﻫﻦ‪ ،‬ﺑﺮاﺣﺘﻲ از روي ﺳﻄﺢ وﻳﻔﺮ ﭘﺎك ﻧﻤﻲ ﺷﻮد‪.‬‬

‫در ﺟﺪول زﻳﺮ‪ ،‬ﻣﻘﺎﻳﺴﻪاي ﺑﻴﻦ وﻳﮋﮔﻲﻫﺎي ﻓﻴﺰﻳﻜﻲ ﺳﻴﻠﻴﻜﻮن واﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻮن اﻧﺠﺎم ﺷﺪه اﺳﺖ‪).‬‬
‫ﻣﻘﺎدﻳﺮ ﺟﺪول ازﻓﺼﻞ دوم ﻛﺘﺎب ‪ Microchip Fabrication‬ﻧﻮﺷﺘﺔ آﻗﺎي ‪ ،Peter Van Zant‬ﺗﻬﻴﻪ ﺷﺪه‬
‫اﺳﺖ‪( .‬‬

‫‪Linear Coefficient of Thermal Expansion 1‬‬

‫‪118‬‬
‫ﺟﺪول ‪(2 - 1‬وﻳﮋﮔﻲﻫﺎي ﻓﻴﺰﻳﻜﻲ ﺳﻴﻠﻴﻜﻮن واﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻮن‬

‫‪Si‬‬ ‫‪SiO2‬‬

‫‪Atomic Weight‬‬ ‫‪28.09‬‬ ‫‪60.08‬‬

‫‪Crystal Structure‬‬ ‫‪Diamond‬‬ ‫‪Amorphous‬‬

‫)‪Density(gr/cm3‬‬ ‫‪2.33‬‬ ‫‪2.27‬‬

‫)‪Energy Gap(e.v‬‬ ‫‪1.11‬‬ ‫)‪8(approx‬‬

‫‪DielectricConstant‬‬ ‫‪11.7‬‬ ‫‪3.9‬‬

‫) ‪Melting Point( ̊C‬‬ ‫‪1415‬‬ ‫‪1700(approx‬‬

‫)‪Breakdown Field(v/m‬‬ ‫)‪30(approx‬‬ ‫)‪600(approx‬‬

‫‪Linear Coefficient of‬‬ ‫‪2.5×10-6‬‬ ‫‪0.5×10-6‬‬


‫‪Thermal Expansion‬‬

‫‪SiO2‬‬ ‫‪ -3-5‬ﻛﺎرﺑﺮدﻫﺎي‬
‫وﻳﮋﮔﻲﻫﺎي ذﻛﺮ ﺷﺪه ﺑﺮاي ‪ ، SiO2‬ﻛﺎرﺑﺮدﻫﺎي ﻣﻬﻤﻲ را ﺑﺮاي اﻳﻦ ﻣﺎده رﻗﻢ زده اﺳﺖ ﻛﻪ در اداﻣﻪ ﺑﻪ‬
‫ﺑﺮﺧﻲ از اﻳﻦ ﻛﺎرﺑﺮدﻫﺎ اﺷﺎره ﻣﻲﺷﻮد‪.‬‬

‫‪ - 1- 3- 5‬ﻣﺤﺎﻓﻈﺖ از ﺳﻄﺢ وﻳﻔﺮ‬

‫ﻫﻤﺎﻧﮕﻮﻧﻪ ﻛﻪ ﻣﻲداﻧﻴﻢ ادوات ﻧﻴﻤﻪﻫﺎدي ﻧﺴﺒﺖ ﺑﻪ آﻟﻮدﮔﻲ‪ ،‬ﺣﺴﺎﺳﻴﺖ زﻳﺎدي دارﻧﺪ‪ .‬ﺑﻪ ﻃﻮري‬
‫ﻛﻪ ﺣﺬف و ﻛﻨﺘﺮل آﻟﻮدﮔﻲﻫﺎ‪ ،‬ﻳﻜﻲ از ﻣﻬﻢﺗﺮﻳﻦ ﺑﺨﺶﻫﺎي اﻳﻦ ﺗﻜﻨﻮﻟﻮژي را ﺗﺸﻜﻴﻞ داده اﺳﺖ‪.‬‬
‫ﻳﻜﻲ از ﻣﻬﻢﺗﺮﻳﻦ ﻧﻘﺶﻫﺎي اﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻮن‪ ،‬ﺣﻔﺎﻇﺖ وﻳﻔﺮ در ﻣﻘﺎﺑﻞ اﻳﻦ آﻟﻮدﮔﻲﻫﺎ ﻣﻲﺑﺎﺷﺪ ‪.‬‬

‫‪119‬‬
‫‪ SiO2‬اﻳﻦ ﺣﻔﺎﻇﺖ را ﺑﻪ دو ﻃﺮﻳﻖ ﻓﻴﺰﻳﻜﻲ وﺷﻴﻤﻴﺎﻳﻲ اﻧﺠﺎم ﻣﻲدﻫﺪ‪ .‬ازآﻧﺠﺎﻳﻲ ﻛﻪ ‪ SiO2‬ﻳﻚ‬
‫ﻻﻳﻪ ﭼﮕﺎل ﺳﺨﺖ و ﻏﻴﺮﻣﺘﺨﻠﺨﻞ اﺳﺖ‪ ،‬درﻃﻮل ﭘﺮوﺳﻪﻫﺎي ﻣﺨﺘﻠﻒ‪ ،‬ازﺳﻄﺢ وﻳﻔﺮ در ﻣﻘﺎﺑﻞ‬
‫ﺧﺮاﺷﻴﺪﮔﻲ و ﺻﺪﻣﺎت ﻣﻤﻜﻦ ﻣﺤﺎﻓﻈﺖ ﻛﺮده و ﻧﻴﺰ ﻣﺎﻧﻊ از رﺳﻴﺪن آﻟﻮدﮔﻲﻫﺎي ﻣﻮﺟﻮد در ﻣﺤﻴﻂ ﺑﻪ‬
‫ﺳﻄﺢ وﻳﻔﺮﻣﻲﺷﻮد)ﺷﻜﻞ ‪.(2- 1‬‬
‫در ﻣﺤﺎﻓﻈﺖ ﺷﻴﻤﻴﺎﻳﻲ‪ ،‬از آﻧﺠﺎﻳﻲ ﻛﻪ ﻳﻮﻧﻬﺎي ﻓﻌﺎل ﺗﻤﺎﻳﻞ ﺑﻴﺸﺘﺮي ﺑﺮاي ﺟﺬب ﺑﻪ ‪ SiO2‬ﻧﺴﺒﺖ ﺑﻪ ‪Si‬‬

‫دارﻧﺪ‪ ،‬آﻟﻮدﮔﻲﻫﺎي ﻣﻮﺟﻮد ﺑﺮ روي ﺳﻄﺢ وﻳﻔﺮ‪ ،‬در ﻃﻮل ﻓﺮآﻳﻨﺪ اﻛﺴﻴﺪاﺳﻴﻮن ﺑﻪ داﺧﻞ ﻻﻳﻪ اﻛﺴﻴﺪ‬
‫ﻛﺸﻴﺪه ﻣﻲﺷﻮﻧﺪ‪ .‬در ﻧﺘﻴﺠﻪ ﺳﻄﺢ ‪ Si‬درﻣﻘﺎﺑﻞ اﻳﻦ ﻳﻮﻧﻬﺎ ﻣﺤﺎﻓﻈﺖ ﻣﻲﺷﻮد‪ .‬ﺑﻪﻫﻤﻴﻦ ﻋﻠﺖ در اواﻳﻞ‬
‫ﺗﻜﻨﻮﻟﻮژي ‪ ،MOS‬اﺑﺘﺪا ﺳﻄﺢ وﻳﻔﺮ را اﻛﺴﻴﺪ ﻣﻲﻛﺮدﻧﺪ‪ ،‬ﺳﭙﺲ اﻳﻦ ﻻﻳﻪ را ﻣﻲزدودﻧﺪ‪ .‬ﺑﺎ اﻳﻦ ﻋﻤﻞ‪،‬‬
‫ﺳﻄﺢ وﻳﻔﺮ را از آﻟﻮدﮔﻲﻫﺎي ﻳﻮﻧﻲ ﻣﺘﺤﺮك ﻧﺎﺧﻮاﺳﺘﻪ‪ ،‬ﭘﺎك ﻣﻲﻛﺮدﻧﺪ‪.‬‬

‫ﺷﻜﻞ ‪ (2- 42‬اﻛﺴﻴﺪ ‪ SiO2‬ﺑﻪ ﻋﻨﻮان ﻣﺤﺎﻓﻆ ﺳﻄﺢ وﻳﻔﺮ‬

‫‪ - 2- 3- 5‬ﻻﻳﻪ اﻛﺴﻴﺪ ﺑﻪ ﻋﻨﻮان ﻣﺎﺳﻚ‬

‫ﻫﻤﺎﻧﻄﻮرﻳﻜﻪ ﻣﻲداﻧﻴﻢ‪ ،‬دوﻣﻴﻦ ﮔﺎم از ﻣﺮاﺣﻞ ‪ ،Fabrication‬ﺑﺎزﻛﺮدن ﭘﻨﺠﺮه و اﻧﺠﺎم ﻋﻤﻠﻴﺎت آﻻﻳﺶ‬
‫اﺳﺖ ﻛﻪ اﻳﻦ ﻓﺮآﻳﻨﺪ ﺑﺎ اﺳﺘﻔﺎده ازﺗﻔﺎوت ﺿﺮﻳﺐ ﻧﻔﻮذ ﻧﺎﺧﺎﻟﺼﻲ در ﺳﻴﻠﻴﺴﻴﻢ و اﻛﺴﻴﺪ آن ﺻﻮرت‬
‫ﻣﻲﮔﻴﺮد )ﺿﺮﻳﺐ ﻧﻔﻮذ اﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻮن ﺑﺴﻴﺎر ﻛﻮﭼﻜﺘﺮ از ﺳﻴﻠﻴﻜﻮن اﺳﺖ(‪.‬ازآﻧﺠﺎﻳﻲ ﻛﻪ ﺗﻤﺎم‬
‫ﻧﺎﺧﺎﻟﺼﻲﻫﺎﻳﻲ ﻛﻪ درﺗﻜﻨﻮﻟﻮژي ‪ Si‬ﺑﻜﺎر ﻣﻲرود‪ ،‬ﺳﺮﻋﺖ ﻧﻔﻮذ ﺧﻴﻠﻲ ﻛﻤﻲ در ‪ SiO2‬ﻧﺴﺒﺖ ﺑﻪ ‪ Si‬دارﻧﺪ‪،‬‬
‫ﺑﺮاي ﻋﻤﻠﻴﺎت آﻻﻳﺶ‪ ،‬اﺑﺘﺪا ﻳﻚ ﭘﻨﺠﺮه از ﻻﻳﻪ اﻛﺴﻴﺪ را ﻣﻲزداﻳﻨﺪ‪ ،‬ﺳﭙﺲ ﻧﺎﺧﺎﻟ ﺼﻲ را ﻧﻔﻮذ ﻣﻲ‬
‫دﻫﻨﺪ‪ .‬ﺑﺎ اﻳﻦ ﻛﺎر ﻣﺎ ﻣﻄﻤﺌﻦ ﻫﺴﺘﻴﻢ ﻛﻪ ﻧﺎﺧﺎﻟﺼﻲ ﻓﻘﻂ درﻗﺴﻤﺘﻲ ﻛﻪ ﭘﻨﺠﺮه ﺑﺎزﺷﺪه اﺳﺖ‪ ،‬ﻧﻔﻮذ ﻣﻲ‪-‬‬
‫ﻛﻨﺪ )ﺷﻜﻞ‪.(2 _2‬‬
‫از دﻳﮕﺮ ﺧﻮاﺻﻲ ﻛﻪ ‪ SiO2‬را ﺑﻪ ﻋﻨﻮان ﻣﺎﺳﻚ در ﻓﺮآﻳﻨﺪ ﻧﻔﻮذ ﺣﺮارﺗﻲ ﻣﻨﺎﺳﺐ ﻣﻲﺳﺎزد‪ ،‬ﺗﺸﺎﺑﻪ‬
‫ﺿﺮﻳﺐ ﺧﻄﻲ اﻧﺒﺴﺎط ﺣﺮارﺗﻲ ‪ SiO2‬و ‪ Si‬اﺳﺖ‪ .‬زﻳﺮا در ﻏﻴﺮ اﻳﻨﺼﻮرت‪ ،‬وﻳﻔﺮ در ﺣﻴﻦ ﮔﺮم و ﺳﺮد‬
‫ﺷﺪن ﺗﺎب ﺑﺮﻣﻲداﺷﺖ و ﻏﻴﺮ ﻗﺎﺑﻞ اﺳﺘﻔﺎده ﻣﻲﮔﺮدﻳﺪ‪.‬‬

‫‪120‬‬
‫‪ - 3- 3- 5‬ﻻﻳﻪ اﻛﺴﻴﺪ ﺑﻪ ﻋﻨﻮان ﻋﺎﻳﻖ‬

‫ﻫﻤﺎﻧﻄﻮري ﻛﻪ ﮔﻔﺘﻪ ﺷﺪ‪ SiO2 ،‬ﺑﻪ ﻋﻨﻮان ﻳﻚ دي اﻟﻜﺘﺮﻳﻚ ﻃﺒﻘﻪﺑﻨﺪي ﻣﻲ ﺷﻮد‪ .‬اﻣﺮوزه اﻳﻦ ﺧﺎﺻﻴﺖ‬
‫‪ SiO2‬ﺑﺮاي ﺟﺪا ﻛﺮدن ﻻﻳﻪﻫﺎي ﻣﺨﺘﻠﻒ ‪ Chip emitter‬ﻫﺎ ﺑﻜﺎر ﻣﻲرود‪ .‬ﺷﻜﻞ)‪ (2_3‬ﻳﻚ ﻻﻳﻪ از ﻓﻠﺰ را‬
‫ﻧﺸﺎن ﻣﻲدﻫﺪ ﻛﻪ ﺑﺮ روي ﻋﺎﻳﻖ ﻗﺮار ﮔﺮﻓﺘﻪ و اﻳﻦ ﻋﺎﻳﻖ از اﺗﺼﺎل ﻛﻮﺗﺎه ﺷﺪن ﻻﻳﻪ ﻓﻠﺰي ﺑﺎ ﺳﻄﺢ‬
‫وﻳﻔﺮ ﺟﻠﻮﮔﻴﺮي ﻣﻲﻛﻨﺪ‪ .‬دراﻳﻨﮕﻮﻧﻪ ﻛﺎرﺑﺮدﻫﺎ‪ ،‬ﻻﻳﻪ اﻛﺴﻴﺪ ﺑﺎﻳﺪ ﭘﻴﻮﺳﺘﻪ ﺑﻮده و ﻫﻴﭻﮔﻮﻧﻪ ﺣﻔﺮهاي‬
‫ﻧﺪاﺷﺘﻪ ﺑﺎﺷﺪ‪ .‬ﻋﻼوه ﺑﺮآن‪ ،‬ﻻﻳﻪ اﻛﺴﻴﺪ ﺑﺎﻳﺪ ﺑﻪ اﻧﺪازه ﻛﺎﻓﻲ ﺿﺨﻴﻢ ﺑﺎﺷﺪ ﺗﺎ از ﺷﻜﺴﺖ دي اﻟﻜﺘﺮﻳﻚ‬
‫و اﻟﻘﺎي ﺑﺎرﻫﺎي ﻧﺎﺧﻮاﺳﺘﻪ ﺟﻠﻮﮔﻴﺮي ﺷﻮد ‪.‬‬
‫ﺑﻪ اﻳﻦ ﻻﻳﻪ اﻛﺴﻴﺪ‪ Field Oxide ،‬ﻧﻴﺰ ﮔﻔﺘﻪ ﻣﻲﺷﻮد‪.‬‬

‫ﺷﻜﻞ ‪ SiO2 (2- 44‬ﺑﻪ ﻋﻨﻮان ﻋﺎﻳﻖ ﺑﺮاي ﺟﻠﻮﮔﻴﺮي از اﺗﺼﺎل‬


‫ﻓﻠﺰ ﺑﻪ ﻧﻴﻤﻪ ﻫﺎدي‬

‫‪- 4- 3- 5‬ﻻﻳﻪ اﻛﺴﻴﺪ ﺑﻪ ﻋﻨﻮان دي اﻟﻜﺘﺮﻳﻚ در ﻗﻄﻌﺎت‬

‫در ﺗﺮاﻧﺰﻳﺴﺘﻮرﻫﺎي ‪ ،MOS‬ﻳﻚ ﻻﻳﻪ اﻛﺴﻴﺪ ﺑﺮروي ﻧﺎﺣﻴﻪ ﮔﻴﺖ رﺷﺪ داده ﻣﻲﺷﻮد‪ .‬دراﻳﻦ‬
‫ﻛﺎرﺑﺮد‪ ،‬ﺿﺨﺎﻣﺖ اﻛﺴﻴﺪ ﺑﻪ ﮔﻮﻧﻪاي اﺳﺖ ﻛﻪ اﻟﻘﺎي ﺑﺎر در ﻧﺎﺣﻴﻪ ﮔﻴﺖ را ﺑﻪ ﺷﻜﻞ ﻛﻨﺘﺮل ﺷﺪه‬
‫اﺟﺎزه ﻣﻲدﻫﺪ‪) .‬ﺷﻜﻞ ‪( 2- 4‬‬
‫ﻻﻳﻪ اﻛﺴﻴﺪ ﺑﻪ ﻋﻨﻮان دي اﻟﻜﺘﺮﻳﻚ ﻧﻴﺰ‪ ،‬در ﺧﺎزنﻫﺎﻳﻲ ﻛﻪ ﺑﻴﻦ وﻳﻔﺮ ﺳﻴﻠﻴﻜﻮن و ﻻﻳﻪﻫﺎي‬
‫ﻓﻠﺰي روﻳﻲ ﺗﺸﻜﻴﻞ ﻣﻲﺷﻮد‪ ،‬ﺑﻪ ﻛﺎر ﻣﻲرود‪ ) .‬ﺷﻜﻞ ‪( 2- 5‬‬

‫‪121‬‬
‫ﺷﻜﻞ ‪ SiO2 (2- 45‬ﺑﻪ ﻋﻨﻮان ﻋﺎﻳﻖ در ﺳﺎﺧﺖ ﺗﺮاﻧﺰﻳﺴﺘﻮر در‬
‫ﺗﻜﻨﻮﻟﻮژي ‪MOS‬‬

‫ﺷﻜﻞ ‪ SiO2 (2- 46‬ﺑﻪ ﻋﻨﻮان دي اﻟﻜﺘﺮﻳﻚ در ﺳﺎﺧﺖ ﺧﺎزن‬

‫ﻫﻤﺎﻧﻄﻮرﻛﻪ ﮔﻔﺘﻪ ﺷﺪ‪ ،‬ﺿﺨﺎﻣﺖ ﻻﻳﻪﻫﺎي اﻛﺴﻴﺪ ﺑﺴﺘﻪ ﺑﻪ ﻛﺎرﺑﺮد آن ﺗﻐﻴﻴﺮ ﻣﻲﻛﻨﺪ‪ .‬ﺟﺪول )‪(2- 2‬‬
‫ﺿﺨﺎﻣﺖ ﻻﻳﻪ اﻛﺴﻴﺪ ﻣﻮرد ﻧﻴﺎز ﺑﻪ ازاي ﻛﺎرﺑﺮدﻫﺎي ﻣﺨﺘﻠﻒ را ﻧﺸﺎن ﻣﻲدﻫﺪ‪.‬‬

‫ﺟﺪول ‪ (2- 2‬ﺿﺨﺎﻣﺖ ﻻﻳﻪ ﻛﺴﻴﺪ ﺑﻪ ازاي ﻛﺎرﺑﺮدﻫﺎي ﻣﺨﺘﻠﻒ‬


‫ﺿﺨﺎﻣﺖ دي اﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻮن )‪( A‬‬ ‫ﻛﺎرﺑﺮد‬

‫‪60- 100‬‬ ‫ﮔﻴﺖ ﻫﺎي ﺗﻮﻧﻠﻲ‬


‫‪150- 500‬‬ ‫اﻛﺴﻴﺪﻫﺎي ﮔﻴﺖ‪ ،‬دي اﻟﻜﺘﺮﻳﻚ ﻫﺎي ﺧﺎزن‬
‫‪200- 500‬‬ ‫ﻻﻳﻪ اﻛﺴﻴﺪ ﭘﺎﻳﻪ ﻫﺎي ﺗﺮاﺷﻪ‬
‫‪2000- 3000‬‬ ‫ﻻﻳﻪ اﻛﺴﻴﺪ ﺑﻪ ﻋﻨﻮان ﻣﺎﺳﻚ ﻻﻳﻪ ﻣﺤﺎﻓﻆ‬
‫‪3000 - 1000‬‬ ‫اﻛﺴﻴﺪﻫﺎي ﻣﻴﺪاﻧﻲ‬

‫‪122‬‬
‫‪ -4-5‬ﻣﻜﺎﻧﻴﺰم اﻛﺴﻴﺪاﺳﻴﻮن‬
‫ﻫﺪف از ﻓﺮآﻳﻨﺪ اﻛﺴﻴﺪاﺳﻴﻮن‪ ،‬رﺷﺪ ﻳﻚ ﻻﻳﻪ از ‪ SiO2‬ﺑﺮ روي ﺳﻄﺢ وﻳﻔﺮ اﺳﺖ ‪ .‬اﻳﻦ واﻛﻨﺶ ﻃﺒﻖ‬
‫راﺑﻄﻪ ﺳﺎده )‪ (2- 1‬ﺻﻮرت ﻣﻲﭘﺬﻳﺮد‪ .‬اﻳﻦ ﻻﻳﻪ ﺣﺘﻲ در دﻣﺎي اﺗﺎق ﺑﺮ روي ﺳﻄﺢ وﻳﻔﺮ ﺷﻜﻞ ﻣﻲﮔﻴﺮد‬
‫ﻛﻪ ﺑﻪ آن‪ native oxide ،‬ﮔﻔﺘﻪ ﻣﻲﺷﻮد‪ .‬اﻣﺎ ﺑﺮاي دﺳﺘﻴﺎﺑﻲ ﺑﻪ ﻻﻳﻪاي ﻣﻨﺎﺳﺐ ﺑﺮاي ﭘﺮوﺳﻪﻫﺎي ﺳﺎﺧﺖ‬
‫ﻗﻄﻌﺎت اﻟﻜﺘﺮوﻧﻴﻜﻲ در ﻣﺪت زﻣﺎن ﻗﺎﺑﻞ ﻗﺒﻮل‪ ،‬اﻳﻦ واﻛﻨﺶ در دﻣﺎﻫﺎي ﺑﺎﻻ اﻧﺠﺎم ﻣﻲﺷﻮد ﻛﻪ ﺑﻪ‬
‫ﻫﻤﻴﻦ دﻟﻴﻞ ﺑﻪ آن اﻛﺴﻴﺪاﺳﻴﻮن ﺣﺮارﺗﻲ ﮔﻔﺘﻪ ﻣﻲﺷﻮد‪.‬‬

‫راﺑﻄﻪ ‪ (2- 1‬واﻛﻨﺶ ﺳﻴﻠﻴﻜﻮن ﺑﺎ اﻛﺴﻴﮋن‬

‫در ﻃﻮل ﻋﻤﻞ اﻛﺴﻴﺪاﺳﻴﻮن‪ ،‬ﻓﺼﻞ ﻣﺸﺘﺮك ﺑﻴﻦ ﺳﻴﻠﻴﻜﻮن و دي اﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻮن ﺑﻪ درون وﻳﻔﺮ‬
‫ﻓﺮو ﻣﻲرود‪ .‬اﻳﻦ ﻣﻮﺿﻮع‪ ،‬ﻧﺎﺣﻴﺔ ﻓﺼﻞ ﻣﺸﺘﺮك ﺗﺎزهاي اﻳﺠﺎد ﻣﻲﻛﻨﺪ‪ .‬ﻣﻲﺗﻮان ﻧﺸﺎن داد ﻛﻪ ﺑﺮاي‬
‫رﺷﺪ اﻛﺴﻴﺪي ﺑﻪ ﺿﺨﺎﻣﺖ ‪ ،X‬ﻻﻳﻪاي از ﺳﻴﻠﻴﻜﻮن ﺑﻪ ﺿﺨﺎﻣﺖ ‪ ./46 X‬ﻣﺼﺮف ﻣﻲﺷﻮد)ﺷﻜﻞ ‪.(2- 6‬‬
‫ﺑﺮاي ﻣﺜﺎل‪ ،‬ﺑﺮاي رﺷﺪ ﻻﻳﻪ اي ﺑﻪ ﺿﺨﺎﻣﺖ ‪ 2/7 µm‬ﻻﻳﻪ اي ﺑﻪ ﺿﺨﺎﻣﺖ ‪ 1 µm‬از وﻳﻔﺮ اوﻟﻴﻪ ﻣﺼﺮف‬
‫ﻣﻲﺷﻮد‪.‬‬

‫ﺷﻜﻞ ‪ (2- 47‬رﺷﺪ اﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻮن ﺑﻮﺳﻴﻠﺔ‬


‫اﻛﺴﻴﺪاﺳﻴﻮن ﺣﺮارﺗﻲ‬

‫‪123‬‬
‫‪ -5-5‬ﻧﺮخ رﺷﺪ اﻛﺴﻴﺪ‬
‫در اﻳﻦ ﻗﺴﻤﺖ ﺗﻐﻴﻴﺮات ﺳﺮﻋﺖ رﺷﺪ اﻛﺴﻴﺪ ﺑﺮ اﺛﺮ ﺗﻐﻴﻴﺮ ﺿﺨﺎﻣﺖ آن را ﺑﺮرﺳﻲ ﺧﻮاﻫﻴﻢ ﻛﺮد‪ .‬اﮔﺮ‬
‫ﺿﺨﺎﻣﺖ اﻛﺴﻴﺪ رﺷﺪ داده ﺷﺪه را ﺑﺮ ﺣﺴﺐ زﻣﺎن رﺳﻢ ﻛﻨﻴﻢ‪ ،‬ﻣﺸﺎﻫﺪه ﻣﻲﺷﻮد ﻛﻪ ﻣﻨﺤﻨﻲ داراي‬
‫دو ﺑﺨﺶ اﺳﺖ‪ :‬ﻳﻚ ﻣﺮﺣﻠﻪ ﺧﻄﻲ و ﻳﻚ ﻣﺮﺣﻠﻪ ﺳﻬﻤﻮي‪ .‬ﻣﺮﺣﻠﻪ ﺧﻄﻲ ﻓﻮراً ﻫﻨﮕﺎﻣﻲ آﻏﺎز ﻣﻲﺷﻮد‬
‫ﻛﻪ ﺳﻄﺢ وﻳﻔﺮ ﺳﻴﻠﻴﻜﻮن درﻣﻌﺮض اﻛﺴﻴﮋن ﻗﺮار ﻣﻲﮔﻴﺮد‪ .‬اﻳﻦ ﻣﺮﺣﻠﻪ ﺑﺪﻳﻦ ﻋﻠﺖ ﺧﻄﻲ ﻧﺎﻣﻴﺪه ﻣ ﻲ‪-‬‬
‫ﺷﻮد ﻛﻪ اﻛﺴﻴﺪ ﺑﻪ ﻣﻘﺪار ﻣﺴﺎوي ﺑﺮاي ﻫﺮ واﺣﺪ زﻣﺎن‪ ،‬رﺷﺪ ﭘﻴﺪا ﻣﻲﻛﻨﺪ‪ .‬ﺑﻌﺪ از اﻳﻨﻜﻪ ﺗﻘﺮﻳﺒﺎً ‪500‬‬
‫آﻧﮕﺴﺘﺮوم از اﻛﺴﻴﺪ رﺷﺪ ﭘﻴﺪا ﻛﺮد‪ ،‬وارد ﻣﺮﺣﻠﻪ ﺳﻬﻤﻮي ﻣﻲﺷﻮﻳﻢ و ﻧﺮخ رﺷﺪ ﻛﺎﻫﺶ ﻣﻲ‪-‬‬
‫ﻳﺎﺑﺪ‪).‬ﺷﻜﻞ ‪(2- 7‬‬

‫ﺷﻜﻞ ‪ (2- 48‬ﻧﻤﻮدار ﺗﻐﻴﻴﺮات ﺳﺮﻋﺖ رﺷﺪ اﻛﺴﻴﺪ ﺑﺮ اﺛﺮ ﺗﻐﻴﻴﺮ‬


‫ﺿﺨﺎﻣﺖ آن‬

‫ﻛﺎﻫﺶ ﻧﺮخ رﺷﺪ‪ ،‬ﻧﺘﻴﺠﻪ ﺟﺪا ﺷﺪن ﺳﻄﺢ ﺳﻴﻠﻴﻜﻮن از ﮔﺎز اﻛﺴﻴﮋن ﺗﻮﺳﻂ ﻻﻳﻪ اﻛﺴﻴﺪ رﺷﺪ ﻳﺎﻓﺘﻪ‬
‫درﻣﺮﺣﻠﻪ ﻗﺒﻞ ﻣﻲﺑﺎﺷﺪ‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ اﻛﺴﻴﮋن ﺑﺮاي واﻛﻨﺶ ﺑﺎ ﺳﻴﻠﻴﻜﻮن و ﺗﻮﻟﻴﺪ ‪ ،SiO2‬ﺑﺎﻳﺪ از ﻻﻳﻪ‬
‫اﻛﺴﻴﺪ رﺷﺪ ﻳﺎﻓﺘﻪ ﻧﻔﻮذ ﻛﺮده ﺗﺎ ﺑﻪ ﺳﻄﺢ ﺳﻴﻠﻴﻜﻮن ﺑﺮﺳﺪ و اﻳﻦ ﻣﺴﺌﻠﻪ ﺑﺎﻋﺚ ﻛﺎﻫﺶ ﻧﺮخ رﺷﺪ ﻣﻲ‪-‬‬
‫ﺷﻮد‪.‬‬

‫‪124‬‬
‫راﺑﻄﻪ )‪ (2- 2‬ﺑﺮاي رﺷﺪ ﻻﻳﻪﻫﺎي اﻛﺴﻴﺪ در ﻣﺮﺣﻠﻪ ﺳﻬﻤﻮي اراﺋﻪ ﻣﻲﺷﻮد ﻛﻪ درآن ‪ D‬ﻧﺮخ رﺷﺪ‬

‫راﺑﻄﻪ ‪ (2- 2‬ﺿﺨﺎﻣﺖ اﻛﺴﻴﺪ در ﻣﺮﺣﻠﻪ ﺳﻬﻤﻮي‬


‫دياﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻮن‪ D ،‬ﺿﺨﺎﻣﺖ اﻛﺴﻴﺪ و ‪ t‬زﻣﺎن اﻛﺴﻴﺪاﺳﻴﻮن اﺳﺖ ‪.‬‬
‫ﻣﻬﻢﺗﺮﻳﻦ ﻧﺘﻴﺠﻪ راﺑﻄﻪ ﺳﻬﻤﻮي اﻳﻦ اﺳﺖ ﻛﻪ رﺷﺪ اﻛﺴﻴﺪﻫﺎي ﺿﺨﻴﻢﺗﺮ ﺑﻪ زﻣﺎن ﺑﻴﺸﺘﺮي ﻧﻴﺎز‬
‫دارد‪ .‬ﺑﻪ ﻋﻨﻮان ﻣﺜﺎل‪ ،‬رﺷﺪ ﻳﻚ ﻻﻳﻪ ﺑﻪ ﺿﺨﺎﻣﺖ ‪ (0-2000 Å) 2000 Å‬در دﻣﺎي‪ 1200‬درﺟﻪ ﺳﺎﻧﺘﻲﮔﺮاد‬
‫در اﻛﺴﻴﮋن ﺧﺸﻚ ﺣﺪود ‪ 6‬دﻗﻴﻘﻪ زﻣﺎن ﻧﻴﺎز دارد‪ .‬درﺣﺎﻟﻲﻛﻪ ﺑﺮاي دو ﺑﺮاﺑﺮ ﻛﺮدن ﺿﺨﺎﻣﺖ اﻛﺴﻴﺪ‬
‫)‪( 2000-4000 Å‬ﺑﻪ ‪ 220‬دﻗﻴﻘﻪ؛ ﻳﻌﻨﻲ ‪ 36‬ﺑﺮاﺑﺮ ﻣﺪت ﻗﺒﻠﻲ زﻣﺎن ﻣﻮرد ﻧﻴﺎز اﺳﺖ‪ .‬اﻳﻦ زﻣﺎن‬
‫اﻛﺴﻴﺪاﺳﻴﻮن ﻃﻮﻻﻧﻲ‪ ،‬ﻳﻜﻲ از ﻣﺸﻜﻼت ﻓﺮآﻳﻨﺪ ﺳﺎﺧﺖ ﻗﻄﻌﺎت ﻧﻴﻤﻪﻫﺎدي اﺳﺖ‪ .‬اﻳﻦ درﺣﺎﻟﻲ اﺳﺖ‬
‫ﻛﻪ در دﻣﺎﻫﺎي ﭘﺎﻳﻴﻦﺗﺮ‪ ،‬اﻓﺰاﻳﺶ ﺑﻴﺸﺘﺮ زﻣﺎن اﻛﺴﻴﺪاﺳﻴﻮن را ﺧﻮاﻫﻴﻢ داﺷﺖ‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ ﺑﺎﻳﺪ راهﻫﺎﻳﻲ‬
‫را ﺑﺮاي اﻓﺰاﻳﺶ ﺳﺮﻋﺖ اﻛﺴﻴﺪاﺳﻴﻮن ﭘﻴﺪا ﻛﻨﻴﻢ ‪.‬‬
‫ﻳﻜﻲ از راهﻫﺎي اﻧﺠﺎم ﺳﺮﻳﻊﺗﺮ اﻛﺴﻴﺪاﺳﻴﻮن‪ ،‬اﺳﺘﻔﺎده از ﺑﺨﺎر آب ﺑ ﻪ ﺟﺎي اﻛﺴﻴﮋن ﺑﻪ ﻋﻨﻮان ﻋﺎﻣﻞ‬
‫اﻛﺴﻴﺪﻛﻨﻨﺪه ﻣﻲﺑﺎﺷﺪ ‪ .‬واﻛﻨﺶ اﻛﺴﻴﺪاﺳﻴﻮن در ﺑﺨﺎر آب ﻃﺒﻖ راﺑﻄﻪ ) ‪ (2- 3‬ﻣﻲﺑﺎﺷﺪ ‪.‬‬

‫راﺑﻄﻪ ‪ (2- 3‬واﻛﻨﺶ ﺳﻴﻠﻴﻜﻮن ﺑﺎ ﺑﺨﺎر آب‬

‫آب درﺣﺎﻟﺖ ﺑﺨﺎر ﺑﻪ ﺷﻜﻞ ‪ H-OH‬ﻣﻲﺑﺎﺷﺪ ﻛﻪ ﺗﺮﻛﻴﺒﻲ اﺳﺖ از ﻳﻚ ﻳﻮن اﺗﻢ ﻫﻴﺪروژن ‪ H‬و ﻳﻚ‬
‫ﻣﻮﻟﻜﻮل اﻛﺴﻴﮋن و ﻫﻴﺪروژن ﺑﺎ ﺑﺎر ﻣﻨﻔﻲ ‪ .OH-‬اﻳﻦ ﻣﻮﻟﻜﻮل ﻳﻮن ﻫﻴﺪروﻛﺴﻴﻞ ﻧﺎﻣﻴﺪه ﻣﻲﺷﻮد‪.‬از‬
‫آﻧﺠﺎﺋﻲ ﻛﻪ ﺣﺠﻢ اﺗﻢ ﻫﻴﺪروژن ﺧﻴﻠﻲ ﻛﻮﭼﻚ اﺳﺖ‪ ،‬ﺑﻨﺎﺑﺮاﻳﻦ ﺣﺠﻢ ﻫﻴﺪروﻛﺴﻴﻞ ﺑﻪ وﺟﻮ د آﻣﺪه‬
‫ﺗﻘﺮﻳﺒﺎً ﺑﺮاﺑﺮ ﺣﺠﻢ اﻛﺴﻴﮋن ﻧﻮزاد اﺳﺖ‪ .‬در ﻧﺘﻴﺠﻪ ﻳﻮن ﻫﻴﺪروﻛﺴﻴﻞ ﺳﺮﻳﻌﺘﺮ از اﻛﺴﻴﮋن)‪ (O2‬ﻛﻪ از‬
‫دو اﺗﻢ اﻛﺴﻴﮋن ﺗﺸﻜﻴﻞ ﺷﺪه اﺳﺖ‪ ،‬از ﻣﻴﺎن ﻻﻳﻪﻫﺎي اﻛﺴﻴﺪ ﻣﻮﺟﻮد ﺑﺮروي وﻳﻔﺮ ﻧﻔﻮذ ﻣﻲﻛﻨﺪ و‬

‫‪125‬‬
‫ﺧﻮد را ﺑﻪ ﺳﻄﺢ واﺳﻂ ﺑﻴﻦ ﺳﻴﻠﻴﻜﻮن و اﻛﺴﻴﺪ آن ﻣﻲرﺳﺎﻧﺪ‪ .‬در ﻧﺘﻴﺠﻪ اﻳﻦ واﻛﻨﺶ‪ ،‬ﺳﺮﻳﻊﺗﺮ ﻣﻲ‪-‬‬
‫ﺑﺎﺷﺪ ‪ .‬در ﺷﻜﻞ ‪ 6‬ﻣﻨﺤﻨﻲ رﺷﺪ ﻻﻳﻪ اﻛﺴﻴﺪ ﺑﺮاي دو ﺣﺎﻟﺖ ﺧﺸﻚ و ﺗﺮ ﻧﺸﺎن داده ﺷﺪه اﺳﺖ ‪ .‬آب در‬
‫درﺟﻪ ﺣﺮارت اﻛﺴﻴﺪاﺳﻴﻮن ﺑﻪ ﺷﻜﻞ ﺑﺨﺎر ﻣﻲﺑﺎﺷﺪ و اﻳﻦ ﻓﺮآﻳﻨﺪ‪ ،‬اﻛﺴﻴﺪاﺳﻴﻮن ﺑﺨﺎر ﻳﺎ‬
‫اﻛﺴﻴﺪاﺳﻴﻮن ﻣﺮﻃﻮ ب ‪ 1‬ﻧﺎﻣﻴﺪه ﻣﻲﺷﻮد ‪ .‬در ﻣﻘﺎﺑﻞ آن‪ ،‬اﻛﺴﻴﺪاﺳﻴﻮن ﺧﺸ ﻚ ‪ 2‬ﻳﺎ ﻫﻤﺎن‬
‫اﻛﺴﻴﺪاﺳﻴﻮن ﺑﺎ اﻛﺴﻴﮋن ﻗﺮار دارد‪.‬‬
‫اﻟﺒﺘﻪ در اﻛﺴﻴﺪاﺳﻴﻮن ﻣﺮﻃﻮب ﺑﺎﻳﺪ ﺗﻮﺟﻪ داﺷﺖ ﻛﻪ در ﻃﺮف دوم واﻛﻨﺶ‪ ،‬اﺗﻢﻫﺎي ﻫﻴﺪروژن وﺟﻮد‬
‫دارﻧﺪ ﻛﻪ اﻳﻦ اﺗﻢﻫﺎ در داﺧﻞ اﻛﺴﻴﺪ ﺑﻪ دام اﻓﺘﺎده و ﺑﺎﻋﺚ ﻛﺎﻫﺶ ﻛﻴﻔﻴﺖ اﻛﺴﻴﺪ ﻣﻲﺷﻮﻧﺪ ﻛﻪ اﻳﻦ‬
‫ﻣﺴﺌﻠﻪ را ﺑﺎ ﮔﺮﻣﺎﻳﺶ اﻛﺴﻴﺪ در ﻣﺤﻴﻂ اﺗﻤﺴﻔﺮ ﺧﻨﺜﻲ ﻣﻲﺗﻮان ﺣﻞ ﻛﺮد‪.‬‬

‫‪ -6-5‬روشﻫﺎي اﻛﺴﻴﺪاﺳﻴﻮن‬

‫‪1 Wet Oxidation‬‬


‫‪2 Dry Oxidation‬‬
‫‪126‬‬
‫اﻛﺴﻴﺪاﺳﻴﻮن در ﻓﺮآﻳﻨﺪ ﺳﺎﺧﺖ ﻗﻄﻌﺎت ﻧﻴﻤﻪﻫﺎدي ﺑﺎ ﺗﻮﺟﻪ ﻛﻴﻔﻴﺖ ﻣﻮرد ﻧﻈﺮ ﺑﺮاي اﻛﺴﻴﺪ و‬
‫ﻛﺎرﺑﺮد آن ﺑﻪ روشﻫﺎي ﻛﻠﻲ زﻳﺮ اﻧﺠﺎم ﻣﻲﺷﻮد‪:‬‬
‫‪ ( 1‬اﻛﺴﻴﺪاﺳﻴﻮن ﺣﺮارﺗﻲ‬
‫‪ ( 2‬اﻛﺴﻴﺪاﺳﻴﻮن ﺑﻪ روش ﭘﻼﺳﻤﺎ‬
‫‪ ( 3‬اﻛﺴﻴﺪاﺳﻴﻮن ﺷﻴﻤﻴﺎﻳﻲ‬

‫‪ - 1- 6- 5‬اﻛﺴﻴﺪاﺳﻴﻮن ﺣﺮارﺗﻲ‬

‫اﻏﻠﺐ ﻻﻳﻪﻫﺎي ‪ SiO2‬روي ﺳﻄﺢ ﺳﻴﻠﻴﻜﻮن ﺑﻪ وﺳﻴﻠ ﻪ اﻛﺴﻴﺪاﺳﻴﻮن ﺣﺮارﺗﻲ رﺷﺪ داده ﻣﻲﺷﻮﻧﺪ‪.‬‬
‫اﻛﺴﻴﺪاﺳﻴﻮن ﺣﺮارﺗﻲ در ﻣﺤﺪوده دﻣﺎﻳﻲ ﺑﻴﻦ ‪ 900‬ﺗﺎ ‪ 1200‬درﺟﻪ ﺳﺎﻧﺘﻲﮔﺮاد در ﺣﻀﻮر ﮔﺎز اﻛﺴﻴﺪ‬
‫ﻛﻨﻨﺪه )اﻛﺴﻴﮋن ﻳﺎ ﺑﺨﺎر آب( ﺻﻮرت ﻣﻲﭘﺬﻳﺮد‪.‬‬
‫اﻛﺴﻴﺪاﺳﻴﻮن ﺣﺮارﺗﻲ ﺑﻪ ﻧﻮﺑﻪ ﺧﻮد ﺑﻪ دو دﺳﺘﻪ اﻛﺴﻴﺪاﺳﻴﻮن در ﻓﺸﺎر اﺗﻤﺴﻔﺮ و اﻛﺴﻴﺪاﺳﻴﻮن در‬
‫ﻓﺸﺎر ﺑﺎﻻ ﺗﻘﺴﻴﻢ ﻣﻲﺷﻮد‪.‬‬

‫‪ - 1- 1- 6- 5‬اﻛﺴﻴﺪاﺳﻴﻮن در ﻓﺸﺎر اﺗﻤﺴﻔﺮ‬

‫در اﻳﻦ روش‪ ،‬دو ﻧﻮع ﺳﻴﺴﺘﻢ ﺣﺮارﺗﻲ ؛ ﻳﻜﻲ ﻛﻮرهﻫﺎي ﻟﻮﻟﻪاي و دﻳﮕﺮي ﺳﻴﺴﺘﻢ ﺣﺮارﺗﻲ ﺳﺮﻳﻊ‬
‫ﺟﻬﺖ اﻛﺴﻴﺪاﺳﻴﻮن اﺳﺘﻔﺎده ﻣﻲﮔﺮدد‪.‬‬

‫اﻟﻒ( ﻛﻮرهﻫﺎي ﻟﻮﻟﻪاي ‪Tube Furnaces‬‬

‫ﻛﻮرهﻫﺎي ﻟﻮﻟﻪاي ﺑﺮ دو ﻧﻮع اﻓﻘﻲ و ﻋﻤﻮدي ﻣﻲﺑﺎﺷﻨﺪ ﻛﻪ ﻛﻮرهﻫﺎي اﻓﻘﻲ ﻛﺎرﺑﺮد ﺑﻴﺸﺘﺮي دارﻧﺪ‪ .‬اﻳﻦ‬
‫ﻛﻮرهﻫﺎ از ﺳﺎل ‪ 1960‬ﻣﻮرد اﺳﺘﻔﺎده ﻗﺮار ﮔﺮﻓﺘﻪاﻧﺪ‪ .‬ﭼﻮن در اﺑﺘﺪا از اﻳﻦ ﻛﻮرهﻫﺎ در ﭘﺮوﺳﻪ دﻳﻔﻴﻮژن‬
‫در ﺗﻜﻨﻮﻟﻮژي ژرﻣﺎﻧﻴﻮم اﺳﺘﻔﺎده ﻣﻲﺷﺪه اﺳﺖ‪ ،‬ﺑﻪ اﻳﻦ ﻛﻮرهﻫﺎ‪ ،‬ﻛﻮره دﻳﻔﻴﻮژن اﻃﻼق ﻣﻲﺷﻮد‪.‬‬
‫اﻣﺮوزه ﻧﻴﺰ ﺑﻪ ﻣﻨﻈﻮر اﻛﺴﻴﺪاﺳﻴﻮن‪ ،‬دﻳﻔﻴﻮژن و ﭘﺮوﺳﻪﻫﺎي ﻣﺨﺘﻠﻒ ﻻﻳﻪ ﻧﺸﺎﻧﻲ از اﻳﻦ ﻛﻮرهﻫﺎ‬
‫اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ .‬ﺷﻜﻞ ‪ 2- 8‬ﻳﻚ ﻛﻮره ﻟﻮﻟﻪاي اﻓﻘﻲ را ﻧﺸﺎن ﻣﻲدﻫﺪ‪ .‬ﻣﺤﻔﻈﻪ واﻛﻨﺶ از ﺟﻨﺲ‬
‫ﻛﻮارﺗﺰ ﺑﺎ درﺟﻪ ﺧﻠﻮص ﺑﺎﻻﺳﺖ ﻛﻪ در ﺑﺮاﺑﺮ ﺣﺮارت ﺑﺎﻻ ﭘﺎﻳﺪاري ذاﺗﻲ دارد و وﻳﻔﺮﻫﺎ را از آﻟﻮدﮔﻲ‬
‫ﻣﺤﻴﻂ‪ ،‬ﻣﺼﻮن ﻧﮕﻪ ﻣﻲدارد و در ﻧﻘﺶ ﻳﻚ ﮔﺮﻣﺎﺧﻮر ﺣﺮارت درون ﻟﻮﻟﻪ را ﻳﻜﻨﻮاﺧﺖ ﻣﻲﻛﻨﺪ‪ .‬اﻳﻦ‬
‫ﻟﻮﻟﻪ ﺑﻮ ﺳﻴﻠﺔ ﻳﻚ ﻧﮕﻪدارﻧﺪه ﺳﺮاﻣﻴﻜﻲ ﺑﻨﺎم ‪ Muffle‬در ﺑﺮﮔﺮﻓﺘﻪ ﺷﺪه اﺳﺖ ‪ .‬اﻳﻦ ﻧﮕﻪدارﻧﺪه‪،‬‬
‫ﻳﻜﻨﻮاﺧﺖﻛﻨﻨﺪة دﻣﺎﺳﺖ و اﺟﺎزه ﻧﻤﻲدﻫﺪ ﻛﻪ ﺗﺸﻌﺸﻊﻫﺎي ﮔﺮﻣﺎﻳﻲ ﺳﻴﻢﭘﻴﭻﻫﺎ ﺑﻪ وﻳﻔﺮ ﺑﺮﺳﺪ‪.‬‬

‫‪127‬‬
‫ﻣﺤﻔﻈﻪ ﺗﻮﺳﻂ اﻟﻤﻨﺖﻫﺎﻳﻲ ﻛﻪ ﺑﻪ دور آن ﭘﻴﭽﻴﺪه ﺷﺪهاﻧﺪ ﺗﺎ دﻣﺎي ﻣﻮرد ﻧﻈﺮ ﮔﺮم ﻣﻲﺷﻮد‪.‬‬
‫ﺗﺮﻣﻮﻛﻮﭘﻞﻫﺎﻳﻲ ﻣﻘﺎﺑﻞ ﻟﻮﻟﻪ واﻛﻨﺶ ﺗﻌﺒﻴﻪ ﺷﺪهاﻧﺪ ﺗﺎ اﻃﻼﻋﺎت ﺣﺮارﺗﻲ را ﺑﻪ ﻛﻨﺘﺮل ﻛﻨﻨﺪهﻫﺎي‬
‫ﺣﺮارﺗﻲ ﺑﺮﺳﺎﻧﻨﺪ ‪ .‬ﻛﻨﺘﺮلﻛﻨﻨﺪهﻫﺎ ﺑﺎ ﺗﻐﺬﻳﻪ ﻣﻨﺎﺳﺐ ﺑﻪ ﺳ ﻴﻢﭘﻴﭻﻫﺎ ‪ ،‬ﺣﺮارت ﻣﻮرد ﻧﻴﺎز را ﺗﺄﻣﻴﻦ‬
‫ﻣﻲﻛﻨﻨﺪ‪ .‬اﻳﻦ ﻛﻨﺘﺮلﻛﻨﻨﺪهﻫﺎ ﺑﺴﻴﺎر دﻗﻴﻖ ﻣﻲﺑﺎﺷﻨﺪ‪ .‬ﺑﻪ ﻃﻮري ﻛﻪ دﻣﺎي ‪ 1000‬درﺟﻪ ﺳﺎﻧﺘﻲﮔﺮاد را ﺑﺎ‬
‫دﻗﺖ ‪ +0 /05‬درﺟﻪ اﻳﺠﺎد ﻣﻲﻛﻨﻨﺪ‪.‬در ﺑﺮﺧﻲ ﻣﻮارد از ﻣﺤﻔﻈﻪﻫﺎي ‪ SiC‬ﺑﻪ ﺟﺎي ﻣﺤﻔﻈﻪﻫﺎي ﻛﻮارﺗﺰ‬
‫اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ .‬زﻳﺮا ﻛﻮارﺗﺰ ﺷﻜﻨﻨﺪه ﺑﻮده و ﺑﻪ واﻛﻨﺶ ﺑﺎ ﻳﻮﻧﻬﺎي ﺳﺪﻳﻢ ﺗﻤﺎﻳﻞ دارد‪ .‬ﻫﻤﭽﻨﻴﻦ در‬
‫دﻣﺎﻫﺎي ﺑﺎﻻي ‪ 1200‬درﺟﻪ ﺳﺎﻧﺘﻲﮔﺮاد ﻛﻮارﺗﺰ ﺧﺮد ﺷﺪه و ﺗﻜﻪﻫﺎي ﻛﻮﭼﻚ آن ﺑﺮ روي وﻳﻔﺮ ﻣﻲاﻓﺘﺪ‬
‫ﻛﻪ ﺑﺎﻋﺚ آﻟﻮدﮔﻲ ﻣﻲﺷﻮﻧﺪ‪ .‬اﮔﺮ از ‪ SiC‬ﺑﻪ ﺟﺎي ﻛﻮارﺗﺰ اﺳﺘﻔﺎده ﻛﻨﻴﻢ‪ ،‬ﺧﻮدش اﻛﺴﻴﺪ ﻣﻲﺷﻮد و دي‪-‬‬
‫اﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻮن ﻣﻲدﻫﺪ‪ .‬ﭘﺲ اﮔﺮ ﻫﻢ ﻧﺎﺧﺎﻟﺼﻲ اﻳﺠﺎد ﻛﻨﺪ‪ ،‬ﻫﻤﺎن ‪ SiO2‬اﺳﺖ ﻛﻪ ﻣﺎ ﻧﻴﺎز دارﻳﻢ‪.‬‬

‫ﺷﻜﻞ ‪ (2- 49‬ﻛﻮره ﻟﻮﻟﻪاي اﻓﻘﻲ ‪) -‬اﻟﻒ(ﺷﻤﺎﺗﻴﻚ ﻛﻮره اﻓﻘﻲ) ب (‬


‫ﻛﻮره اﻓﻘﻲ ﺻﻨﻌﺘﻲ‬
‫وﻳﻔﺮﻫﺎي ﺑﺎ ﻗﻄﺮ ﺑﺰرگ ﺑﺮ اﺛﺮ ﮔﺮم و ﺳﺮد ﺷﺪن ﻧﺎﮔﻬﺎﻧﻲ ﺗﺎب ﺑﺮﻣﻲدارﻧﺪ ‪ .‬ﻣﻴﺰان ﺧﻤﻴﺪﮔﻲ ﺑﺎ اﻓﺰاﻳﺶ‬
‫درﺟﻪ ﺣﺮارت اﻓﺰاﻳﺶ ﻣﻲﻳﺎﺑﺪ‪ .‬ﺑﺮاي ﺟﻠﻮﮔﻴﺮي از اﻳﻦ ﺧﻤﻴﺪﮔﻲ از دو روش ﺑﺮاي ﺑﺎرﮔﺬاري وﻳﻔﺮﻫﺎ ﺑﻪ‬
‫درون ﻛﻮرهﻫﺎ اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‪ .‬در روش اول ﻛﻪ ﺑﻪ ﺑﺎرﮔﺬاري آرام ﻣﺸﻬﻮر اﺳﺖ‪ ،‬ﻗﺎﻳﻘﻚ ﺣﺎﻣﻞ‬
‫وﻳﻔﺮﻫﺎ را ﺑﺎ روﻧﺪ آﻫﺴﺘﻪ ﺑﺎ ﻧﺮﺧﻲ ﺣﺪود ‪ ، 1 inch/min‬ﺑﻪ درون ﻛﻮره وارد ﻣﻲﻛﻨﻨﺪ‪ .‬در روش دوم ﻛﻪ‬

‫‪128‬‬
‫‪ ramping‬ﻧﺎم دارد‪ ،‬دﻣﺎي ﻛﻮره را ﭘﺎﻳﻴﻦ ﻧﮕﺎه داﺷﺘﻪ و وﻳﻔﺮﻫﺎ را ﺑﻪ درون ﻛﻮره وارد ﻣﻲﻛﻨﻨﺪ‪ ،‬ﺳﭙﺲ‬
‫دﻣﺎي ﻧﺎﺣﻴﻪ ﺗﺨﺖ را آﻫﺴﺘﻪ اﻓﺰاﻳﺶ ﻣﻲدﻫﻨﺪ ﺗﺎ ﺑﻪ دﻣﺎي ﻣﻮرد ﻧﻈﺮ در ﻓﺮآﻳﻨﺪ ﺑﺮﺳﺪ ‪.‬‬
‫ﻫﻤﺎنﻃﻮر ﻛﻪ ﻣﻲداﻧﻴﻢ‪ ،‬ﺗﻜﻨﻮﻟﻮژي ﺑﻪ ﺳﻤﺖ ﺗﻮﻟﻴﺪ وﻳﻔﺮﻫﺎي ﺑﺎ ﻗﻄﺮ ﺑﺰرگﺗﺮ ﮔﺎم ﺑﺮﻣﻲدارد‪ ،‬ﻟﺬا‬
‫ﻳﻜﻨﻮاﺧﺖ ﻛﺮدن ﮔﺎز اﻛﺴﻴﺪ ﻛﻨﻨﺪه در ﺳﻄﺢ وﻳﻔﺮ‪ ،‬ﻛﺎر دﺷﻮار و زﻣﺎن ﺑﺮي اﺳﺖ‪ .‬ﻋﻼوه ﺑﺮ اﻳﻦ‪ ،‬در‬
‫ﻃﻮل ﭘﺮوﺳﻪ ‪ ،Fabrication‬ﻣﺠﺒﻮر ﻫﺴﺘﻴﻢ ﻛﻪ ﭼﻨﺪﻳﻦ ﺑﺎر وﻳﻔﺮ را اﻛﺴﻴﺪ ﻛﺮده و ﻋﻤﻠﻴﺎت ‪ Doping‬را‬
‫اﻧﺠﺎم دﻫﻴﻢ‪ ،‬ﻟﺬا اﮔﺮ ﺑﺨﻮاﻫﻴﻢ در دﻣﺎي زﻳﺎد‪ ،‬اﻛﺴﻴﺪ ﻛﻨﻴﻢ‪ Dope ،‬ﻫﺎي ﻗﺒﻠﻲ روي ﺳﻄﺢ وﻳﻔﺮ ﭘﺨﺶ‬
‫ﻣﻲﺷﻮﻧﺪ‪ .‬ﺑﺮاي ﺟﻠﻮﮔﻴﺮي از ﻣﺸﻜﻼت ﻓﻮقاﻟﺬﻛﺮ‪ ،‬دو راهﺣﻞ دارﻳﻢ‪ .‬ﻳﻚ راه اﻳﻦ اﺳﺖ ﻛﻪ دﻣﺎي‬
‫اﻛﺴﻴﺪ ﻛﺮدن را ﭘﺎﻳﻴﻦ ﺑﻴﺎورﻳﻢ‪ .‬اﻣﺎ اﻳﻦ اﻣﺮ‪ ،‬ﻣﻮﺟﺐ ﻃﻮﻻﻧﻲﺗﺮ ﺷﺪن ﭘﺮوﺳﻪ اﻛﺴﻴﺪاﺳﻴﻮن ﺧﻮاﻫﺪ‬
‫ﺷﺪ‪ .‬راه دوم اﻳﻦ اﺳﺖ ﻛﻪ ﺑﻪ ﺳﺮﻋﺖ اﻛﺴﻴﺪ ﻛﻨﻴﻢ ﺗﺎ ﻣﻬﻠﺖ ﭘﺨﺶ ﺷﺪن ﺑﻪ ‪ Dopant‬ﻫﺎ را ﻧﺪﻫﻴﻢ‪،‬‬
‫ﺿﻤﻦ آﻧﻜﻪ ﻣﺎدة اﻛﺴﻴﺪ ﻛﻨﻨﺪه را ﻫﻢ‪ ،‬ﺧﻴﻠﻲ ﺳﺮﻳﻊ وارد ﻛﻨﻴﻢ ‪ .‬اﻳﻦ اﻳﺪه‪ ،‬اﺳﺎس روش ﺳﻴﺴﺘﻢ‬
‫ﺣﺮارﺗﻲ ﺳﺮﻳﻊ )‪ ( RTP1‬ﻣﻲﺑﺎﺷﺪ‪ ،‬ﻛﻪ در اداﻣﻪ ﺑﻪ ﺗﺸﺮﻳﺢ آن‪ ،‬ﺧﻮاﻫﻴﻢ ﭘﺮداﺧﺖ وﻟﻲ ﭘﻴﺶ از آن‬
‫ﻣﻮاردي راﺟﻊ ﺑﻪ ﻛﻮرهﻫﺎي ﻟﻮﻟﻪاي را ﺷﺮح ﻣﻲدﻫﻴﻢ‪.‬‬

‫ﻫﻤﺎن ﻃﻮر ﻛﻪ در ﻧﻤﻮدار ﻧﺸﺎن داده ﺷﺪه‪ ،‬ﺑﻴﺸﺘﺮ ﻻﻳﻪﻫﺎي ‪ ܱܵ݅ଶ‬ﺑﻪ روش ﮔﺮﻣﺎﻳﻲ روي ﺳﻄﺢ‬
‫ﺳﻴﻠﻴﻜﻮن رﺷﺪ ﻣﻲﻳﺎﺑﻨﺪ‪ .‬در اﻳﻦ ﺟﺎ ﺗﻮﺿﻴﺤﺎﺗﻲ راﺟﻊ ﺑﻪ ﺗﻜﻨﻴﻚ ﻛﻮرهﻫﺎي ﻟﻮﻟﻪاي اراﺋﻪ ﻣﻲﺷﻮد‪ .‬اﻳﻦ‬
‫ﺗﻜﻨﻴﻚ ﺑﻪ ﺳﻪ روش ‪(1‬اﻛﺴﻴﺪاﺳﻴﻮن ﺧﺸﻚ‪ (2 ،‬ﻣﺮﻃﻮب و ‪(3‬ﺧﺸﻚ ‪ -‬ﻣﺮﻃﻮب ‪ -‬ﺧﺸﻚ اﻧﺠﺎم ﻣﻲ‪-‬‬
‫ﭘﺬﻳﺮد‪.‬‬

‫‪ ( 1‬اﻛﺴﻴﺪاﺳﻴﻮن ﺧﺸﻚ‬
‫در اﻳﻦ روش ﻣﻨﺒﻊ اﻛﺴﻴﺪ ﻛﻨﻨﺪه‪ ،‬اﻛﺴﻴﮋن ﺧﺸﻚ ﻣﻲﺑﺎﺷﺪ و ﺿﺮوري اﺳﺖ ﻛﻪ ﮔﺎز اﻛﺴﻴﮋن ﺑﺎ ﺑﺨﺎر‬
‫آب آﻣﻴﺨﺘﻪ ﻧﺸﺪه ﺑﺎﺷﺪ‪ .‬اﻳﻦ روش ﺗﺤﺖ واﻛﻨﺶ زﻳﺮ ﺟﻠﻮ ﻣﻲرود‪:‬‬
‫ܱ ‪݈݅݀ሻ +‬݋ݏ‪ܵ݅ሺ‬‬
‫‪ ( 2‬اﻛﺴﻴﺪاﺳﻴﻮن ﻣﺮﻃﻮب‬
‫در اﻳﻦ روش ﻣﻨﺒﻊ اﻛﺴﻴﺪ ﻛﻨﻨﺪه ﺑﺨﺎر آب اﺳﺖ ﻛﻪ ﺑﻪ ﺳﻪ روش ﺗﺄﻣﻴﻦ ﻣﻲﺷﻮد و اﻧﺘﺨﺎب روش ﺑﻪ‬
‫ﻣﻴﺰان ﺿﺨﺎﻣﺖ ﻻﻳﻪ و ﭘﺎﻛﻴﺰﮔﻲ ﻛﻪ ﺑﺮاي ﻻﻳﻪ اﻛﺴﻴﺪ ﻻزم اﺳﺖ‪ ،‬ﺑﺴﺘﮕﻲ دارد‪ .‬اﻳﻦ روش ﺗﺤﺖ‬
‫واﻛﻨﺶ زﻳﺮ ﭘﻴﺶ ﻣﻲرود‪:‬‬

‫‪1 Rapid Thermal Processing‬‬


‫‪129‬‬
‫‪ଶ‬ܪ ‪݈݅݀ሻ +‬݋ݏ‪ܵ݅ሺ‬‬
‫ﺳﻪ روش ﺗﺄﻣﻴﻦ ﺑﺨﺎر آب ﻋﺒﺎرﺗﻨﺪ از‪:‬‬
‫‪ (1‬اﺳﺘﻔﺎده از ﺣﺒﺎب ﺳﺎزﻫﺎ‬
‫ﻳﻚ روش ﻗﺪﻳﻤﻲ ﺑﺮاي ﺗﺄﻣﻴﻦ ﺑﺨﺎر آب درون ﻣﺤﻔﻈﻪ اﻛﺴﻴﺪاﺳﻴﻮن‪ ،‬روش ﺣﺒﺎب زدن اﺳﺖ‪.‬‬
‫در اﻳﻦ روش‪ ،‬ﻳﻚ ﮔﺎز ﺣﺎﻣﻞ ﺑﻪ درون آب دﻳﻮﻧﻴﺰه ﺷﺪه ﻣﻮﺟﻮد در ﻇﺮوف ﻛﻮارﺗﺰي وارد ﻣﻲ‪-‬‬
‫ﺷﻮد‪ .‬آب ﻣﻮﺟﻮد در اﻳﻦ ﻇﺮوف‪ ،‬ﺗﺎ ﻧﺰدﻳﻜﻲ دﻣﺎي ﺟﻮش ‪ 98- 99 °C‬ﮔﺮم ﻣﻲﺷﻮد‪ .‬ﺑﻪ ﻃﻮري‬
‫ﻛﻪ ﻓﻀﺎي ﺑﺎﻻي ﻣﺎﻳﻊ از ﺑﺨﺎر آب اﻧﺒﺎﺷﺘﻪ ﻣﻲﮔﺮدد‪ .‬ﻫﻨﮕﺎﻣﻲ ﻛﻪ ﮔﺎز ﺣﺎﻣﻞ از درون ﺑﺨﺎر‬
‫ﻋﺒﻮر ﻣﻲﻛﻨﺪ‪ ،‬ﺑﻪ وﺳﻴﻠﻪ آن اﺷﺒﺎع ﺷﺪه و ﻣﻮﻟﻜﻮلﻫﺎي ﺑﺨﺎر آب را ﺑﻪ ﻫﻤﺮاه ﺧﻮد ﺑﻪ داﺧﻞ‬
‫ﻣﺤﻔﻈﻪ اﻛﺴﻴﺪاﺳﻴﻮن ﺣﻤﻞ ﻣﻲﻛﻨﺪ)ﺷﻜﻞ ‪.(2- 19‬‬
‫ﻋﻴﺐ اﺻﻠﻲ اﻳﻦ روش آن اﺳﺖ ﻛﻪ ﺑﻪ ﻋﻠﺖ ﺗﻐﻴﻴﺮ ﺳﻄﺢ آب درون ﻇﺮف و ﻧﻴﺰ ﺗﻐﻴﻴﺮات‬
‫دﻣﺎﻳﻲ ﻧﻤﻲﺗﻮان ﻛﻨﺘﺮل دﻗﻴﻘﻲ ﺑﺮ روي ﻣﻘﺪار ﺑﺨﺎر آب وارد ﺷﺪه ﺑﻪ ﻣﺤﻔﻈﻪ داﺷﺖ‪ .‬ﻋﻴﺐ‬
‫دﻳﮕﺮ اﻳﻦ روش اﻓﺰاﻳﺶ اﺣﺘﻤﺎل وارد ﺷﺪن آﻟﻮدﮔﻲ ﺑﻪ ﺳﻴﺴﺘﻢ ﺑﻪ ﻋﻠﺖ ﻧﻴﺎز ﺑﻪ ﺑﺎز ﻛﺮدن‬
‫ﻇﺮوف ﻛﻮارﺗﺰي ﺑﺮاي ﺗﺄﻣﻴﻦ آب درون آن ﻣﻲﺑﺎﺷﺪ‪.‬‬

‫ﺷﻜﻞ ‪ (2- 9‬روش ﺣﺒﺎب زﻧﻲ‬


‫‪ (2‬ﺳﻴﺴﺘﻢ ﻟﺤﻈﻪا ي ‪1‬‬

‫اﻳﻦ ﺳﻴﺴﺘﻢ ﻣﻄﺎﺑﻖ ﺷﻜﻞ) ‪ (2- 19‬ﺑﻪ ﻳﻚ ﻣﻨﺒﻊ آب ﺑﺪون ﻳﻮن ﻣﺘﺼﻞ اﺳﺖ‪ .‬ﻣﻨﺒﻊ آب ﺑﻪ وﺳﻴﻠﻪ ﻳﻚ‬
‫ﻟﻮﻟﻪ ﺑﺎرﻳﻚ ﺑﻪ ﻳﻚ ﻣﺤﻔﻈﻪ ﻛﻮارﺗﺰي ارﺗﺒﺎط دارد و آب ﺑﻪ ﺻﻮرت ﻗﻄﺮهاي وارد اﻳﻦ ﻣﺤﻔﻈﻪ ﻣﻲﮔﺮدد‪.‬‬
‫اﻳﻦ ﻗﻄﺮات وﻗﺘﻲ داﺧﻞ ﻣﺤﻔﻈﻪ ﻛﻮارﺗﺰي داغ ﻣﻲﺷﻮﻧﺪ‪ ،‬ﺑﻪ ﺻﻮرت آﻧﻲ از آب ﺑﻪ ﺑﺨﺎر ﺗﺒﺪﻳﻞ ﻣﻲ‪-‬‬
‫ﺷﻮﻧﺪ و ﻳﻚ ﮔﺎز ﺣﺎﻣﻞ ﺑﺨﺎر آب را وارد ﻣﺤﻔﻈﻪ اﻛﺴﻴﺪاﺳﻴﻮن ﻣﻲﻛﻨﺪ‪ .‬ﻣﺰﻳﺖ اﻳﻦ روش ﺑﺮ روش‬

‫‪1 Flash System‬‬


‫‪130‬‬
‫ﻗﺒﻞ‪ ،‬ﻛﺎﻫﺶ اﺣﺘﻤﺎل ورود آﻟﻮدﮔﻲ ﺑﻪ ﺳﻴﺴﺘﻢ اﺳﺖ‪ ،‬اﻣﺎ ﻋﺪم وﺟﻮد ﻛﻨﺘﺮل دﻗﻴﻖ ﺑﺮ ﻣﻴﺰان ﺑﺨﺎر آب‬
‫وارد ﺷﺪه ﺑﻪ ﺳﻴﺴﺘﻢ ﻫﻨﻮز وﺟﻮد دارد‪.‬‬

‫ﺷﻜﻞ ‪ (2- 10‬ﺳﻴﺴﺘﻢ ﻟﺤﻈﻪاي‬

‫‪ (3‬روش ﻣﺴﺘﻘﻴﻢ‬

‫در اﻳﻦ روش ﻣﻄﺎﺑﻖ ﺷﻜﻞ ) ‪ (2- 20‬ﮔﺎزﻫﺎي اﻛﺴﻴﮋن و ﻫﻴﺪروژن ﻣﺴﺘﻘﻴﻤﺎً وارد ﻛﻮره ﻣﻲ‪-‬‬
‫ﺷﻮﻧﺪ و در درﺟﻪ ﺣﺮارت ﺑﺎﻻي ﻣﺤﻔﻈﻪ‪ ،‬ﺗﺸﻜﻴﻞ ﺑﺨﺎر آب ﻣﻲدﻫﻨﺪ‪ .‬از ﻣﺰاﻳﺎي اﻳﻦ روش‪،‬‬
‫ﻛﺎﻫﺶ آﻟﻮدﮔﻲ و اﻓﺰاﻳﺶ ﻛﻨﺘﺮل ﺑﺮ ﻣﻴﺰان ﺑﺨﺎر آب ورودي ﺑﻪ ﻣﺤﻔﻈﻪ را ﻣﻲﺗﻮان ﻧﺎم ﺑﺮد‪.‬‬
‫زﻳﺮا ﺑﺎ اﺳﺘﻔﺎده از ﻓﻠﻮﻣﺘﺮﻫﺎي ﺟﺮﻣﻲ ﻣﻘﺪار ﮔﺎزﻫﺎ را ﺑﺎ دﻗﺖ ﺑﺴﻴﺎر زﻳﺎد ﻣﻲﺗﻮان ﻛﻨﺘﺮل ﻛﺮد‪.‬‬
‫از ﻣﻌﺎﻳﺐ اﻳﻦ روش‪ ،‬ﻗﺎﺑﻠﻴﺖ اﺷﺘﻌﺎل ﭘﺬﻳﺮي ﮔﺎز ﻫﻴﺪروژن اﺳﺖ ﻛﻪ ﺑﺮاي ﺣﻞ اﻳﻦ ﻣﺴﺌﻠﻪ‬
‫ﻣﻌﻤﻮﻻً ﻣﻘﺪاري ﮔﺎز اﻛﺴﻴﮋن اﺿﺎﻓﻲ ﺑﻪ درون ﻣﺤﻔﻈﻪ وارد ﻣﻲﻛﻨﻨﺪ ﺗﺎ اﻃﻤﻴﻨﺎن ﺣﺎﺻﻞ ﺷﻮد‬
‫ﻛﻪ ﻫﻤﺔ ﮔﺎز ﻫﻴﺪروژن ﻣﻮﺟﻮد در ﻣﺤﻔﻈﻪ‪ ،‬ﺑﺎ ﮔﺎز اﻛﺴﻴﮋن واﻛﻨﺶ داده اﺳﺖ‪.‬‬

‫ﺷﻜﻞ ‪ (2- 11‬روش ﻣﺴﺘﻘﻴﻢ‬


‫‪131‬‬
‫ﺟﻤﻊ ﺑﻨﺪي ‪:‬‬
‫ﻣﺰاﻳﺎي اﻛﺴﻴﺪاﺳﻴﻮن ﺧﺸﻚ‪:‬‬
‫‪ ( 1‬ﺗﺸﻜﻴﻞ ﻻﻳﻪﻫﺎي اﻛﺴﻴﺪ ﺑﺎ ﭼﮕﺎﻟﻲ ﺑﺎﻻﺗﺮ و ﺗﻤﻴﺰﺗﺮ‬
‫‪ ( 2‬ﻛﻨﺘﺮل ﺑﻬﺘﺮ ﭘﺮوﺳﻪ ﺑﻪ دﻟﻴﻞ ﺳﺮﻋﺖ ﻛﻢﺗﺮ‬
‫‪ ( 3‬اﺳﺘﻘﺎﻣﺖ دي اﻟﻜﺘﺮﻳﻚ ‪ 1‬ﺑﺎﻻﺗﺮ‬
‫‪ (4‬ﺟﻠﻮﮔﻴﺮي از ﻧﻔﻮذ آﻻﻳﻨﺪهﻫﺎ ﺑﻪ ﻋﻠﺖ داﻧﺴﻴﺘﻪ ﺑﺎﻻ‬
‫در ﻣﻘﺎﺑﻞ اﻳﻦ ﻣﺰاﻳﺎ اﺷﻜﺎل اﻳﻦ روش اﻳﻦ اﺳﺖ ﻛﻪ رﺷﺪ ﻻﻳﻪﻫﺎي ﺿﺨﻴﻢ ﺑﺎ اﻳﻦ روش ﻧﻴﺎز ﺑﻪ زﻣﺎن‬
‫ﻃﻮﻻﻧﻲ دارد‪.‬‬

‫ﻣﺰاﻳﺎي اﻛﺴﻴﺪاﺳﻴﻮن ﻣﺮﻃﻮب‬


‫‪(1‬اﻛﺴﻴﺪاﺳﻴﻮن ﺳﺮﻳﻊﺗﺮ‬
‫ﻋﻠﺖ ﺳﺮﻳﻊﺗﺮ ﺑﻮدن اﻛﺴﻴﺪاﺳﻴﻮن در اﻳﻦ روش اﻳﻦ اﺳﺖ ﻛﻪ ﺑﺨﺎر آب ﺷﺎﻣﻞ ﻳﻚ اﺗﻢ ﻫﻴﺪروژن و‬
‫ﻳﻚ ﻳﻮن ﻫﻴﺪروﻛﺴﻴﻞ ) ି ܪܱ( ﻣﻲﺑﺎﺷﺪ ﻛﻪ اﻳﻦ ﻳﻮن ﺳﺮﻳﻊﺗﺮ از اﻛﺴﻴﮋن در ﻻﻳﻪﻫﺎي اﻛﺴﻴﺪ ﭘﺨﺶ‬
‫ﻣﻲﺷﻮد و ﺑﻪ ﺳﻄﺢ ﺳﻴﻠﻴﻜﻮن ﺑﺮاي اﻧﺠﺎم واﻛﻨﺶ ﻣﻲرﺳﺪ‪.‬‬
‫و اﻣﺎ اﺷﻜﺎلﻫﺎﻳﻲ ﻛﻪ اﻳﻦ روش دارد ﻋﺒﺎرﺗﻨﺪ از‪:‬‬
‫‪(1‬ﺗﺸﻜﻴﻞ ﻻﻳﻪ اﻛﺴﻴﺪ ﺑﺎ ﭼﮕﺎﻟﻲ ﻛﻢﺗﺮ‬
‫ﻋﻠﺖ ﻛﻢ ﺑﻮدن ﭼﮕﺎﻟﻲ در اﻳﻦ روش اﻳﻦ اﺳﺖ ﻛﻪ ﻃﺒﻖ ﻣﻌﺎدﻟﻪ واﻛﻨﺶ‪ ،‬دو ﻣﻮﻟﻜﻮل ﻫﻴﺪروژن ﻃﻲ‬
‫واﻛﻨﺶ آزاد ﻣﻲﺷﻮﻧﺪ ﻛﻪ در ﻻﻳﻪ ‪ ܱܵ݅ଶ‬ﺑﻪ دام ﻣﻲاﻓﺘﻨﺪ‪ .‬در ﻧﺘﻴﺠﻪ ﭼﮕﺎﻟﻲ ﻻﻳﻪ ﻛﻢ ﻣﻲﺷﻮد‪.‬‬
‫‪(2‬اﺳﺘﻘﺎﻣﺖ دي اﻟﻜﺘﺮﻳﻚ ﭘﺎﻳﻴﻦﺗﺮ و داﺷﺘﻦ ﺧﻠﻞ و ﻓﺮج ﺑﻴﺸﺘﺮ ﺑﺮاي ﻧﻔﻮذ آﻻﻳﻨﺪهﻫﺎ‬
‫ﺑﺮاي ﺗﺸﻜﻴﻞ اﻛﺴﻴﺪﻫﺎي ﺑﺎ ﺿﺨﺎﻣﺖ ﺑﻴﺸﺘﺮ از ‪ 1200˚A‬ﻛﻪ ﻣﺸﺨﺼﻪﻫﺎي اﻟﻜﺘﺮﻳﻜﻲ و ﺷﻴﻤﻴﺎﻳﻲ آنﻫﺎ‬
‫ﻣﻬﻢ و ﺑﺤﺮاﻧﻲ ﻧﻴﺴﺘﻨﺪ از اﻳﻦ روش اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪.‬‬
‫‪(3‬اﻛﺴﻴﺪاﺳﻴﻮن ﺧﺸﻚ ‪ -‬ﻣﺮﻃﻮب ‪ -‬ﺧﺸﻚ‬

‫‪ 1‬ﺣﺪاﻛﺜﺮ ﻣﻴﺪان اﻟﻜﺘﺮﻳﻜﻲ ﻛﻪ ﻳﻚ دياﻟﻜﺘﺮﻳﻚ ﻣﻲﺗﻮاﻧﺪ ﺗﺤﻤﻞ ﻛﻨﺪ ﺑﻲ آن ﻛﻪ درﻫﻢ ﺑﺸﻜﻨﺪ‪ ،‬اﺳﺘﻘﺎﻣﺖ دياﻟﻜﺘﺮﻳﻚ ﻧﺎﻣﻴﺪه‬
‫ﻣﻲﺷﻮد‪.‬‬

‫‪132‬‬
‫ﺑﺮاي رﺷﺪ ﻳﻚ ﻻﻳﻪ اﻛﺴﻴﺪ ﺑﺎ ﻛﻴﻔﻴﺖ ﺑﺎﻻ ﻫﻤﺮاه ﺑﺎ ﻛﺎﻫﺶ زﻣﺎن اﻛﺴﻴﺪاﺳﻴﻮن‪ ،‬روش ﻋﻤﻠﻲ ﻣﺮﺳﻮم‬
‫ﺷﺮوع ﻓﺮآﻳﻨﺪ ﺑﻪ روش ﺧﺸﻚ و ﻧﻴﺰ ﭘﺎﻳﺎن آن ﺑﻪ ﻫﻤﻴﻦ روش ﻣﻲﺑﺎﺷﺪ ﻛﻪ در ﻣﺮﺣﻠﻪ ﻣﻴﺎﻧﻲ از روش‬
‫ﻣﺮﻃﻮب اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪.‬‬
‫در ﻣﺮﺣﻠﻪ ﻣﻴﺎﻧﻲ ﺑﺎ اﻓﺰاﻳﺶ ﺳﺮﻋﺖ رﺷ ﺪ‪ ‬اﻛﺴﻴﺪ ﺑﻮدﺟﻪ ﮔﺮﻣﺎﻳﻲ ﻛﺎﻫﺶ ﻣﻲﻳﺎﺑﺪ‪ .‬ﺑﺎ اﻳﻦ روش ﻻﻳﻪﻫﺎي‬
‫ﺑﺎ ﻛﻴﻔﻴﺖ ﺑﺎﻻ در ﻫﺮ دو ﺳﻤﺖ ﻻﻳﻪ اﻛﺴﻴﺪ رﺷﺪ ﻣﻲﻳﺎﺑﻨﺪ‪ ،‬ﺑﻨﺎ ﺑﺮ اﻳﻦ ﻣﺸﺨﺼﺎت اﻳﻦ ﻻﻳﻪ ﻛﻪ در ﺳﻪ‬
‫ﻣﺮﺣﻠﻪ ﺷﻜﻞ ﻳﺎﻓﺘﻪ‪ ،‬ﻗﺎﺑﻞ ﻣﻘﺎﻳﺴﻪ ﺑﺎ ﻻﻳﻪاي ﻣﻲﺷﻮد ﻛﻪ ﺑﻪ ﺗﻨﻬﺎﻳﻲ در اﻛﺴﻴﮋن ﺧﺸﻚ رﺷﺪ ﻛﺮده‬
‫اﺳﺖ‪.‬‬
‫ﺟﻤﻊﺑﻨﺪي‬
‫ﺑﺮاي ﻣﻘﺎﻳﺴﻪ ﺳﻪ روش ﺑﺎﻻ دارﻳﻢ‪:‬‬

‫اﻛﺴﻴﺪ ﭼﮕﺎل ﺗﺮ و ﺗﻤﻴﺰﺗﺮ‬


‫ﺟﻠﻮﮔﻴﺮي از ﻧﻔﻮذ آﻻﻳﻨﺪهﻫﺎ‬

‫اﻛﺴﻴﺪاﺳﻴﻮن ﺧﺸﻚ‬
‫اﺳﺘﻘﺎﻣﺖ دي اﻟﻜﺘﺮﻳﻚ ﺑﺎﻻﺗﺮ‬
‫ﻛﻨﺘﺮل ﺑﻬﺘﺮ ﭘﺮوﺳﻪ‬

‫ﭼﮕﺎﻟﻲ ﻛﻢﺗﺮ‬
‫ﭘﻮﺷﺶدﻫﻲ ﺑﻴﺸﺘﺮ ﺳﻄﺢ وﻳﻔﺮ‬
‫اﻛﺴﻴﺪاﺳﻴﻮن ﻣﺮﻃﻮب‬
‫اﺳﺘﻘﺎﻣﺖ دي اﻟﻜﺘﺮﻳﻚ ﭘﺎﻳﻴﻦﺗﺮ‬
‫ﺳﺮﻋﺖ ﺑﻴﺸﺘﺮ‬

‫ﻛﻴﻔﻴﺖ ﺑﺎﻻ‬
‫ﻛﺎﻫﺶ زﻣﺎن اﻛﺴﻴﺪاﺳﻴﻮن‬ ‫اﻛﺴﻴﺪاﺳﻴﻮن ﺧﺸﻚ ‪ -‬ﻣﺮﻃﻮب ‪ -‬ﺧﺸﻚ‬
‫ﻛﺎﻫﺶ ﺑﻮدﺟﻪ ﻣﺼﺮﻓﻲ‬
‫‪133‬‬
‫ب( ﺳﻴﺴﺘﻢ ﺣﺮارﺗﻲ ﺳﺮﻳﻊ )‪(RTP‬‬

‫ﺗﻜﻨﻮﻟﻮژي ‪ RTP‬ﺑﺮ اﺳﺎس ﺣﺮارت ﺗﺸﻌﺸﻌﻲ ﺑﻨﺎ ﻧﻬﺎده ﺷﺪه اﺳﺖ‪ .‬ﻣﻨﺎﺑﻊ ﺣﺮارﺗﻲ ﺷﺎﻣﻞ ﻫﻴﺘﺮﻫﺎي‬
‫ﮔﺮاﻓﻴﺘﻲ‪ arc ،‬ﭘﻼﺳﻤﺎ و ﻳﺎ ﻻﻣﭗﻫﺎ ي ﺗﻨﮕﺴﺘﻦ ﻫﺎﻟﻮژن ﻣﻲﺑﺎﺷﻨﺪ ‪ .‬ﺑﺎ اﺳﺘﻔﺎده از ﺗﺸﻌﺸﻊ‪ ،‬ﺳﻄﺢ وﻳﻔﺮ‬
‫در ﻛﻤﺘﺮ از ﭼﻨﺪ ﺛﺎﻧﻴﻪ ﺑﻪ دﻣﺎي ﺑﺎﻻ ﻣﻲرﺳﺪ)ﺷﻜﻞ ‪ .(2- 9‬در ﻃﻲ اﻳﻦ زﻣﺎن ﻛﻮﺗﺎه‪ ،‬دﻣﺎي ﺑﺪﻧﻪ وﻳﻔﺮ‬
‫ﻧﻤﻲﺗﻮاﻧﺪ اﻓﺰاﻳﺶ ﻳﺎﺑﺪ‪ ،‬ﻟﺬا از اﻓﺰاﻳﺶ ﻧﻘﺺﻫﺎي ﻛﺮﻳﺴﺘﺎﻟﻲ ﺟﻠﻮﮔﻴﺮي ﻣﻲﺷﻮد‪ .‬ﺳﻴﺴﺘﻢﻫﺎي ‪،RTP‬‬
‫ﭘﺮوﺳﻪﻫﺎي ﺗﻚ وﻳﻔﺮي ﻣﻲﺑﺎﺷﻨﺪ و ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ زﻣﺎن ﺑﺴﻴﺎر ﻛﻮﺗﺎه آن‪ ،‬ﻛﻨﺘﺮل و اﻧﺪازهﮔﻴﺮي درﺟﻪ‬
‫ﺣﺮارت اﻋﻤﺎﻟﻲ ﺗﻘﺮﻳ ﺒﺎً ﻏﻴﺮﻣﻤﻜﻦ اﺳﺖ ‪ .‬ﻳﻜﻲ از ﻛﺎرﺑﺮدﻫﺎي ﻣﻬﻢ ‪ ،RTP‬رﺷﺪ ﻻﻳﻪ ﻧﺎزك اﻛﺴﻴﺪ در‬
‫ﮔﻴﺖﻫﺎي ‪ MOS‬ﻣﻲﺑﺎﺷﺪ ‪.‬‬
‫ﺗﻮﻟﻴﺪ اﻟﻤﺎنﻫﺎي ﭘﻴﺸﺮﻓﺘﻪ‪ ،‬ﻧﻴﺎز ﺑﻪ اﻳﺠﺎد ﻻﻳﻪﻫﺎي اﻛﺴﻴﺪ ﺑﺎ ﺿﺨﺎﻣﺖ ﻛﻤﺘﺮ از ‪ 100‬آﻧﮕﺴﺘﺮوم‬ ‫ﺑﺮاي‬

‫دارﻳﻢ‪ .‬ﻛﻨﺘﺮل ﺿﺨﺎﻣﺖ ﭼﻨﻴﻦ ﻻﻳﻪاي در ﻛﻮرهﻫﺎي ﻟﻮﻟﻪاي‪ ،‬ﻏﻴﺮﻣﻤﻜﻦ ﻣﻲﺑﺎﺷﺪ‪ .‬زﻳﺮا ﺳﺮﻋﺖ اﻋﻤﺎل‬
‫ﮔﺎز اﻛﺴﻴﮋن و ﻧﻴﺰ ﺣﺬف آن از درون ﻣﺤﻔﻈﻪ‪ ،‬ﭘﺎﻳﻴﻦ ﻣﻲﺑﺎﺷﺪ‪ .‬ﺑﺮاي اﻳﺠﺎد ﭼﻨﻴﻦ ﻻﻳﻪﻫﺎﻳﻲ از‬
‫ﺳﻴﺴﺘﻢ ﺣﺮارﺗﻲ ﺳﺮﻳﻊ اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد ‪.‬‬

‫ﺳﻴﺴﺘﻢ ﺣﺮارﺗﻲ ﺳﺮﻳﻊ )‪( RTP‬‬ ‫ﺷﻜﻞ ‪(2- 12‬‬

‫از آنﺟﺎ ﻛﻪ اﻛﺴﻴﺪاﺳﻴﻮن ﮔﺮﻣﺎﻳﻲ روي ﺗﻮزﻳﻊ ﻧﺎﺧﺎﻟﺼﻲﻫﺎ ﭼﻪ در ﺣﺠﻢ ﺳﻴﻠﻴﻜﻮن و ﭼﻪ در ﺳﻄﺢ‬
‫ﻣﺸﺘﺮك ﺳﻴﻠﻴﻜﻮن و ‪ ܱܵ݅ଶ‬اﺛﺮ ﻣﻲﮔﺬارد و ﻧﻴﺰ ﺗﺤﺮك ﻧﺎﺧﺎﻟﺼﻲﻫﺎ روي اﻧﺪازه ﻗﻄﻌﻪ و ﻣﺸﺨﺼﻪﻫﺎي‬
‫اﻟﻜﺘﺮﻳﻜﻲ آن ﺗﺄﺛﻴﺮﮔﺬار اﺳﺖ‪ ،‬ﻟﺬا ﻛﻨﺘﺮل و ﺑﻪ ﺣﺪاﻗﻞ رﺳﺎﻧﺪن ﺗﺄﺛﻴﺮات اﻛﺴﻴﺪاﺳﻴﻮن روي آراﻳﺶ‬
‫ﻧﺎﺧﺎﻟﺼﻲﻫﺎ ﺣﺎﺋﺰ اﻫﻤﻴﺖ ﻣﻲﺑﺎﺷﺪ‪ .‬ﺑﺎ ﻛﺎﻫﺶ اﻧﺪازه ﻗﻄﻌﺎت ﻧﻴﻤﻪﻫﺎدي‪ ،‬اﻳﻦ ﻣﻮﺿﻮع ﺑﻴﺸﺘﺮ ﺟﻠﻮهﮔﺮ‬
‫ﺷﺪه ﻟﺬا ﺑﺎﻳﺪ ﻣﺮﺣﻠﻪ اﻛﺴﻴﺪاﺳﻴﻮن در دﻣﺎي ﺑﺎﻻ ﺑﺴﻴﺎر ﻛﻮﺗﺎه ﺑﺎﺷﺪ‪ .‬ﻛﻨﺘﺮل دﻗﻴﻖ دﻣﺎي‬
‫اﻛﺴﻴﺪاﺳﻴﻮن ﮔﺎﻣﻲ ﻣﺆﺛﺮ در اﻳﻦ راﺳﺘﺎ ﻣﻲﺑﺎﺷﺪ‪.‬‬
‫ﻣﺘﺄﺳﻔﺎﻧﻪ ﻛﻮرهﻫﺎي اﻛﺴﻴﺪاﺳﻴﻮنِ ﻣﺮﺳﻮم ﺑﺮاي رﺳﻴﺪن ﺑﻪ اﻳﻦ ﻫﺪف ﻣﺤﺪودﻳﺖ دارﻧﺪ ﭼﺮا ﻛﻪ در‬
‫اﻧﺘﻘﺎلﻫﺎي دﻣﺎﻳﻲ ﻧﺎﻛﺎرآﻣﺪ ﻫﺴﺘﻨﺪ و ﻣﻨﺠﺮ ﺑﻪ ﺑﻮدﺟﻪﻫﺎي ﮔﺮﻣﺎﻳﻲ ﺑﺎﻻﺗﺮي ﻧﺴﺒﺖ ﺑﻪ آنﭼﻪ ﻛﻪ ﺑﺮاي‬
‫‪134‬‬
‫اﻛﺴﻴﺪاﺳﻴﻮن ﻣﻮرد ﻧﻴﺎز اﺳﺖ ﻣﻲﺷﻮﻧﺪ‪ .‬ﺑﻮدﺟﻪ ﮔﺮﻣﺎﻳﻲ ﺑﺎ ﻛﺎﻫﺶ ﻣﺪت اﻳﻦ اﻧﺘﻘﺎل دﻣﺎﻫﺎ ﻣﻲﺗﻮاﻧﺪ ﺑﻪ‬
‫ﻃﻮر ﻗﺎﺑﻞ ﺗﻮﺟﻬﻲ ﻛﺎﻫﺶ ﻳﺎﺑﺪ‪ .‬ﺑﻮدﺟﻪ ﮔﺮﻣﺎﻳﻲ ﺗﻮﺳﻂ ﭘﺮوﺳﻪ اﻛﺴﻴﺪاﺳﻴﻮن ﺳﺮﻳﻊ ﻛﺎﻫﺶ ﻣﻲﻳﺎﺑﺪ‪.‬‬
‫ﺗﻜﻨﻮﻟﻮژي ﭘﺮوﺳﻪ ﮔﺮﻣﺎﻳﻲ ﺳﺮﻳﻊ ‪ (RTP )1‬ﺑﺮ اﺳﺎس اﺻﻮل ﺗﺎﺑﺶ ﮔﺮﻣﺎﻳﻲ اﺳﺘﻮار ﺷﺪه اﺳﺖ‪ .‬ﻣﻨﺎﺑﻊ‬
‫ﮔﺮﻣﺎ‪ ،‬ﮔﺮمﻛﻨﻨﺪهﻫﺎي ﮔﺮاﻧﻴﺘﻲ‪ ،‬ﻗﻮس ﭘﻼﺳﻤﺎ ‪ 2‬و ﻻﻣﭗﻫﺎي ﻫﺎﻟﻮژن ‪ -‬ﺗﻨﮕﺴﺘﻦ را در ﺑﺮ ﻣﻲﮔﻴﺮﻧﺪ ﻛﻪ‬
‫در اﻳﻦ ﻣﻴﺎن ﻻﻣﭗﻫﺎي ﻫﺎﻟﻮژن ‪ -‬ﺗﻨﮕﺴﺘﻦ ﻣﺮﺳﻮمﺗﺮﻳﻦ ﮔﺮمﻛﻨﻨﺪهﻫﺎ ﻫﺴﺘﻨﺪ ﻛﻪ ﻣﻲﺗﻮاﻧﻨﺪ ﮔﺮﻣﺎي‬
‫ﺷﺪﻳﺪي را ﺑﻪ ﺷﻜﻞ ﺗﺎﺑﺶ ﻓﺮوﺳﺮخ )‪ (IR‬اﻳﺠﺎد ﻛﻨﻨﺪ‪.‬‬
‫در اﻳﻦ روش ﺑﻌﺪ از ﺑﺎرﮔﻴﺮي وﻳﻔﺮ در داﺧﻞ اﺗﺎ ق ﭘﺮوﺳﻪ‪ ،‬ﻻﻣﭗ ﮔﺮمﻛﻨﻨﺪه روﺷﻦ ﻣﻲﺷﻮد و دﻣﺎ در‬
‫دو ﻣﺮﺣﻠﻪ اﻓﺰاﻳﺶ ﻣﻲﻳﺎﺑﺪ‪ .‬اﺑﺘﺪا ﺑﺎ ﺳﺮﻋﺖ ﺑﺎﻻﺗﺮي ﺗﺎ ﺣﺪود دﻣﺎي ‪ 800˚C‬و ﺳﭙﺲ ﺑﺎ ﺳﺮﻋﺖ ﭘﺎﻳﻴﻦ‪-‬‬
‫ﺗﺮي ﺗﺎ دﻣﺎي ﻣﻮرد ﻧﻴﺎز اﻛﺴﻴﺪاﺳﻴﻮن ﻣﺜﻼً ‪ .1150˚C‬روش دو ﻣﺮﺣﻠﻪاي زﻣﺎن اﻓﺰاﻳﺶ دﻣﺎ را ﺑﻪ‬
‫ﺣﺪاﻗﻞ ﻣﻲرﺳﺎﻧﺪ‪ .‬ﻣﺜﻼً در اﻳﻦ روش ﺳﺮﻋﺖﻫﺎي اﻧﺘﻘﺎل دﻣﺎ از ‪ 10˚C/sec‬ﺗﺎ ‪ 350˚C/sec‬ﺗﻐﻴﻴﺮ ﻣﻲﻛﻨﺪ‬
‫در ﺣﺎﻟﻲ ﻛﻪ در ﭘﺮوﺳﻪ ﻛﻮره ﺳﺮﻋﺖ اﻧﺘﻘﺎل دﻣﺎ در ﺣﺪود ‪ 0/ 1 ˚C/sec‬ﻣﻲﺑﺎﺷﺪ ‪ .‬ﺑﻌﺪ از ﺗﺜﺒﻴﺖ دﻣﺎ‪،‬‬
‫اﻛﺴﻴﮋن ﺑﺮاي واﻛﻨﺶ ﺑﺎ ﺳﻴﻠﻴﻜﻮن و ﺗﺸﻜﻴﻞ ﻻﻳﻪ اﻛﺴﻴﺪ روي ﺳﻄﺢ وﻳﻔﺮ‪ ،‬ﺑﻪ اﺗﺎق ﭘﺮوﺳﻪ ﺟﺮﻳﺎن‬
‫ﻣﻲﻳﺎﺑﺪ‪ .‬ﺑﺮاي ﺑﻪ ﺣﺪ اﻗﻞ رﺳﺎﻧﺪن آﻟﻮدﮔﻲ ﻳﻮﻧﻲ ﻣﺘﺤﺮك و ﻧﻴﺰ ﻛﺎﻫﺶ ﺑﺎر ﺳﻄﺢ ﻣﺸﺘﺮك در ﭘﺮوﺳﻪ‬
‫اﻛﺴﻴﺪاﺳﻴﻮن‪ ،‬از اﺳﻴﺪ ‪ HCL‬اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ .‬ﺑﻌﺪ از رﺷﺪ ﻻﻳﻪ اﻛﺴﻴﺪ‪ ،‬ﺟﺮﻳﺎنﻫﺎي ‪ HCl‬و ‪ ܱଶ‬ﻗﻄﻊ‬
‫ﺷﺪه و ‪ Nଶ‬ﺑﻪ داﺧﻞ اﺗﺎق ﭘﺮوﺳﻪ دﻣﻴﺪه ﻣﻲﺷﻮد‪ .‬دﻣﺎي وﻳﻔﺮ ﺗﺎ ﺣﺪود ‪ 1100 ˚C‬ﺑﺮاي ﮔﺮم ﻛﺮدن ﻳﺎ‬
‫ﭘﺨﺘﻦ ﻻﻳﻪ اﻛﺴﻴﺪ اﻓﺰاﻳﺶ ﻣﻲﻳﺎﺑﺪ‪ .‬اﻳﻦ ﻛﺎر ﻛﻴﻔﻴﺖ ﻻﻳﻪ اﻛﺴﻴﺪ را ﺑﻬﺒﻮد ﻣﻲﺑﺨﺸﺪ و ﺑﺎر ﺳﻄﺢ‬
‫ﻣﺸﺘﺮك را ﻛﺎﻫﺶ ﻣﻲدﻫﺪ‪ .‬ﺑﻌﺪ از اﻳﻦﻛﻪ ﭘﺨﺘﻦ ﺑﻪ ﭘﺎﻳﺎن رﺳﻴﺪ‪ ،‬ﻻﻣﭗ ﮔﺮم ﻛﻨﻨﺪه ﺧﺎﻣﻮش ﻣﻲﺷﻮد و‬
‫وﻳﻔﺮ ﺷﺮوع ﺑﻪ ﺳﺮد ﺷﺪن ﻣﻲﻛﻨﺪ‪.‬‬
‫ﺑﻪ ﻣﻨﻈﻮر اﻳﻦ ﻛﻪ وﻳﻔﺮ ﺑﻪ ﻃﻮر ﻳﻜﻨﻮاﺧﺖ ﮔﺮم ﺷﻮد‪ ،‬ﻻﻣﭗﻫﺎ ﺑﻪ ﻃﻮر ﻋﻤﻮدي در ﺑﺎﻻ و ﭘﺎﻳﻴﻦ وﻳﻔﺮ ﻗﺮار‬
‫ﻣﻲﮔﻴﺮﻧﺪ ‪ .‬دﻣﺎي وﻳﻔﺮ ﺑﻪ وﺳﻴﻠﻪ ﭘﻴﺮوﻣﺘﺮ ﻓﺮوﺳﺮخ ‪ 3‬از ﻗﺴﻤﺖ ﭘﺸﺖ وﻳﻔﺮ اﻧﺪازهﮔﻴﺮي ﻣﻲﺷﻮد‪.‬‬
‫اﻧﺪازهﮔﻴﺮي دﻗﻴﻖ ﺑﺎ اﻳﻦ روش اﺳﺎﺳﺎً ﻣﺸﻜﻞﺗﺮ ﻣﻲﺑﺎﺷﺪ زﻳﺮا اﻧﺮژيﻫﺎي ﺧﻮاﻧﺪه ﺷﺪه ﺗﻮﺳﻂ ﭘﻴﺮوﻣﺘﺮ‬
‫ﺑﻪ ﻣﻴﺰان ﻗﺎﺑﻞ ﺗﻮﺟﻬﻲ ﺑﻪ ﺷﺮاﻳﻂ ﺳﻄﺢ ﻗﺴﻤﺖ ﭘﺸﺖ وﻳﻔﺮ ﺑﺴﺘﮕﻲ دارد‪ .‬ﻫﻤﭽﻨﻴﻦ اﻧﺪازهﮔﻴﺮي دﻗﻴﻖ‬

‫‪1 Rapid Thermal Processing‬‬


‫‪2 Plasma Arc‬‬
‫‪3 Infrared pyrometer‬‬
‫‪135‬‬
‫دﻣﺎ در ﺿﻤﻦ اﻧﺘﻘﺎلﻫﺎي دﻣﺎﻳﻲ ﻣﺸﻜﻞ ﻣﻲﺑﺎﺷﺪ زﻳﺮا ﻣﻲﺗﻮاﻧﺪ در ﻋﺮض ﭼﻨﺪ ﺛﺎﻧﻴﻪ ﺗﻘﺮﻳﺒﺎً ‪1000˚C‬‬
‫ﺗﻐﻴﻴﺮ ﻛﻨﺪ‪.‬‬
‫ﺳﻴﺴﺘﻢﻫﺎي ‪ RTP‬ﺟﺎﻫﺎﻳﻲ ﻛﺎرﺑﺮد دارﻧﺪ ﻛﻪ ﻛﻨﺘﺮل دﻣﺎﻳﻲ و زﻣﺎنﻫﺎي ﻛﻮﺗﺎه ﺑﺮاي اﻧﺠﺎم ﭘﺮوﺳﻪ ﻣﻬﻢ‬
‫و ﺑﺤﺮاﻧﻲ ﻫﺴﺘﻨﺪ‪ .‬وﻗﺘﻲ ﻛﻪ ﻧﻴﺎز ﺑﻪ ﻻﻳﻪﻫﺎي اﻛﺴﻴﺪ ﻧﺎزك دارﻳﻢ از اﻳﻦ روش اﺳﺘﻔﺎده ﻣﻲﻛﻨﻴﻢ‪ .‬ﻻﻳﻪ‪-‬‬
‫ﻫﺎي اﻛﺴﻴﺪ ﺑﺎ ﺿﺨﺎﻣﺖ ‪ 4nm‬ﺗﺎ ‪ 40nm‬ﻣﻲﺗﻮاﻧﻨﺪ در اﻛﺴﻴﮋن ﺧﺎﻟﺺ و در دﻣﺎي ‪ 900˚C‬ﺗﺎ ‪ 1150˚C‬در‬
‫ﻣﺪت ‪ 15‬ﺗﺎ ‪ 180‬ﺛﺎﻧﻴﻪ رﺷﺪ ﻳﺎﺑﻨﺪ‪ .‬ﺑﻪ ﻋﻼوه ﻣﺸﺨﺼﻪﻫﺎي اﻟﻜﺘﺮﻳﻜﻲ اﻳﻦ اﻛﺴﻴﺪﻫﺎ ﻣﻌﺎدل ﻳﺎ ﺑﻬﺘﺮ از‬
‫اﻛﺴﻴﺪﻫﺎﻳﻲ ﻫﺴﺘﻨﺪ ﻛﻪ در ﻛﻮرهﻫﺎي ﻟﻮﻟﻪاي رﺷﺪ ﻳﺎﻓﺘﻪاﻧﺪ‪ .‬ﻻﻳﻪﻫﺎي رﺷﺪ ﻳﺎﻓﺘﻪ ﺑﻪ روش ‪ RTO‬روي‬
‫ﺳﻴﻠﻴﻜﻮنِ ﭘﻠﻲ ﻛﺮﻳﺴﺘﺎل‪ ،‬ﻣﻴﺪانﻫﺎي ﺷﻜﺴﺖ اﻟﻜﺘﺮﻳﻜﻲاي ﻧﺰدﻳﻚ ﺑﻪ اﻛﺴﻴﺪﻫﺎي رﺷﺪ ﻳﺎﻓﺘﻪ روي‬
‫ﺗﻚ ﻛﺮﻳﺴﺘﺎل ﺑﻪ ﻧﻤﺎﻳﺶ ﻣﻲﮔﺬارﻧﺪ‪.‬‬
‫در ﻛﻮرهﻫﺎي ﻟﻮﻟﻪاي ﻣﺮﺳﻮم ﻳﻚ دﺳﺘﻪ وﻳﻔﺮ وارد ﻛﻮره ﻣﻲﺷﻮﻧﺪ و ﻫﻢزﻣﺎن اﻛﺴﻴﺪ ﻣﻲﺷﻮﻧﺪ در‬
‫ﺻﻮرﺗﻲ ﻛﻪ ﺳﻴﺴﺘﻢﻫﺎي ‪ RTP‬ﻣﺎﺷﻴﻦﻫﺎي ﺗﻚ وﻳﻔﺮي ﻫﺴﺘﻨﺪ و ﻓﻘﻂ ﻳﻚ وﻳﻔﺮ در اﺗﺎق ﭘﺮوﺳﻪ ﻗﺮار‬
‫ﻣﻲﮔﻴﺮد‪.‬‬
‫در ﺑﻴﻦ ﻣﺤﺼﻮﻻت ﺗﻮﻟﻴﺪ ﺷﺪه در ﺳﺎل ‪ 2000‬ﻣﻴﻼدي‪ ،‬ﻧﺎزكﺗﺮﻳﻦ اﻛﺴﻴﺪ ﮔﻴﺖ ﻓﻘﻂ ‪ 30 ˚A‬ﺿﺨﺎﻣﺖ‬
‫داﺷﺖ ﻛﻪ ﻛﻨﺘﺮل ﺿﺨﺎﻣ ﺖ‪ ‬اﻛﺴﻴﺪ ﺑﻪ ﻃﻮر دﻗﻴﻖ و ﻫﻤﻴﻦ ﻃﻮر ﻳﻜﻨﻮاﺧﺘﻲِ وﻳﻔﺮ ‪ -‬ﺑﻪ ‪ -‬وﻳﻔﺮ در آن‬
‫ﺿﺨﺎﻣﺖ‪ ‬ﻛﻢ‪ ،‬ﺗﻮﺳﻂ ﻛﻮرهﻫﺎﻳﻲ ﻛﻪ ﻳﻚ دﺳﺘﻪ وﻳﻔﺮ را ﻫﻢزﻣﺎن اﻛﺴﻴﺪ ﻣﻲﻛﻨﻨﺪ‪ ،‬ﻣﺸﻜﻞ ﻣﻲﺑﺎﺷﺪ ‪.‬‬
‫ﺳﻴﺴﺘﻢﻫﺎي ‪ RTP‬ﺗﻚ وﻳﻔﺮي ﺑﺮاي رﺷﺪ اﻳﻦﭼﻨﻴﻦ ﻻﻳﻪﻫﺎي اﻛﺴﻴﺪ ﺑﺎ ﺿﺨﺎﻣﺖ ﺑﺴﻴﺎر ﻧﺎزك و‬
‫ﻛﻴﻔﻴﺖ ﺑﺎﻻ ﻣﺰﻳﺖﻫﺎﻳﻲ دارﻧﺪ ﻛﻪ ﻋﺒﺎرﺗﻨﺪ از ‪:‬‬
‫‪(1‬در اﻛﺴﻴﺪاﺳﻴﻮن ﮔﺮﻣﺎﻳﻲ ﺳﺮﻳﻊ ﻣﻲﺗﻮان ﻳﻜﻨﻮاﺧﺘﻲ دﻣﺎ را در ﺳﺮاﺳﺮ وﻳﻔﺮ ﺑﻪ دﻗﺖ ﻛﻨﺘﺮل ﻛﺮد و‬
‫در ﻧﺘﻴﺠﻪ ﻳﻚ ﻻﻳﻪ اﻛﺴﻴﺪ ﺑﺴﻴﺎر ﻧﺎزك و ﻳﻜﻨﻮاﺧﺖ را رﺷﺪ داد‪.‬‬
‫‪(2‬اﺗﺎق ‪ RTO‬ﻣﻲﺗﻮاﻧﺪ ﺑﺎ ﻳﻚ اﺗﺎق زداﻳﺶ ﺗﻮﺳﻂ ﺑﺨﺎر ‪ ،HF‬در ﻳﻚ ﭘﺮدازﻧﺪه ﻣﺮﻛﺰي ﻣﺠﺘﻤﻊ ﺷﻮد‪.‬‬
‫ﺑﻌﺪ از اﻳﻦ ﻛﻪ ﭘﺮوﺳﻪ زداﻳﺶ ﺗﻮﺳﻂ ﺑﺨﺎر ‪ ،HF‬اﻛﺴﻴﺪ ﺑﻮﻣﻲ)ﻛﻪ ﻧﺎﺧﻮاﺳﺘﻪ روي وﻳﻔﺮ ﺗﺸﻜﻴﻞ ﺷﺪه(‬
‫را از روي ﺳﻄﺢ وﻳﻔﺮ ﺳﻴﻠﻴﻜﻮن ﺑﺮﻣﻲدارد‪ ،‬وﻳﻔﺮ از ﻣﻴﺎن ﻳﻚ اﺗﺎق اﻧﺘﻘﺎل دﻫﻨﺪه ﻛﻪ ﻫﻤﻴﺸﻪ در ﺧﻸ‬
‫ﺑﺎﻻ ﻧﮕﻪ داﺷﺘﻪ ﻣﻲﺷﻮد‪ ،‬ﺑﻪ اﺗﺎق ‪ RTO‬ﻓﺮﺳﺘﺎده ﻣﻲﺷﻮد‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ ﻗﺒﻞ از اﻳﻦ ﻛﻪ وﻳﻔﺮ ﺑﻪ اﺗﺎق ‪RTO‬‬

‫ﺑﺮاي اﻧﺠﺎم ﭘﺮوﺳﻪﻫﺎي ﭘﺎﻛﻴﺰﮔﻲ ﺗﻮﺳﻂ ‪ ،HCL‬اﻛﺴﻴﺪاﺳﻴﻮن و ﭘﺨﺖ ﻓﺮﺳﺘﺎده ﺷﻮد‪ ،‬در ﻣﻌﺮض ﺟﻮ و‬
‫رﻃﻮﺑﺖ ﻗﺮار ﻧﻤﻲﮔﻴﺮد و اﻣﻜﺎن اﻛﺴﻴﺪاﺳﻴﻮن ﻣﺠﺪد ﺳﻄﺢ ﺳﻴﻠﻴﻜﻮن از ﺑﻴﻦ ﻣﻲرود‪.‬‬
‫ﺟﻤﻊﺑﻨﺪي‬

‫‪136‬‬
‫ﻣﺸﻜﻞ ﺗﻮزﻳﻊ ﻧﺎﺧﺎﻟﺼﻲﻫﺎ در ﺣﺠﻢ ‪ Si‬و ﻧﻴﺰ در ﺳﻄﺢ ﻣﺸﺘﺮك ‪ Si‬و ‪ ܱܵ݅ଶ‬ﻣﻨﺠﺮ ﺑﻪ ﺗﻮﺳﻌﻪ روش ‪RTP‬‬

‫ﺷﺪ‬
‫ﺗﻜﻨﻮﻟﻮژي ‪ RTP‬ﺑﺮ اﺳﺎس اﺻﻮل ﺗﺎﺑﺶ ﮔﺮﻣﺎﻳﻲ اﺳﺘﻮار ﺷﺪه اﺳﺖ‬
‫ﺳﺮﻋﺖﻫﺎي اﻧﺘﻘﺎل دﻣﺎ در اﻳﻦ روش در ﻣﺤﺪوده ‪ 10˚C/sec‬ﺗﺎ ‪ 350˚C/sec‬ﻣﻲﺑﺎﺷﺪ‬
‫در اﻳﻦ روش ﺑﻮدﺟﻪ ﮔﺮﻣﺎﻳﻲ ﻛﺎﻫﺶ ﻣﻲﻳﺎﺑﺪ‬
‫ﺑﺮاي ﺗﻬﻴﻪ ﻻﻳﻪﻫﺎي ﺑﺴﻴﺎر ﻧﺎزك ﻣﺜﻞ اﻛﺴﻴﺪ ﮔﻴﺖ‪ ‬ﺗﺮاﻧﺰﻳﺴﺘﻮرﻫﺎي ‪ MOS‬از اﻳﻦ روش اﺳﺘﻔﺎده ﻣﻲ‪-‬‬
‫ﺷﻮد‬
‫ﻣﺸﺨﺼﻪﻫﺎي اﻟﻜﺘﺮﻳﻜﻲ اﻛﺴﻴﺪﻫﺎي ﺗﻮﻟﻴﺪ ﺷﺪه در اﻳﻦ روش ﻣﻌﺎدل ﻳﺎ ﺑﻬﺘﺮ از اﻛﺴﻴﺪﻫﺎي ﺗﻮﻟﻴﺪ‬
‫ﺷﺪه در ﻛﻮره ﻣﻲﺑﺎﺷﺪ‬
‫اﻳﻦ ﺳﻴﺴﺘﻢ ﺑﻪ ﺻﻮرت ﺗﻚ وﻳﻔﺮي ﻛﺎر اﻛﺴﻴﺪ را اﻧﺠﺎم ﻣﻲدﻫﺪ‬
‫ﻣﻨﺒﻊ اﻛﺴﻴﺪ ﻛﻨﻨﺪه در اﻳﻦ روش اﻛﺴﻴﮋن ﺧﺸﻚ ﻣﻲﺑﺎﺷﺪ‬
‫ﻣﺰﻳﺖﻫﺎي اﺻﻠﻲ ﭘﺮوﺳﻪ ﮔﺮﻣﺎﻳﻲ ﺳﺮﻳﻊ‬
‫ﺑﻪ ﺣﺪاﻗﻞ رﺳﺎﻧﺪن ﺗﺤﺮك آﻻﻳﻨﺪهﻫﺎ در ﺳﻴﻠﻴﻜﻮن‬
‫ﻛﺎﻫﺶ آﻟﻮدﮔﻲ ﺑﻪ ﻋﻠﺖ دﻳﻮاره ﺳﺮد‬
‫ﻣﺤﻴﻂ ﺗﻤﻴﺰﺗﺮ ﺑﻪ ﻋﻠﺖ ﺣﺠﻢ اﺗﺎق ﻛﻮﭼﻚﺗﺮ‬
‫زﻣﺎن ﻛﻮﺗﺎهﺗﺮ ﺑﺮاي ﭘﺮدازش وﻳﻔﺮ‬
‫ﻛﺎرﺑﺮدﻫﺎي ‪RTP‬‬

‫ﭘﺨﺘﻦ ﻳﻮنﻫﺎي ﻛﺎﺷﺘﻪ ﺷﺪه ﺑﺮاي از ﺑﻴﻦ ﺑﺮدن ﻧﻘﺺﻫﺎ و ﻓﻌﺎل ﻛﺮدن و ﭘﺨﺶ آﻻﻳﻨﺪهﻫﺎ‬
‫ﻣﺘﺮاﻛﻢ ﻛﺮدن ﻻﻳﻪﻫﺎي ﻧﺸﺎﻧﺪه ﺷﺪه ﻣﺜﻞ ﻻﻳﻪﻫﺎي اﻛﺴﻴﺪ ﻧﺸﺎﻧﺪه ﺷﺪه‬
‫ﭘﺨﺘﻦ ﻻﻳﻪﻫﺎي ﺳﺪ ﻣﺜﻞ ﻧﻴﺘﺮﻳﺪ ﺗﻴﺘﺎﻧﻴﻮم‬
‫ﺗﺸﻜﻴﻞ ‪ Silicide‬ﻣﺜﻞ ‪ܶ݅ܵ݅ଶ‬‬
‫‪Contact alloying‬‬

‫‪ - 2- 1- 6- 5‬اﻛﺴﻴﺪاﺳﻴﻮن ﺗﺤﺖ ﻓﺸﺎر ﺑﺎﻻ‬

‫ﻳﻜﻲ از ﻣﺴﺎﺋﻠﻲ ﻛﻪ در اﻛﺴﻴﺪاﺳﻴﻮن ﺣﺮارﺗﻲ ﺑﻪ ﻋﻠﺖ وﺟﻮد دﻣﺎي ﺑﺎﻻ ﺑﺎ آن ﻣﻮاﺟﻬﻴﻢ‪ ،‬رﺷﺪ‬
‫ﻧﺎﻛﺎﻣﻠﻲﻫﺎ در ﺑﺪﻧﺔ وﻳﻔﺮ ﻣﻲﺑﺎﺷﺪ ‪ .‬رﺷﺪ ﻧﺎﻛﺎﻣﻠﻲﻫﺎ‪ ،‬ﺗﺎﺑﻌﻲ از دﻣﺎ و زﻣﺎﻧﻲ اﺳﺖ ﻛﻪ وﻳﻔﺮﻫﺎ در آن دﻣﺎ‬
‫ﺳﭙﺮي ﻣﻲﻛﻨﻨﺪ‪ .‬اﮔﺮ ﺑﺨﻮاﻫﻴﻢ دﻣﺎي ﻓﺮآﻳﻨﺪ را ﻛﺎﻫﺶ دﻫﻴﻢ‪ ،‬ﺑﺎ اﻓﺰاﻳﺶ ﺑﺴﻴﺎر زﻳﺎد زﻣﺎن ﻣﻮاﺟﻪ‬

‫‪137‬‬
‫ﺧﻮاﻫﻴﻢ ﺑﻮد ‪ .‬ﻳﻜﻲ از راهﺣﻞﻫﺎي ﻣﻮﺟﻮد ﺑﺮاي ﻛﺎﻫﺶ دﻣﺎ ﺑﺪون ﻛﺎﻫﺶ ﺳﺮﻋﺖ ﻓﺮآﻳﻨﺪ‪ ،‬اﻓﺰاﻳﺶ‬
‫ﻓﺸﺎر ﮔﺎز اﻛﺴﻴﮋن درون ﻣﺤﻔﻈﻪ ﻣﻲﺑﺎﺷﺪ ‪ ).‬ﺷﻜﻞ ‪ .(2- 10‬ﺑﺮ اﺳﺎس ﻳﻚ ﻗﺎﻋﺪة ﺗﻘﺮﻳﺒﻲ‪ ،‬ﺑﻪ ازاي‬
‫اﻓﺰاﻳﺶ ﻫﺮ اﺗﻤﺴﻔﺮ ﻓﺸﺎر‪ ،‬دﻣﺎ را ﻣﻲﺗﻮان ﺗﺎ ‪ 30‬درﺟﻪ ﻛﺎﻫﺶ داد‪ .‬در ﭼﻨﻴﻦ ﻓﺮآﻳﻨﺪﻫﺎﻳﻲ‪ ،‬ﻣﻌﻤﻮﻻً‬
‫ﻓﺸﺎر را ‪ 10‬ﺗﺎ ‪ 15‬اﺗﻤﺴﻔﺮ اﻓﺰاﻳﺶ ﻣﻲدﻫﻨﺪ ﻛﻪ ﺑﺎ اﻋﻤﺎل ﭼﻨﻴﻦ ﻓﺸﺎري‪ ،‬ﻣﻲﺗﻮان دﻣﺎ را ‪ 300‬ﺗﺎ ‪750‬‬
‫درﺟﻪ ﻛﺎﻫﺶ داد)ﺷﻜﻞ ‪ .(2- 11‬اﻧﺘﺨﺎب دﻳﮕﺮ آن اﺳﺖ ﻛﻪ دﻣﺎ را ﺛﺎﺑﺖ ﻧﮕﺎه دارﻳﻢ و زﻣﺎن را ﻛﺎﻫﺶ‬
‫دﻫﻴﻢ ‪.‬اﻣﺎ ﺑﻪ دﻟﻴﻞ وﺟﻮد ﻓﺸﺎر ﺑﺎﻻ در درون ﻣﺤﻔﻈﻪ‪ ،‬ﺑﺎﻳﺪ ﻣﺤﻔﻈﻪ واﻛﻨﺶ را ﺗﻮﺳﻂ ﻳﻚ ﺑﺪﻧﻪ ﻓﻠﺰي‬
‫ﻛﻪ ﺗﻮاﻧﺎﻳﻲ ﺗﺤﻤﻞ اﺧﺘﻼف ﻓﺸﺎر ﻣﺬﻛﻮر را داﺷﺘﻪ ﺑﺎﺷﺪ ﻣﺤﺎﻓﻈﺖ ﻛﻨﻴﻢ‪.‬از ﻛﺎرﺑﺮدﻫﺎي اﻳﻦ روش‬
‫ﻣﻲﺗﻮان ﺑﻪ رﺷﺪ ﻻﻳﻪﻫﺎي ﻧﺎزك ﺑﺮ روي ﮔﻴﺖ ‪ MOS‬اﺷﺎره ﻛﺮد ‪.‬‬

‫ﺷﻜﻞ ‪ (2- 13‬اﻛﺴﻴﺪاﺳﻴﻮن ﺗﺤﺖ ﻓﺸﺎر ﺑﺎﻻ‬

‫‪138‬‬
‫ﺷﻜﻞ ‪ (2- 14‬ﻣﻨﺤﻨﻲ ارﺗﺒﺎط دﻣﺎ و ﻓﺸﺎر‬
‫اﻛﺴﻴﺪاﺳﻴﻮن‬

‫ﻣﺰﻳﺖﻫﺎي اﻛﺴﻴﺪاﺳﻴﻮن در ﻓﺸﺎر ﺑﺎﻻ‬


‫ ﻛﺎﻫﺶ دﻣﺎي ﭘﺮوﺳﻪ ﺑﺎ اﻓﺰاﻳﺶ ﻓﺸﺎر‬
‫ ﻛﺎﻫﺶ زﻣﺎن اﻛﺴﻴﺪاﺳﻴﻮن ﺑﺎ ﺣﻔﻆ دﻣﺎي ﻣﻌﻴﻦِ ﭘﺮوﺳﻪ‬
‫ اﺳﺘﻘﺎﻣﺖ دي اﻟﻜﺘﺮﻳﻚ ﺑﺎﻻﺗﺮ ﻧﺴﺒﺖ ﺑﻪ اﻛﺴﻴﺪﻫﺎي رﺷﺪ ﻳﺎﻓﺘﻪ در ﻓﺸﺎر اﺗﻤﺴﻔﺮ‬
‫ رﺷﺪ اﻛﺴﻴﺪﻫﺎي ﺑﺎ ﻛﻴﻔﻴﺖ ﺑﺎﻻﺗﺮ‬
‫ ﻛﺎﻫﺶ ﻧﻘﺺﻫﺎي ‪ stacking‬اﻟﻘﺎ ﺷﺪه ﺗﻮﺳﻂ اﻛﺴﻴﺪاﺳﻴﻮن ‪1‬‬

‫‪1 Oxidation-induced stacking faults‬‬


‫‪139‬‬
‫ﺟﻤﻊﺑﻨﺪي‬
‫• روش اﻛﺴﻴﺪاﺳﻴﻮن در ﻓﺸﺎر ﺑﺎﻻ ﺑﺮاي ﻧﻴﻞ ﺑﻪ اﻫﺪاف زﻳﺮ ﭘﺪﻳﺪ آﻣﺪ ‪:‬‬
‫‪ o‬ﻛﺎﻫﺶ ﺑﻮدﺟﻪ ﮔﺮﻣﺎﻳﻲ‬
‫‪ o‬ﻛﺎﻫﺶ ‪dislocation‬‬
‫‪ o‬ﻛﺎﻫﺶ ﻧﻘﺺﻫﺎي اﻛﺴﻴﺪ‬
‫• در اﻳﻦ روش اﻛﺴﻴﺪ ﻛﻨﻨﺪه در ﻓﺸﺎر ﺑﺎﻻي ‪ 10‬ﺗﺎ ‪ 25‬اﺗﻤﺴﻔﺮ ﺑﻪ ﻟﻮﻟﻪ ﭘﻤﭗ ﻣﻲﺷﻮد‬
‫• در اﻳﻦ روش دﻣﺎ از ‪ 300˚C‬ﺗﺎ ‪ 750˚C‬اﻓﺖ ﻣﻲﻛﻨﺪ ﻛﻪ ﺑﺮاي رﻓﻊ ﻧﻮاﻗﺺ ﻛﺎﻓﻲ اﺳﺖ‬
‫در ﻧﻬﺎﻳﺖ ﭘﻴﺮاﻣﻮن ﻣﻌﺎﻳﺐ اﻳﻦ روش ﺑﺎﻳﺪ ﮔﻔﺖ در اﻳﻦ ﺳﻴﺴﺘﻢﻫﺎ ﺑﻪ واﺳﻄﻪ وﺟﻮد ﭘﻤﭗﻫﺎ و‬
‫ﻣﻼﺣﻈﺎﺗﻲ ﻛﻪ ﺑﺮاي ﺗﺄﻣﻴﻦ ﻓﺸﺎر ﺑﺎﻻ ﻣﻮرد ﺗﻮﺟﻪ اﺳﺖ‪ ،‬اﻣﻜﺎن آﻟﻮدﮔﻲ ﺳﻴﺴﺘﻢ وﺟﻮد دارد‪ .‬ﻣﻮرد‬
‫دﻳﮕﺮ ﭘﻴﭽﻴﺪﮔﻲ ﺳﺨﺖاﻓﺰاري اﻳﻦ روش اﺳﺖ ﻛﻪ ﻣﻮﺟﺐ ﻣﻲﺷﻮد اﻳﻦ روش در ﺳﺎﺧﺖ ﻧﻴﻤﻪﻫﺎدي‪-‬‬
‫ﻫﺎي ﭘﻴﺸﺮﻓﺘﻪ ﻣﻮرد اﺳﺘﻘﺒﺎل ﻗﺮار ﻧﮕﻴﺮد‪.‬‬
‫ﭘﺲ از ﺑﺮرﺳﻲ ﭘﺮوﺳﻪﻫﺎي ﻣﺨﺘﻠﻒ در اﻛﺴﻴﺪاﺳﻴﻮن ﮔﺮﻣﺎﻳﻲ‪ ،‬ﻧﻮ ﺑﺖ ﺑﻪ ﭘﺮوﺳﻪ اﻛﺴﻴﺪاﺳﻴﻮن ﭘﻼﺳﻤﺎ‬
‫ﻣﻲرﺳﺪ ‪.‬‬

‫‪ - 2- 6- 5‬اﻛﺴﻴﺪاﺳﻴﻮن ﺑﻪ روش ﭘﻼﺳﻤﺎ‬

‫اﻛﺴﻴﺪاﺳﻴﻮن ﭘﻼﺳﻤﺎ‪ ،‬ﻗﺎﺑﻠﻴﺖ رﺷﺪ اﻛﺴﻴﺪﻫﺎي ﺑﺎ ﻛﻴﻔﻴﺖﻫﺎي ﺑﺎﻻ و ﺣﺘﻲ ﺑﺎ دﻣﺎﻳﻲ ﭘﺎﻳﻴﻦﺗﺮ‬
‫از اﻛﺴﻴﺪاﺳﻴﻮن ﻓﺸﺎر ﺑﺎﻻ را ﻓﺮاﻫﻢ ﻣﻲﻛﻨﺪ‪ .‬در ﻧﺘﻴﺠﻪ ‪ ،‬اﻳﻦ روش ﺗﻤﺎم ﻣﺰاﻳﺎي دﻣﺎي ﭘﺎﻳﻴﻦ را دارا‬
‫ﻣﻲﺑﺎﺷﺪ‪ .‬اﺳﺎس ﻛﺎر اﻳﻦ روش آن اﺳﺖ ﻛﻪ ﺑﺎ ﻗﺮار ﮔﺮﻓﺘﻦ وﻳﻔﺮ در ﻣﺤﺪودهاي ﻛﻪ ﭼﮕﺎﻟﻲ ﭘﻼﺳﻤﺎ‬
‫ﻳﻜﻨﻮاﺧﺖ اﺳﺖ وﻧﻴﺰ اﻋﻤﺎل وﻟﺘﺎژ ﻣﺜﺒﺖ ﺑﻪ آن‪ ،‬ذرات اﻛﺴﻴﮋن ﺑﺎردار ﻓﻌﺎل‪ ،‬ﺟﺬب وﻳﻔﺮ ﻣﻲﮔﺮدﻧﺪ و‬
‫ﻻﻳﻪاي از اﻛﺴﻴﺪ ﺑﺮ روي ﺳﻄﺢ آن ﺷﻜﻞ دﻫﻨﺪ )ﺷﻜﻞ ‪ .(2- 12‬ﻧﻤﻮدار ﻣﻘﺎﻳﺴﻪ اﻛﺴﻴﺪاﺳﻴﻮن ﺑﻪ روش‬
‫ﭘﻼﺳﻤﺎ و اﻛﺴﻴﺪاﺳﻴﻮن ﺣﺮارﺗﻲ‪ ،‬ﺑﻪ وﺿﻮح ﻧﺸﺎن ﻣﻲدﻫﺪ ﻛﻪ روش ﭘﻼﺳﻤﺎ‪ ،‬ﺑﺮاي ﺗﻮﻟﻴﺪ ﻻﻳﻪ اﻛﺴﻴﺪ‬
‫ﻳﻜﺴﺎن‪ ،‬ﺑﻪ زﻣﺎن ﻛﻢﺗﺮي ﻧﻴﺎز دارد)ﺷﻜﻞ ‪.(2- 13‬‬

‫‪140‬‬
‫ﺷﻜﻞ ‪ (2- 15‬اﻛﺴﻴﺪاﺳﻴﻮن ﺑﻪ روش ﭘﻼﺳﻤﺎ‬

‫ﺷﻜﻞ ‪ (2- 16‬ﻣﻘﺎﻳﺴﻪ اﻛﺴﻴﺪاﺳﻴﻮن ﺑﻪ روش ﭘﻼﺳﻤﺎ و اﻛﺴﻴﺪاﺳﻴﻮن ﺣﺮارﺗﻲ‬

‫ﻫﻤﺎنﻃﻮر ﻛﻪ از ﺷﻜﻞ ﻓﻮق ﺑﺮﻣﻲآﻳﺪ‪ ،‬ﻣﺪت زﻣﺎن ﻻزم ﺑﺮاي ﺗﻮﻟﻴﺪ ﻳﻚ ﻻﻳﻪ اﻛﺴﻴﺪ ﺑﻪ‬
‫ﺿﺨﺎﻣﺖ ‪ ،120nm‬ﺑﻪ روش ﭘﻼﺳﻤﺎ‪ 20 ،‬دﻗﻴﻘﻪ ﻃﻮل ﻣﻲﻛﺸﺪ‪ ،‬در ﺣﺎﻟﻴﻜﻪ در روش اﻛﺴﻴﺪاﺳﻴﻮن‬
‫ﺣﺮارﺗﻲ ‪ 60 ،‬دﻗﻴﻘﻪ زﻣﺎن ﺧﻮاﻫﺪ ﺑﺮد‪.‬‬

‫‪141‬‬
:‫ ﺑﻪ ﺳﻪ روش زﻳﺮ اﻧﺠﺎم ﻣﻲﺷﻮد‬،‫اﻛﺴﻴﺪاﺳﻴﻮن ﺑﻪ روش ﭘﻼﺳﻤﺎ‬
a) DC glow discharge plasma
b) RF capacitively coupled plasma
c) Inductively (RF or Microwaves) plasma

‫( روشﻫﺎي اﻛﺴﻴﺪاﺳﻴﻮن ﺑﻪ روش ﭘﻼﺳﻤﺎ‬2- 17 ‫ﺷﻜﻞ‬

142
‫ﺟﻤﻊﺑﻨﺪي‬
‫• ﺳﺮﻋﺖ اﻛﺴﻴﺪاﺳﻴﻮن را در دﻣﺎﻫﺎي ﭘﺎﻳﻴﻦ اﻓﺰاﻳﺶ ﻣﻲدﻫﺪ‬
‫• ﻣﺤﻴﻂ ﭘﻼﺳﻤﺎ ﺷﺎﻣﻞ اﻛﺴﻴﮋن ﻳﻮﻧﻴﺰه‪ ،‬اﻟﻜﺘﺮونﻫﺎ و اﺗﻢﻫﺎي اﻛﺴﻴﮋن ﺑﺮاﻧﮕﻴﺨﺘﻪ ﻣﻲﺑﺎﺷﺪ‬

‫‪- 3- 6- 5‬اﻛﺴﻴﺪاﺳﻴﻮن ﺷﻴﻤﻴﺎﻳﻲ‬

‫ﻳﻜﻲ از روشﻫﺎي ﻣﻮﺟﻮد ﺑﺮاي رﺷﺪ ﻻﻳﻪ اﻛﺴﻴﺪ ﺑﺮ روي ﺳﻄﺢ وﻳﻔﺮ‪ ،‬روش اﻛﺴﻴﺪاﺳﻴﻮن ﺷﻴﻤﻴﺎﻳﻲ‬
‫اﺳﺖ ‪ .‬در اﻳﻦ روش‪ ،‬وﻳﻔﺮ ﺳﻴﻠﻴﺴﻴﻢ ﺑﻪ ﻫﻤﺮاه ﻳﻚ اﻟﻜﺘﺮود دﻳﮕﺮ در داﺧﻞ ﻣﺤﻠﻮل اﻟﻜﺘﺮوﻟﻴﺖ ‪KOH‬‬

‫ﻳﺎ‪ KNO3‬ﻗﺮار داده ﻣﻲﺷﻮد‪ .‬وﻳﻔﺮ ﺳﻴﻠﻴﺴﻴﻢ ﺑﻪ ﻋﻨﻮان آﻧﺪ ﺑﻪ ﻗﻄﺐ ﻣﺜﺒﺖ و اﻟﻜﺘﺮود دﻳﮕﺮ ﺑﻪ ﻗﻄﺐ‬
‫ﻣﻨﻔﻲ‪ ،‬ﻣﺘﺼﻞ ﻣﻲﮔﺮدد) ﺷﻜﻞ ‪ .(2- 16‬ﺑﺎ ﻋﺒﻮر ﺟﺮﻳﺎن از ﻣ ﻴﺎن دو اﻟﻜﺘﺮود‪ ،‬اﻛﺴﻴﮋن در اﻃﺮاف آﻧﺪ‬
‫ﺟﻤﻊ ﺷﺪه و ‪ SiO2‬روي ﺳﻄﺢ وﻳﻔﺮ ﺷﻜﻞ ﻣﻲﮔﻴﺮد‪ .‬ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ آﻧﻜﻪ در اﻳﻦ روش‪ ،‬وﻳﻔﺮ ﺳﻴﻠﻴﺴﻴﻢ ﺑﻪ‬
‫آﻧﺪ ﻣﺘﺼﻞ اﺳﺖ‪ ،‬ﺑﻪ اﻳﻦ روش‪ ،‬اﻛﺴﻴﺪاﺳﻴﻮن آﻧﺪي ﮔﻔﺘﻪ ﻣﻲﺷﻮد‪.‬‬

‫ﺷﻜﻞ ‪ (2- 18‬اﻛﺴﻴﺪاﺳﻴﻮن ﺷﻴﻤﻴﺎﻳﻲ‬

‫از وﻳﮋﮔﻲﻫﺎي اﻳﻦ روش آن اﺳﺖ ﻛﻪ ﺑﺮﺧﻼف روش اﻛﺴﻴﺪاﺳﻴﻮن ﺣﺮارﺗﻲ‪ ،‬ﭘﺲ از ﺗﺸﻜﻴﻞ ﻻﻳﻪ‬
‫اﻛﺴﻴﺪ‪ ،‬ﺗﺮاﻛﻢ ﻧﺎﺧﺎﻟﺼﻲ ﺑﺮ روي ﺳﻄﺢ وﻳﻔﺮ ﺗﻐﻴﻴﺮ ﻧﻤﻲﻛﻨﺪ‪ .‬در ﻧﺘﻴﺠﻪ اﻳﻦ روش ﺑﺮاي ﺑﻪ دﺳﺖ‬
‫آوردن ﭘﺮوﻓﻴﻞ ﻧﺎﺧﺎﻟﺼﻲ ﻻﻳﻪﻫﺎي آﻻﻳﺶ ﺷﺪه ﻧﻴﺰ ﻗﺎﺑﻞ اﺳﺘﻔﺎده ﻣﻲﺑﺎﺷﺪ ‪.‬‬

‫‪143‬‬
‫‪ -7-5‬ﻋﻮاﻣﻞ ﻣﺆﺛﺮ ﺑﺮ اﻛﺴﻴﺪاﺳﻴﻮن‬
‫ﻧﻮع ﻓﺮآﻳﻨﺪ اﻛﺴﻴﺪاﺳﻴﻮن )ﺧﺸﻚ ﻳﺎ ﻣﺮﻃﻮب( ‪ ،‬ﺟﻬﺎت ﻛﺮﻳﺴﺘﺎﻟﻲ وﻳﻔﺮ‪ ،‬ﻧﺎﺧﺎﻟﺼﻲ وﻳﻔﺮ و ﻫﺎﻟﻮژنﻫﺎ‬
‫ازﺟﻤﻠﻪ ﻣﻮاردي ﻫﺴﺘﻨﺪ ﻛﻪ ﺑﺮ ﺳﺮﻋﺖ اﻛﺴﻴﺪاﺳﻴﻮن ﺗﺄﺛﻴﺮﮔﺬار ﻣﻲﺑﺎﺷﻨﺪ‪ .‬در ﻗﺴﻤﺖ ﻣﻜﺎﻧﻴﺰم‬
‫اﻛﺴﻴﺪاﺳﻴﻮن ﺗﺄﺛﻴﺮ رﻃﻮﺑﺖ ﺑﺮ اﻛﺴﻴﺪاﺳﻴﻮن را ﺑﺮرﺳﻲ ﻛﺮدﻳﻢ‪ .‬در اﻳﻦ ﻗﺴﻤﺖ ﺑﻪ ﺗﺄﺛﻴﺮ ﻋﻮاﻣﻞ دﻳﮕﺮ‬
‫ﺑﺮ روﻧﺪ اﻛﺴﺎﻳﺶ ﺧﻮاﻫﻴﻢ ﭘﺮداﺧﺖ‪.‬‬

‫‪ - 1- 7- 5‬ﺟﻬﺎت ﻛﺮﻳﺴﺘﺎﻟﻲ وﻳﻔﺮ‬

‫ﺟﻬﺖ ﺑﻠﻮر وﻳﻔﺮ در ﺳﺮﻋﺖ اﻛﺴﻴﺪاﺳﻴﻮن ﻣﺆﺛﺮ اﺳﺖ‪ Ks .‬ﺛﺎﺑﺖ واﻛﻨﺶ ﺳﻄﺤﻲ ﺑﻪ ﺟﻬﺎت ﻛﺮﻳﺴﺘﺎﻟﻲ‬
‫وﻳﻔﺮ ﺑﺴﺘﮕﻲ دارد‪ Ks .‬ﺗﻌﺪاد اﺗﻢﻫﺎي آﻣﺎده ﺑﺮاي ﺗﺸﻜﻴﻞ ﭘﻴﻮﻧﺪ را ﻣﺸﺨﺺ ﻣﻲﻛﻨﺪ و ﺟﻬﺎت‬
‫ﻛﺮﻳﺴﺘﺎﻟﻲ در ﺗﻌﺪاد اﻳﻦ اﺗﻢﻫﺎ ﻣ ﺆﺛﺮ اﺳﺖ‪ .‬ﺳﺮﻋﺖ رﺷﺪ اﻛﺴﻴﺪ در وﻳﻔﺮﻫﺎي ﺑﺎ ﺟﻬﺖ ﻛﺮﻳﺴﺘﺎﻟﻲ>‬
‫‪ <111‬از ﺳﺮﻋﺖ رﺷﺪ در وﻳﻔﺮﻫﺎي ﺑﺎ ﺟﻬﺖ >‪ < 100‬ﺑﻴﺸﺘﺮ اﺳﺖ‪.‬‬

‫‪ - 2- 7- 5‬اﺛﺮ ﻧﺎﺧﺎﻟﺼﻲﻫﺎي وﻳﻔﺮ‬

‫ﻫﻤﺎنﻃﻮر ﻛﻪ ﮔﻔﺘﻪ ﺷﺪ ‪ ،‬در ﻃﻲ ﻋﻤﻞ اﻛﺴﻴﺪاﺳﻴﻮن‪ ،‬ﻻﻳﻪ اﻛﺴﻴﺪ درون وﻳﻔﺮ ﻧﻔﻮذ ﻣﻲﻛﻨﺪ‪ .‬در اﻳﻨﺠﺎ‬
‫ﺳﺆاﻟﻲ ﻣﻄﺮح ﻣﻲﺷﻮد ﻛﻪ در ﻃﻲ ﻋﻤﻞ اﻛﺴﻴﺪاﺳﻴﻮن ﭼﻪ اﺗﻔﺎﻗﻲ ﺑﺮاي ﻧﺎﺧﺎﻟﺼﻲﻫﺎي روي ﺳﻄﺢ وﻳﻔﺮ‬
‫ﻣﻲاﻓﺘﺪ؟ ﭘﺎﺳﺦ اﻳﻦ ﺳﺆال ﺑﺴﺘﮕﻲ ﺑﻪ ﻧﻮع ﻧﺎﺧﺎﻟﺼﻲ دارد‪ .‬اﮔﺮ ﻧﺎﺧﺎﻟﺼﻲ ﻓﺴﻔﺮ‪ ،‬آرﺳﻨﻴﻚ ﻳﺎ آﻧﺘﻴﻤﻮان‬
‫ﺑﺎﺷﺪ )وﻳﻔﺮ ‪ n-type‬ﺑﺎﺷﺪ( ‪ ،‬ﭼﻮن ﻗﺎﺑﻠﻴﺖ اﻧﺤﻼل اﻳﻦ ﻧﺎﺧﺎﻟﺼﻲﻫﺎ در ﺳﻴﻠﻴﻜﻮن ﺑﻴﺸﺘﺮ از ﻻﻳﻪ اﻛﺴﻴﺪ‬
‫ﻣﻲﺑﺎﺷﺪ‪ ،‬ﻟﺬا ﺳﻄﺢ واﺳﻂ ‪ Si-Si02‬ﻣﺎﻧﻨﺪ ﻳﻚ ﺑﺮفروب ﻧﺎﺧﺎﻟﺼﻲﻫﺎ را ﺑﻪ ﺳﻤﺖ وﻳﻔﺮ ﻣﻲﻛﺸﺎﻧﺪ و ﻳﻚ‬
‫اﻧﺒﺎﺷﺘﮕﻲ در ﺳﻄﺢ ﺳﻴﻠﻴﻜﻮن ﺑﻌﺪ از اﻛﺴﻴﺪاﺳﻴﻮن ﺑﻪ وﺟﻮد ﻣﻲآﻳﺪ‪.‬‬
‫اﮔﺮ ﻧﺎﺧﺎﻟﺼﻲﻫﺎ ‪ p-type‬ﺑﺎﺷﻨﺪ ‪ ،‬ﻣﺎﻧﻨﺪ ﺑﺮن و آﻟﻮﻣﻴﻨﻴﻢ‪ ،‬از آﻧﺠﺎ ﻛﻪ ﻗﺎﺑﻠﻴﺖ اﻧﺤﻼل آنﻫﺎ در ﻻﻳﻪ اﻛﺴﻴﺪ‬
‫ﺑﻴﺸﺘﺮ از ﺳﻴﻠﻴﻜﻮن اﺳﺖ‪ ،‬ﻟﺬا ﻧﺎﺧﺎﻟﺼﻲﻫﺎ ﺑﻪ ﺳﻤﺖ ‪ SiO2‬ﻛﺸﻴﺪه ﺷﺪه و ﺑﻌﺪ از اﻛﺴﻴﺪاﺳﻴﻮن ﻳﻚ‬
‫ﻧﺎﺣﻴﻪ ﺗﺨﻠﻴﻪ در ﺳﻄﺢ ﺳﻴﻠ ﻴﻜﻮن ﺑﻪ وﺟﻮد ﻣﻲآﻳﺪ‪ .‬اﻳﻦ اﺛﺮ اﻧﺒﺎﺷﺘﮕﻲ و ﺗﺨﻠﻴﻪاي ﺑﺎﻋﺚ ﺗﻐﻴﻴﺮات‬
‫ﻣﻬﻤﻲ در ﺧﻮاص اﻟﻜﺘﺮﻳﻜﻲ اﻟﻤﺎنﻫﺎ ﻣﻲ ﺷﻮد‪.‬‬

‫‪144‬‬
‫ﻫﻢﭼﻨﻴﻦ ﻣﻴﺰان ﻧﺎﺧﺎﻟﺼﻲﻫﺎي ﻣﻮﺟﻮد در ﻳﻚ وﻳﻔﺮ‪ ،‬ﺑﺮ ﻧﺮخ رﺷﺪ ﻻﻳﻪ اﻛﺴﻴﺪ ﺗﺄﺛﻴﺮ ﻣﺴﺘﻘﻴﻢ‬
‫ﻣﻲﮔﺬارد‪ .‬ﻫﻤﺎن ﻃﻮري ﻛﻪ در ﺷﻜﻞ )‪ (2- 17‬ﻣﺸﺎﻫﺪه ﻣﻲﻛﻨﻴﺪ‪ ،‬ﺑﺎ اﻓﺰاﻳﺶ ﻣﻴﺰان ‪P-deposition‬‬

‫ﺳﺮﻋﺖ اﻛﺴﻴﺪاﺳﻴﻮن اﻓﺰاﻳﺶ ﭘﻴﺪا ﻣﻲﻛﻨﺪ‪.‬‬

‫ﺷﻜﻞ ‪ (2- 19‬ﺿﺨﺎﻣﺖ ﻻﻳﻪ اﻛﺴﻴﺪ ﺑﻪ ازاي ‪ P-predeposition‬ﻫﺎي ﻣﺨﺘﻠﻒ در‬


‫‪ 700‬درﺟﻪ ﺳﺎﻧﺘﻲﮔﺮاد‬
‫‪ 3- 7- 2- 3- 7- 5‬اﺛﺮ ﻫﺎﻟﻮژنﻫﺎ‬

‫ﺑﻄﻮرﻛﻠﻲ ﻫﺎﻟﻮژنﻫﺎ ﺳﺒﺐ ﺑﻬﺒﻮد ﻛﻴﻔﻴﺖ اﻛﺴﻴﺪ ﻣﻲﺷﻮﻧﺪ‪ .‬ﻫﺎﻟﻮژنﻫﺎ ﺑﺎﻋﺚ اﻓﺰاﻳﺶ ﻣﻘﺎوﻣﺖ اﻛﺴﻴﺪ‬
‫در ﺑﺮاﺑﺮ ﭘﺪﻳﺪه ﺷﻜﺴﺖ ﺷﺪه و ﻧﻴﺰ ﺑﺎﻋﺚ ﻛﺎﻫﺶ آﻟﻮدﮔﻲﻫﺎي ﻧﺎﺷﻲ از ﻳﻮن ﺳﺪﻳﻢ ﻣﻲﮔﺮدﻧﺪ‪ .‬از اﻳﻦ‬
‫رو ﻫﺎﻟﻮژنﻫﺎ را وارد ﻣﺤﻴﻂ اﻛﺴﻴﺪاﺳﻴﻮن ﻣﻲ ﻛﻨﻨﺪ‪ .‬اﮔﺮ ﺑﺨﻮاﻫﻴﻢ ﻛﻠﺮ ﺑﻪ ﻣﺤﻴﻂ وارد ﻛﻨﻴﻢ‪ ،‬از‬
‫ﺗﺮﻛﻴﺒﺎﺗﻲ ﻣﺜﻞ ﮔﺎز ﻛﻠﺮ ‪ Cl2‬اﺳﻴﺪ ﻛﻠﺮﻳﺪرﻳﻚ ‪ HCl‬ﺗﺮيﻛﻠﺮواﺗﻴﻠﻦ ‪ C3HCl2‬و ﺗﺮي ﻛﻠﺮواﺗﺎن ‪C2H3Cl3‬‬

‫اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ .‬ﻫﻢﭼﻨﻴﻦ اﺿﺎﻓﻪ ﻛﺮدن ﻣﺨﻠﻮط ﻓﻠﻮﺋﻮرﻛﻠﺮ ﺑﻪ ﻣﺤﻴﻂ اﻛﺴﻴﺪاﺳﻴﻮن ﺑﺎﻋﺚ اﻓﺰاﻳﺶ‬
‫ﻧﺮخ رﺷﺪ اﻛﺴﻴﺪاﺳﻴﻮن ﻣﻲﮔﺮدد‪ .‬ﺑﻄﻮريﻛﻪ اﮔﺮ ﺣﺠﻢ ‪ C2H3Cl2F‬در اﻛﺴﻴﮋن ﺧﺸﻚ ‪ %55‬ﺑﺎﺷﺪ‪،‬‬
‫ﺿﺨﺎﻣﺖ اﻛﺴﻴﺪ در واﺣﺪ زﻣﺎن ‪ 5‬ﺑﺮاﺑﺮ ﺑﻴﺸﺘﺮ از ﻣﺤﻴﻂ اﻛﺴﻴﮋن ﺧﺎﻟﺺ ﻣﻲﺑﺎﺷﺪ ‪ .‬ﺣﻀﻮر ﻫﺎﻟﻮژنﻫﺎ‬
‫ﻣﻌﺎﻳﺒﻲ ﻧﻴﺰ دارد ﻛﻪ ازﺟﻤﻠﻪ ﻣﻲﺗﻮان ﺑﻪ ﺧﻮردﮔﻲ ﻗﺴﻤﺖﻫﺎي ﻓﻠﺰي ﺳﻴﺴﺘﻢ اﺷﺎره ﻛﺮد‪ .‬ﻫﻤﭽﻨﻴﻦ‬
‫ﺗﺮاﻛﻢ زﻳﺎد ﻫﺎﻟﻮژنﻫﺎ در دﻣﺎي ﺑﺎﻻ ﺑﺎﻋﺚ ﺧﻮردﮔﻲ ﺳﻄﺢ ﺳﻴﻠﻴﻜﻮن ﻣﻲ ﺷﻮد‪.‬‬

‫‪145‬‬
‫‪SiO2‬‬ ‫‪ -8-5‬ﺗﺴﺖ‬
‫ﭘﺲ از رﺷﺪ اﻛﺴﻴﺪ ﺑﺮاي اﻃﻤﻴﻨﺎن از ﺣﺼﻮل ﻻﻳﻪ ﻣﻨﺎﺳﺐ ﺗﺴﺖﻫﺎﻳﻲ ﺑﺮ روي اﻳﻦ ﻻﻳﻪ اﻧﺠﺎم ﻣﻲﺷﻮد‬
‫و وﻳﮋﮔﻲﻫﺎي آن ﻣﻮرد آزﻣﺎﻳﺶ ﻗﺮار ﻣﻲﮔﻴﺮد‪ .‬ﺑﺮﺧﻲ از اﻳﻦ ﺗﺴﺖﻫﺎ ﻋﺒﺎرﺗﻨﺪ از‪:‬‬

‫‪ - 1- 8- 5‬ﺑﺎزدﻳﺪ ﺳﻄﺢ )آﻟﻮدﮔﻲﻫﺎي ﻓﻴﺰﻳﻜﻲ(‬

‫ﭘﺲ از ﺧﺮوج وﻳﻔﺮﻫﺎ از درون ﻛﻮره ﺑﺮاي ﺗﺴﺖ ﺗﻤﻴﺰي اﻛﺴﻴﺪ ﻳﻚ ﺑﺎزدﻳﺪ ﺳﺮﻳﻊ ﺗﻮﺳﻂ اﭘﺮاﺗﻮر‬
‫اﻧﺠﺎم ﻣﻲﮔ ﻴﺮد‪ .‬اﻳﻦ ﺑﺎزدﻳﺪ ﺗﺤﺖ ﻧﻮر ﺷﺪﻳﺪ ﻣﺎورا ﺑﻨﻔﺶ ﺻﻮرت ﻣﻲﮔﻴﺮد‪ .‬در اﻳﻦ ﻣﺮﺣﻠﻪ ذرات‬
‫ﻣﻮﺟﻮد ﺑﺮ روي ﺳﻄﺢ‪ ،‬ﺑﻲ ﻗﺎﻋﺪﮔﻲ در اﻛﺴﻴﺪ رﺷﺪ ﻳﺎﻓﺘﻪ و ﺑﺮﺧﻲ از آﻟﻮدﮔﻲﻫﺎ آﺷﻜﺎر ﻣﻲﺷﻮﻧﺪ‪.‬‬

‫‪ - 2- 8- 5‬ﺿﺨﺎﻣﺖ اﻛﺴﻴﺪ‬

‫ﺿﺨﺎﻣﺖ اﻛﺴﻴﺪ ﻳﻜﻲ از ﭘﺎراﻣﺘﺮﻫﺎي ﻣﻬﻢ اﻳﻦ ﻻﻳﻪ ﻣﻲﺑﺎﺷﺪ‪ .‬اﻳﻦ ﭘﺎراﻣﺘﺮ ﺑﺎ روشﻫﺎي ﻣﺨﺘﻠﻔﻲ اﻧﺪازه‪-‬‬
‫ﮔﻴﺮي ﻣﻲﺷﻮد‪ .‬از ﻣﻬﻢﺗﺮﻳﻦ اﻳﻦ روشﻫﺎ‪ ،‬روش ﻣﻘﺎﻳﺴﻪ رﻧﮓ ‪ 1‬و اراﺋﻪ ﺟﺪوﻟﻲ ﻣﻮﺳﻮم ﺑﻪ ﭼﺎرت رﻧﮓ‬
‫اﺳﺖ‪ .‬در زﻳﺮ‪ ،‬ﻧﻤﻮﻧﻪاي از اﻳﻦ ﭼﺎرت آورده ﺷﺪه اﺳﺖ‪.‬‬

‫ﺟﺪول ‪ (2- 3‬ﻧﻤﻮﻧﻪاي از ﭼﺎرت رﻧﮓ‬

‫‪1 Color Comparision‬‬


‫‪146‬‬
‫در ﺗﻮﺿﻴﺢ ﭼﺎرت ﻓﻮق ﻣﻲﺗﻮان ﮔﻔﺖ ﻛﻪ ﭼﺎرت ﻓﻮق ﺧﻴﻠﻲ دﻗﻴﻖ ﻧﻴﺴﺖ‪ ،‬ﺿﻤﻦ آﻧﻜﻪ رﻧﮓﻫﺎ‬
‫ﺑﻪ ﺻﻮرت ﺗﻨﺎوﺑﻲ ﺗﻜﺮار ﻣﻲﺷﻮد‪.‬‬

‫‪- 3- 8- 5‬ﺗﻤﻴﺰي اﻛﺴﻴﺪ و ﻛﻮره اﻛﺴﻴﺪاﺳﻴﻮن ) آﻟﻮدﮔﻲﻫﺎي ﻳﻮﻧﻲ(‬

‫ﻻﻳﻪ اﻛﺴﻴﺪ ﻋﻼوه ﺑﺮ اﻳﻨﻜﻪ ﺑﺎﻳﺪ ﻋﺎري از آﻟﻮدﮔﻲﻫﺎي ﻓﻴﺰﻳﻜﻲ ﺑﺎﺷﺪ‪ ،‬ﺑﺎﻳﺪ ﺗﻌﺪاد آﻟﻮدﮔﻲﻫﺎي ﻳﻮﻧﻲ‬
‫ﻣﺘﺤﺮك آن ﻧﻴﺰ ﺗﺎ ﺣﺪ ﻣﻤﻜﻦ ﻛﻢ ﺑﺎﺷﺪ‪ .‬ﺑﺎرﻫﺎي ﻣﻬﻢ در اﻛﺴﻴﺪ و در ﺳﻄﺢ ﺗﻤﺎس اﻛﺴﻴﺪ ﺑﺎ ‪ Si‬از ﭼﻬﺎر‬
‫دﺳﺘﻪ ﺗﺸﻜﻴﻞ ﻣﻲﺷﻮﻧﺪ‪) :‬ﺷﻜﻞ ‪.(2- 21‬‬

‫ﺷﻜﻞ ‪(2- 20‬ﺑﺎرﻫﺎي ﻣﻬﻢ در اﻛﺴﻴﺪ و ﺳﻄﺢ ﺗﻤﺎس اﻛﺴﻴﺪ ﺑﺎ‬


‫‪Si‬‬

‫‪ (1‬ﺑﺎرﻫﺎي ﺛﺎﺑﺖ ﻣﺜﺒﺖ در ﻧﺰدﻳﻜﻲ اﺗﺼﺎل ‪ Si-SiO2‬و در درون اﻛﺴﻴﺪ )‪(Qf‬‬

‫اﻳﻦ ﺑﺎرﻫﺎ ﺷﺎﻣﻞ ﻳﻮﻧﻬﺎي ﺳﻴﻠﻴﻜﻮﻧﻲ ﻣﻲﺑﺎﺷﻨﺪ ﻛﻪ ﻫﻨﮕﺎم ﻋﻤﻞ اﻛﺴﻴﺪاﺳﻴﻮن از ﺷﺒﻜﻪ ﺳﻴﻠﻴﻜﻮن‬
‫ﺧﺎرج و ﺑﻪ ﺻﻮرت ﻳﻮن وارد اﻛﺴﻴﺪ ﺷﺪهاﻧﺪ‪ ،‬وﻟﻲ ﭼﻮن ﻓﺮآﻳﻨﺪ اﻛﺴﻴﺪاﺳﻴﻮن ﻣﺘﻮﻗﻒ ﺷﺪه اﺳﺖ‪ ،‬ﺑﻪ‬
‫ﺻﻮرت ﺑﺎر ﻣﺜﺒﺖ درﻫﻤﺎن ﻣﻜﺎن ﺑﺎﻗﻲ ﻣﺎﻧﺪهاﻧﺪ‪.‬‬

‫‪ (2‬ﺑﺎرﻫﺎي ﻧﺎﺷﻲ از ﭘﻬﻨﻪﻫﺎي ﺳﻴﻠﻴﻜﻮن )‪(Qit‬‬

‫‪ ( 3‬ﺑﺎرﻫﺎي ﻧﺎﺷﻲ از ﭘﻬﻨﻪﻫﺎي ﺳﻴﻠ ﻴﻜﻮن ﻛﻪ در ﺗﻠﻪ اﻓﺘﺎدهاﻧﺪ و در ﻣﺤﻞ اﺗﺼﺎل ‪ Si-SiO2‬آوﻳﺰان‬
‫ﻣﻲﺑﺎﺷﻨﺪ و ﺑﻪ اﺗﻢﻫﺎي اﻛﺴﻴﺪ اﺗﺼﺎل ﻧﺪارﻧﺪ‪.‬‬

‫‪147‬‬
‫‪ (4‬ﺑﺎرﻫﺎي ﻣﺘﺤﺮك ﻧﺎﺷﻲ از وﺟﻮد ﻳﻮﻧﻬﺎي ﻣﺜﺒﺖ ﻗﻠﻴﺎﻳﻲ در درون اﻛﺴﻴﺪ ) ‪(Qm‬‬

‫ﻋﻠﺖ ﺗﺤﺮك آنﻫﺎ‪ ،‬ﻛﻮﭼﻜﻲ ﻳﻮﻧﻬﺎﻳﺸﺎن ﻣﻲ ﺑﺎﺷﺪ ‪ .‬از اﻳﻨﺮو ﺑﻪ ﻃﻮر دﻳﻨﺎﻣﻴﻜﻲ در ﻣﺸﺨﺼﺎت‬
‫اﻟﻜﺘﺮﻳﻜﻲ اﺛﺮ ﻣﻲ ﮔﺬارﻧﺪ ‪.‬‬

‫‪ (5‬ﺑﺎرﻫﺎي ﻣﺜﺒﺖ ﻳﺎ ﻣﻨﻔﻲ در اﻛﺴﻴﺪ )‪(Qot‬‬

‫ﺑﺎرﻫﺎي ﻣﺜﺒﺖ ﻳﺎ ﻣﻨﻔﻲ در اﻛﺴﻴﺪ ﻛﻪ ﻣﻲﺗﻮاﻧﻨﺪ از ﻧﻘﺺﻫﺎي ‪ ، SiO2‬ﻳﻮﻧﻴﺰاﺳﻴﻮن ﺑﺮ اﺛﺮ ﺗﺎﺑﺶ‪ ،‬ﺟﺮﻳﺎن‬
‫ﻫﺎي ﺑﺎﻻ در اﻛﺴﻴﺪ و ﻳﺎ ﭘﺪﻳﺪه ﺷﻜﺴﺖ ﺑﻬﻤﻨﻲ ﻧﺎﺷﻲ ﺷﻮﻧﺪ ‪.‬‬

‫وﺟﻮد ﺑﺎرﻫﺎي ﻓﻮق ﺑﺎﻋﺚ ﺗﻐﻴﻴﺮ در ﻣﺸﺨﺼﺎت ‪ SiO2‬ﻣﻲ ﺷﻮد‪ .‬روشﻫﺎي ﻣﺨﺘﻠﻔﻲ ﺑﺮاي اﻧﺪازهﮔﻴﺮي‬
‫ﻣﻴﺰان اﻳﻦ آﻟﻮدﮔﻲﻫﺎ وﺟﻮد دارد ﻛﻪ در اداﻣﻪ ﺑﻪ ﺑﺮﺧﻲ از آنﻫﺎ اﺷﺎره ﻣﻲﺷﻮد‪:‬‬

‫اﻟﻒ( ﻣﺸﺨﺼﻪ ‪C-V‬‬

‫ب( ﻣﻴﺪان ﺷﻜﺴﺖ دي اﻟﻜﺘﺮﻳﻚ‬

‫پ( ﺗﻌﻴﻴﻦ ﺿﺮﻳﺐ ﺷﻜﺴﺖ ﻧﻮر ‪1‬‬

‫اﻟﻒ( ﻣﺸﺨﺼﻪ ‪C-V‬‬

‫در اﻳﻦ آزﻣﺎﻳﺶ‪ ،‬ﻃﻲ ﺳﻪ ﻣﺮﺣﻠﻪ اﻋﻤﺎل وﻟﺘﺎژ ﺳﻌﻲ در رﺳﻢ ﻣﺸﺨﺼﻪ ‪ C-V‬ﻣﺮﺑﻮط ﺑﻪ ﺧﺎزﻧﻲ ﻣﻲ ﺷﻮد‬
‫ﻛﻪ ‪ SiO2‬رﺷﺪ داده ﺷﺪه ﺑﻪ ﻋﻨﻮان دي اﻟﻜﺘﺮﻳﻚ در ﺑﻴﻦ ﻧﻴﻤﻪ ﻫﺎدي و ﺳﻄﺢ ﻓﻠﺰ ﻗﺮار ﮔﺮﻓﺘﻪ ﺑﺎﺷﺪ‪.‬‬
‫)ﺷﻜﻞ ‪(2-22‬‬

‫ب( ﻣﻴﺪان ﺷﻜﺴﺖ دي اﻟﻜﺘﺮﻳﻚ‬

‫در اﻳﻦ روش ﺑ ﺮ اﺳﺎس ﻣﺎﻛﺰﻳﻤﻢ ﻣﻴﺪان اﻟﻜﺘﺮﻳﻜﻲ ﻗﺎﺑﻞ ﺗﺤﻤﻞ ﺗﻮﺳﻂ ﻻﻳﻪ اﻛﺴﻴﺪ ﻣﻴﺰان آﻟﻮدﮔﻲ‪-‬‬
‫ﻫﺎي ﻣﻮﺟﻮد در آن را ﻣﺸﺨﺺ ﻣﻲﻛﻨﺪ‪ .‬اﻳﻦ روش ﺗﺴﺖ ﻳﻚ روش ﺗﺴﺖ ﻣﺨﺮب اﺳﺖ‪.‬‬

‫پ( ﺗﻌﻴﻴﻦ ﺿﺮﻳﺐ ﺷﻜﺴﺖ ﻧﻮر‬

‫‪1 Refraction Index‬‬


‫‪148‬‬
‫ﺿﺮﻳﺐ ﺷﻜﺴﺖ ﻧﻮر ﺑﺮاي اﻛﺴﻴﺪ ﺑﺮاﺑﺮ ‪ 1.46‬ﻣﻲﺑﺎﺷﺪ‪ .‬ﻫﺮﮔﻮﻧﻪ اﻧﺤﺮاف از اﻳﻦ ﻣﻘﺪار ﻧﺸﺎندﻫﻨﺪه‬
‫وﺟﻮد آﻟﻮدﮔﻲ در ﻻﻳﻪ رﺷﺪ داده ﺷﺪه ﻣﻲﺑﺎﺷﺪ‪.‬‬

‫‪149‬‬
C-V ‫( ﺑﺪﺳﺖ آوردن ﻧﻤﻮدار‬2- 21 ‫ﺷﻜﻞ‬

‫ﻣﺮاﺟﻊ‬
:‫ﻛﺘﺐ‬
P.V.Zant, ''Micro chip Fabrication'' , ُSecond Edition 1999 , chapter 7
R.C.Jaeger, ''Introduction to Microelectronic Fabrication Addixonwesley'', Newyork, 1988 ,
chapter3
S.K. Ghandi, ''VLSI Fabriction principles'', john Wiley and sons, Newyork 1983- Chapter 4
S.M. Sze. ed. ''VLSI Technology'' Mc craw, Hill, Newyork 1988- chapter 7
A.S. Grove, ''Physics and Technology of semi conductor Devices'', john Wiley and sons,
Newyork, 1967
GaryE.McGuire,''Semiconductor Materials and Process Technology Handbook'',New
Jersy,1988, chapter 2

150
:‫ﺳﺎﻳﺖ داﻧﺸﮕﺎﻫﻲ‬
WWW.dunham.ee.washington.edu/ee 539
WWW.isat.jmu.edu/comman/doursedocs/isat.436/isat 436 index.htm

:‫ﺳﺎﻳﺖ ﻣﻘﺎﻟﻪ‬
www.mrs.org (Materials Research Society)
www.scitation.aip.org

:‫ﺗﺠﻬﻴﺰات ازﻣﺎﻳﺸﮕﺎﻫﻲ‬
WWW.ece. ucsb. Edu/Lab/microfab
WWW. Angstrom.Uu. Se/msl/resources
WWW. Bell-lab. Com/org .
WWW.siliconfareast.Com

151
‫ﻓﺼﻞ ‪-6‬زداﻳﺶ )‪(Etching‬‬

‫‪ -1-6‬ﻣﻘﺪﻣﻪ‬

‫ﻓﺮآﻳﻨﺪ ﻟﻴﺘﻮﮔﺮاﻓﻲ ﺑﺮاي ﭘﻴﺎده ﻛﺮدن ﻃﺮح روي ﻣﺎﺳﻚ در ﺳﻄﺢ وﻳﻔﺮ اﻧﺠﺎم ﻣﻲﺷﻮد ‪.‬ﺑـﺮاي اﻧﺠـﺎم‬

‫ﭼﻨﻴﻦ ﻋﻤﻠﻲ اﺑﺘﺪا ﺳﻄﺢ وﻳﻔﺮ ﺗﻮﺳﻂ رزﻳﺴﺖ ‪ 1‬ﭘﻮﺷﺎﻧﺪه ﻣﻲﺷﻮد آﻧﮕﺎه ﻣﺎﺳﻚ روي آن ﻗﺮار ﻣﻲﮔﻴﺮد‬

‫و اﺷﻌﻪ ﺑﻪ ﻣﺎﺳﻚ ﻣﻲﺗﺎﺑﺪ‪ .‬در اﺛﺮ ﺗﺎﺑﺶ اﺷﻌﻪ‪ ،‬ﻣﺤﻠﻬﺎﻳﻲ از رزﻳﺴﺖ ﻣﻮرد ﺗﺎﺑﺶ ﻗﺮار ﻣﻲﮔﻴﺮﻧﺪ وﻟﻲ ﺑﻪ‬

‫ﻗﺴﻤﺖﻫﺎﻳﻲ اﺷﻌﻪ ﻧﻤﻲرﺳﺪ و ﻳﺎ ﺑﻪ ﻋﺒﺎرﺗﻲ در ﻓﺮآﻳﻨﺪ ﻟﻴﺘﻮﮔﺮاﻓﻲ ‪ 2‬ﺗﻮﺳﻂ ﻓﺘﻮرزﻳﺴـﺖ ﻣﺤﺎﻓﻈـﺖ‬

‫ﻧﻤﻲﺷﻮﻧﺪ‪.‬‬

‫ﺷﻜﻞ ‪ 1‬ﻓﺮاﻳﻨﺪ زداﻳﺶ اﻟﮕﻮ دار‬

‫ﮔﺎم ﺑﻌﺪي در ﺳﺎﺧﺖ ﻣﺪارات ﻣﺠﺘﻤﻊ زداﻳﺶ ‪ 3‬ﻣﻲﺑﺎﺷﺪ‪ .‬در اﻳﻦ ﻓﺮآﻳﻨﺪ ﻗﺴﻤﺖﻫﺎﻳﻲ از ﺳﻄﺢ وﻳﻔﺮ‬

‫ﻛﻪ رزﻳﺴﺖ روي آن ﻣﻮرد ﺗﺎﺑﺶ ﻗﺮار ﻧﮕﺮﻓﺘﻪ اﺳﺖ ﺑﺮداﺷﺘﻪ ﻣﻲﺷﻮد‪ ،‬اﻳﻦ ﻋﻤﻞ ﺑﺎ اﺳﺘﻔﺎده از ﻣﻮادي‬

‫ﺻﻮرت ﻣﻲﮔﻴﺮد ﻛﻪ زداﻳﻨﺪه ﻧﺎم دارﻧﺪ‪ .‬اﻣﺎ اﻳﻦ ﭘﺮوﺳﻪ ﺑﺮ اﺳﺎس ﻧﻮع ﻣﻮاد ﺑﻜﺎرﮔﺮﻓﺘـﻪ ﺷـﺪه ﺑـﺮاي‬

‫زداﻳﺶ ﺑﻪ دو دﺳﺘﻪي ﺧﺸﻚ و ﻣﺮﻃﻮب ﺗﻘﺴﻴﻢ ﺑﻨﺪي ﻣﻲﺷﻮد‪ .‬ﺷﻜﻞ ‪ 1‬ﻣﻘﻄﻊ ﻋﺮﺿﻲ از ﻳﻚ ﭼﻴﭗ‬

‫‪ CMOS‬را ﻧﺸﺎن ﻣﻲدﻫﺪ و ﺑﻴﺎﻧﮕﺮ ﻣﺤﻞﻫﺎﻳﻲ اﺳﺖ ﻛﻪ در ﺳﺎﺧﺖ آن از ﻓﺮاﻳﻨﺪ زداﻳﺶ اﺳﺘﻔﺎده ﺷﺪه‬

‫اﺳﺖ‪.‬‬

‫‪1) Resist‬‬
‫‪2) Lithography‬‬
‫‪3) Etching‬‬
‫‪152‬‬
‫اﻟﺒﺘﻪ ﻫﺪف ﻧﻬﺎﻳﻲ اﻳﻦ دو روش اﻧﺘﻘﺎل ﻳﺎ ﺷﻜﻞ دﻫﻲ ﺗﺼﻮﻳﺮ روي ﻣﺎﺳﻚ ﺑﺮ روي ﺳﻄﺢ وﻳﻔﺮ اﺳـﺖ‪.‬‬

‫ﻫﻢ ﻓﺘﻮﻟﻴﺘﻮﮔﺮاﻓﻲ و ﻫﻢ زداﻳﺶ ﻣﺮﻃﻮب ﺑﺮاي ﻣﺪت ﻃﻮﻻﻧﻲ در ﺻﻨﻌﺖ ﭼﺎپ ﻫﻤﭽﻨـﻴﻦ در ﺳـﺎﺧﺖ‬

‫ﺑﺮدﻫﺎي ﭼﺎپ ﺷﺪه ﺑﺮ روي ﺑﺮد اﺳﺘﻔﺎده ﻣﻲﺷﺪهاﻧﺪ‪ .‬اﻳﻦ ﺗﻜﻨﻮﻟﻮژي در ﺳﺎل ‪ 1950‬ﺑﺮاي اﺳﺘﻔﺎده در‬

‫ﺻﻨﻌﺖ ﻧﻴﻤﻪ ﻫﺎدي ﺑﺮاي ﺳﺎﺧﺖ ﺗﺮاﻧﺰﻳﺴﺘﻮر و ﻣﺪارﻫﺎي ﻣﺠﺘﻤﻊ ﮔﺮﻓﺘﻪ ﺷـﺪ‪ .‬ﻗﺒـﻞ از ﺳـﺎل ‪،1980‬‬

‫ﻓﺮاﻳﻨﺪ زداﻳﺶ ﻣﺮﻃﻮب ﻏﺎﻟﺐ ﺑﻮد اﻣﺎ ﺑﻌﺪ از آن ﻛﻪ ‪ feature size‬ﺑﻪ ﻛﻤﺘﺮ از ‪ 3μm‬ﺗﻘﻠﻴﻞ ﭘﻴﺪا ﻛﺮد‪،‬‬

‫زداﻳﺶ ﻣﺮﻃﻮب ﺑﺎ زداﻳﺶ ﺧﺸﻚ ﺟﺎﻳﮕﺰﻳﻦ ﺷﺪ‪ .‬اﻳﻦ ﺟﺎﻳﮕﺰﻳﻨﻲ ﺑﻪ ﺧﺎﻃﺮ ﭘﺮوﻓﺎﻳﻞ ﻫﻤﺴـﺎﻧﮕﺮد ‪ 1‬در‬

‫زداﻳﺶ ﻣﺮﻃﻮب اﺳﺖ ﻛﻪ ﺑﺎﻋﺚ ﺑﺮش زﻳﺮﻳﻦ ‪2‬و از ﺑﻴﻦ رﻓﺘﻦ اﺑﻌﺎد ﺑﺤﺮاﻧـﻲ ﻣـﻲﺷـﻮد‪ .‬در ﭘﺮوﺳـﻪ‬

‫زداﻳﺶ ﻣﺮﻃﻮب از ﻣﻮاد ﺷﻴﻤﻴﺎﻳﻲ ﻣﺎﻳﻊ ﺟﻬﺖ زدودن ﻻﻳﻪﻫﺎﻳﻲ ﻛﻪ ﺗﻮﺳـﻂ ﻓﺘﻮرزﻳﺴـﺖ ﻣﺤﺎﻓﻈـﺖ‬

‫ﻧﺸﺪهاﻧﺪ‪ ،‬اﺳﺘﻔﺎده ﻣﻲﮔﺮدد اﻣﺎ در زداﻳﺶ ﺧﺸﻚ اﺑﺘﺪا وﻳﻔﺮﻫـﺎ در ﺧـﻼء ﻗـﺮار ﮔﺮﻓﺘـﻪ و ﺳـﭙﺲ‬

‫ﺗﺮﻛﻴﺒﺎت ﮔﺎزي ﺑﺎ ﻓﺸﺎر ﻛﻢ )در ﺣﺪود ‪ 0.1‬ﺗﺎ ‪ (Torr 0.2‬ﺑﻪ اﻳﻦ ﺳﻴﺴﺘﻢ وارد ﻣـﻲﺷـﻮد‪ ،‬آﻧﮕـﺎه ﺑـﺎ‬

‫اﻣﻮاﺟﻲ در ﻣﺤﺪوده ﻓﺮﻛﺎﻧﺲ رادﻳﻮﻳﻲ ﻛﺴﺮي از ﻣﻮﻟﻜﻮلﻫـﺎي ﮔـﺎز )‪ (RF‬ﻳـﻮﻧﻴﺰه ﺷـﺪه و ﻣﺤـﻴﻂ‬

‫ﭘﻼﺳﻤﺎ ‪ 3‬اﻳﺠﺎد ﻣﻲﮔﺮدد‪ .‬ﻣﺤﻴﻂ ﭘﻼﺳﻤﺎ ﻣﺤﻴﻄﻲ اﺳﺖ ﻛﻪ ﺷﺎﻣﻞ ﻳﻮﻧﻬـﺎي ﻣﺜﺒـﺖ‪ ،‬ﻳﻮﻧﻬـﺎي ﻣﻨﻔـﻲ‪،‬‬

‫اﻟﻜﺘﺮوﻧﻬﺎ و اﺗﻤﻬﺎي ﮔﺎزﻫﺎي ﺧﻨﺜﻲ و رادﻳﻜﺎﻟﻬﺎي آزاد ﻣﻲﺑﺎﺷﺪ ﺑﻪ ﻧﺤﻮي ﻛﻪ اﻳﻦ ﻣﺤـﻴﻂ ﺗﻘﺮﻳﺒـﺎً از‬

‫ﻟﺤﺎظ ﺑﺎر اﻟﻜﺘﺮﻳﻜﻲ ﺧﻨﺜﻲ اﺳﺖ‪ .‬در ﺳﺎﺧﺖ ﻗﻄﻌﺎت ﻧﻴﻤﻪ ﻫﺎدي ﭘﻴﺸﺮﻓﺘﻪ‪ ،‬ﺗﻘﺮﻳﺒﺎً ﺗﻤﺎﻣﻲ زداﻳﺶﻫﺎي‬

‫اﻟﮕﻮ دار از ﻓﺮاﻳﻨﺪ زداﻳﺶ ﺧﺸﻚ اﺳﺘﻔﺎده ﻣﻲﻛﻨﻨﺪ‪ .‬اﻣﺎ ﺑﻪ ﻫﺮ ﺣﺎل زداﻳﺶ ﻣﺮﻃﻮب ﻫﻨﻮز ﺑـﻪ ﻃـﻮر‬

‫ﮔﺴﺘﺮده در ‪ film stripping‬و ﻛﻨﺘﺮل ﻛﻴﻔﻴﺖ ﻓﻴﻠﻢﻫﺎي ﻧﺎزك ﻛﺎرﺑﺮد دارد‪ .‬در اداﻣﻪ ﺑﺤﺚ در ﻣـﻮرد‬

‫ﻫﺮ ﻳﻚ از روشﻫﺎ ﺑﻪ ﻃﻮر ﻣﺒﺴﻮط اراﺋﻪ ﺧﻮاﻫﺪ ﺷﺪ‪.‬‬

‫‪1 Isotropic‬‬
‫‪2Undercut‬‬
‫‪3 Plasma‬‬
‫‪153‬‬
‫ﺷﻜﻞ ‪ 2‬ﻣﺤﻞﻫﺎي زداﻳﺶ در ﻣﻘﻄﻊ ﻋﺮﺿﻲ ﭼﻴﭗ ‪CMOS‬‬

‫‪ -2-6‬ﻋﻮاﻣﻞ ﻣﻮﺛﺮ ﺑﺮ زداﻳﺶ‬


‫از آﻧﺠﺎ ﻛﻪ ﻫﺮ ﭘﺮوﺳﻪ در ﻣﺮﺣﻠﻪ اﻧﺠﺎم ﭘﺎراﻣﺘﺮﻫﺎي ﺧﺎص ﺧﻮد را داراﺳﺖ‪ ،‬اﻳﻦ ﭘﺮوﺳﻪ ﻧﻴـﺰ داراي‬

‫ﭘﺎراﻣﺘﺮﻫﺎي ﺧﺎص ﺧﻮد ﻣﻲﺑﺎﺷﺪ‪ .‬ﻛﻪ در اﻳﻦ ﻗﺴﻤﺖ ﺑﻪ ﺑﻴﺎن آﻧﻬﺎ ﺧﻮاﻫﻴﻢ ﭘﺮداﺧﺖ‪ .‬ﭘﺎراﻣﺘﺮﻫﺎﻳﻲ ﻛﻪ‬

‫در اﻧﺠﺎم ﭘﺮوﺳﻪ زداﻳﺶ از اﻫﻤﻴﺖ ﺑﻴﺸﺘﺮي ﺑﺮﺧﻮر دارﻧﺪ ﺑﻪ ﻗﺮار زﻳﺮ ﻣﻲﺑﺎﺷﻨﺪ‪:‬‬

‫‪ - 1- 2- 6‬ﻧﻮع ﻣﺎده زداﻳﻨﺪه ‪1‬‬

‫ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ اﻳﻨﻜﻪ در ﺳﺎﺧﺖ ﻣﺪارات ﻣﺠﺘﻤﻊ زداﻳﺶ ﻻﻳﻪﻫﺎي ﻣﺨﺘﻠﻒ ﻣﻄﺮح ﻣﻲﺷـﻮد و از ﻃﺮﻓـﻲ‬

‫روﺷﻬﺎي ﻣﺘﻔﺎوﺗﻲ ﺑﺴﺘﻪ ﺑﻪ ﺣﺴﺎﺳﻴﺖ ﭘﺮوﺳﻪ ﺳﺎﺧﺖ اﻧﺘﺨﺎب ﻣﻲﺷﻮﻧﺪ‪ ،‬از اﻳﻦ رو ﺿﺮورت اﻧﺘﺨـﺎب‬

‫ﻣﻮاد ﻣﺘﻔﺎوت ﺑﺮاي اﻧﺠﺎم ﻋﻤﻞ زداﻳﺶ اﻣﺮي اﺟﺘﻨﺎب ﻧﺎﭘﺬﻳﺮ اﺳﺖ‪ .‬در واﻗﻊ ﺑﺴﺘﻪ ﺑﻪ ﻻﻳـﻪي روﻳـﻲ‬

‫‪2 Etchant‬‬
‫‪154‬‬
‫ﻣﻮﺟﻮد در ﭘﺮوﺳﻪ زداﻳﺶ‪ ،‬ﻣﻮاد زداﻳﻨﺪه ﻣﺘﻨﺎﻇﺮ ﺑﻜﺎر ﻣﻲروﻧﺪ ﺗﺎ ﻋﻤﻞ زداﻳﺶ ﺑـﻪ درﺳـﺘﻲ اﻧﺠـﺎم‬

‫ﮔﺮﻓﺘﻪ و اﺣﻴﺎﻧﺎً ﻣﺸﻜﻠﻲ از ﻧﻈﺮ ﻛﺎرﻛﺮد در ﻗﻄﻌﻪ اﻳﺠﺎد ﻧﻜﻨﻨﺪ‪.‬‬

‫‪ - 2- 2- 6‬زﻣﺎن ﻋﻤﻞ زداﻳﺶ ‪1‬‬

‫زﻣﺎن اﻧﺠﺎم ﻫﺮ ﭘﺮوﺳﻪ ﻳﻚ ﭘﺎراﻣﺘﺮ ﻣﻬﻢ در اﻧﺠﺎم درﺳﺖ آن اﺳﺖ ﭼﺮا ﻛﻪ ﻫـﺮ واﻛـﻨﺶ ﺑـﻪ زﻣـﺎن‬

‫ﻣﺸﺨﺺ و ﻣﻌﻴﻨﻲ ﺑﺮاي اﻧﺠﺎم ﻧﻴﺎز دارد و از ﻃﺮف دﻳﮕﺮ ﻫﺮ ﭼﻪ ﺳﺮﻋﺖ اﻧﺠﺎم آن ﻋﻤﻞ ﺑﺎﻻﺗﺮ ﺑﺎﺷـﺪ‬

‫ﻣﺤﺼﻮل ﻧﻬﺎﻳﻲ از ﻛﻤﻴﺖ ﺑﻴﺸﺘﺮي ﺑﺮﺧﻮردار ﺧﻮاﻫﺪ ﺷﺪ‪ .‬ﻫﻤﺎﻧﻄﻮرﻳﻜﻪ ﻣﻲداﻧﻴﻢ در ﭘﺮوﺳﻪ زداﻳـﺶ‬

‫ﻫﺪف ﺑﺮداﺷﺘﻦ ﻗﺴﻤﺘﻲ از ﻻﻳﻪ اول اﺳﺖ ﻛﻪ ﻣﺤﺎﻓﻈﺖ ﻧﺸﺪه اﺳﺖ و ﻧﺒﺎﻳﺪ ﻫﻴﭻ درﺻﺪي از ﻻﻳﻪ دوم‬

‫ﺑﺮداﺷﺘﻪ ﺷﻮد در ﺻﻮرﺗﻴﻜﻪ ﺑﺮاي ﭘﺮوﺳﻪ زداﻳﺶ زﻣﺎن زﻳﺎدي در ﻧﻈﺮ ﮔﺮﻓﺘﻪ ﺷﻮد ﻗﺴـﻤﺘﻲ از ﻻﻳـﻪ‬

‫دوم ﻫﻢ ﺧﻮرده ﺧﻮاﻫﺪ ﺷﺪ و اﻳﻦ ﺧﻮد ﻣﻤﻜﻦ اﺳﺖ ﻋﻤﻠﻜﺮد ﺻﺤﻴﺢ ﻣـﺪار را ﻣﺨﺘـﻞ ﻛﻨـﺪ‪ .‬ﺑـﺪﻳﻦ‬

‫ﺗﺮﺗﻴﺐ اﻫﻤﻴﺖ اﺟﺮاي ﭘﺮوﺳﻪ زداﻳﺶ در ﻣﺪت زﻣﺎن در ﻧﻈﺮ ﮔﺮﻓﺘﻪ ﺷﺪه ﺧﻮد را ﺑﻴﺸﺘﺮ ﺟﻠﻮهﮔﺮ ﻣﻲ‪-‬‬

‫ﺳﺎزد‪.‬‬

‫‪ - 3- 2- 6‬ﻧﺮخ زداﻳﺶ ‪2‬‬

‫ﻧﺮخ زداﻳﺶ ﺑﻴﺎﻧﮕﺮ ﺳﺮﻋﺖ ﺑﺮداﺷﺖ ﻣﺎده از ﺳﻄﺢ وﻳﻔﺮ در ﻓﺮاﻳﻨـﺪ زداﻳـﺶ ﻣـﻲﺑﺎﺷـﺪ و ﻳﻜـﻲ از‬

‫ﻣﺸﺨﺼﺎت ﻓﺮاﻳﻨﺪ اﺳﺖ زﻳﺮا ﻛﻪ ﻣﻴﺰان ﻇﺮﻓﻴﺖ ‪ 3‬ﻓﺮاﻳﻨﺪ زداﻳﺶ را ﺑﻪ ﻃﻮر ﻣﺴﺘﻘﻴﻢ ﺗﺤﺖ ﺗﺄﺛﻴﺮ ﻗﺮار‬

‫ﻣﻲدﻫﺪ‪ .‬ﻧﺮخ زداﻳﺶ ﺑﺎ اﻧﺪازهﮔﻴﺮي ﺿﺨﺎﻣﺖ ﻓﻴﻠﻢ ﻗﺒﻞ و ﺑﻌﺪ از ﻓﺮاﻳﻨﺪ زداﻳﺶ و ﺗﻘﺴﻴﻢ آن ﺑﺮ زﻣﺎن‬

‫زداﻳﺶ ﻣﻲﺗﻮاﻧﺪ ﻣﺤﺎﺳﺒﻪ ﺷﻮد‪.‬‬

‫‪1) Etch time‬‬


‫‪2) Etch rate‬‬
‫‪3) Throughput‬‬
‫‪155‬‬
‫ﺑﺮاي زداﻳﺶ اﻟﮕﻮ دار‪ ،‬ﻧﺮخ زداﻳﺶ ﻣﻲﺗﻮاﻧﺪ ﺑـﺎ اﻧـﺪازه ﮔﻴـﺮي ﻣﺴـﺘﻘﻴﻢ ﺿـﺨﺎﻣﺖ ﻓـﻴﻠﻢ ﺗﻮﺳـﻂ‬

‫)‪ ،scanning electron microscope (SEM‬ﻣﻌﻴﻦ ﮔﺮدد‪.‬‬

‫ﺷﻜﻞ ‪ 3‬ﭘﺮوﻓﺎﻳﻞ ﻣﺮﺑﻮط ﺑﻪ اﺗﺼﺎل ﻓﻠﺰ‬

‫‪ - 4- 2- 6‬اﻧﺘﺨﺎب ﭘﺬﻳﺮي ‪ 1‬ﻣﻮاد زداﻳﻨﺪه‬

‫ﻓﺮاﻳﻨﺪ زداﻳﺶ ﺑﺎ ﺳﻪ ﻣﺎده ﺳﺮ و ﻛﺎر دارد‪ :‬ﻓﺘﻮرزﻳﺴﺖ‪ ،‬ﻓﻴﻠﻢ ﻛﻪ ﺑﺎﻳﺴﺘﻲ زداﻳﺶ ﺷﻮد و ‪.substrate‬‬

‫ﻫﺪف از اﻧﺠﺎم ﭘﺮوﺳﻪ زداﻳﺶ ﺑﺮداﺷﺘﻦ ﻗﺴﻤﺘﻬﺎﻳﻲ از ﻻﻳﻪ اول )ﻓﻴﻠﻢ( اﺳﺖ ﻛـﻪ ﻣﺤﺎﻓﻈـﺖ ﻧﺸـﺪه‬

‫اﺳﺖ و ﻫﻴﭻ ﻗﺴﻤﺘﻲ از ﻻﻳﻪ دوم )‪ (substrate‬ﻧﺒﺎﻳﺪ ﺑﺮداﺷﺘﻪ ﺷﻮد و آﺳﻴﺐ ﺑﺒﻴﻨﺪ‪ .‬در واﻗﻊ ﻫـﺪف‬

‫اﻧﺠﺎم ﭘﺮوﺳﻪ زداﻳﺶ ﺑﺎ ﻛﻴﻔﻴﺖ ﺑﺎﻻ اﺳﺖ در راﺳﺘﺎي ﺗﻌﺮﻳﻒ ﭘﺎراﻣﺘﺮي ﺑﺮاي ﻛﻴﻔﻴـﺖ ﺧـﻮب اﻧﺠـﺎم‬

‫ﭘﺮوﺳﻪ‪ ،‬ﭘﺎراﻣﺘﺮ اﻧﺘﺨﺎب ﭘﺬﻳﺮي ﻣﻮاد زداﻳﻨﺪه ﻣﻄﺮح ﻣﻲﺷﻮد‪ .‬ﻣﻮاد زداﻳﻨﺪه ﺑﺮ اﺳﺎس ﺗﻮاﻧﺎﻳﻲﺷـﺎن‬

‫ﺑﺮاي ﺑﺮداﺷﺘﻦ ﻳﻜﻨﻮاﺧﺖ ﻻﻳﻪﻫﺎي ﺑﺎﻻﻳﻲ وﻳﻔﺮ ﺑﺪون اﻳﺠﺎد ﻫﻴﭻ ﺗﻐﻴﻴﺮي در ﻻﻳﻪﻫﺎي زﻳﺮﻳﻦ اﻧﺘﺨﺎب‬

‫ﻣﻲﺷﻮﻧﺪ و اﻳﻦ ﻫﻤﺎن ﻣﻔﻬﻮم اﻧﺘﺨﺎب ﭘﺬﻳﺮي ﻣﻮاد اﺳﺖ‪ .‬ﻣﻮاد ﺑﺎ ﻧﺴﺒﺖ اﻧﺘﺨـﺎب ﭘـﺬﻳﺮي ﺑـﺎﻻ ﺑـﻪ‬

‫ﻻﻳﻪﻫﺎي زﻳﺮﻳﻦ ﻳﺎ ﻻﻳﻪ دوم آﺳﻴﺐ ﻧﺮﺳـﺎﻧﺪه و ﻣﺸـﻜﻼت اﺣﺘﻤـﺎﻟﻲ را در ﻛـﺎرﻛﺮد اﻟﻤـﺎن اﻳﺠـﺎد‬

‫ﻧﻤﻲﻛﻨﻨﺪ‪ .‬اﻧﺘﺨﺎب ﭘﺬﻳﺮي ﻧﺴﺒﺖ ﻧﺮخ زداﻳﺶ در ﻣﻮاد ﻣﺨﺘﻠﻒ ﻣﻲﺑﺎﺷﺪ‪ ،‬ﺑﻪ ﺧﺼﻮص ﻣﺎدهاي ﻛﻪ ﻗﺮار‬

‫اﺳﺖ زداﻳﺶ ﺷﻮد ﻧﺴﺒﺖ ﺑﻪ ﻣﺎدهاي ﻛﻪ ﻧﻤﻲﺧﻮاﻫﻴﻢ ﺑﺮداﺷﺘﻪ ﺷﻮد‪ .‬ﺑﺮاي ﻣﺜﺎل‪ ،‬در ﺣـﻴﻦ زداﻳـﺶ‬

‫‪1 Selectivity‬‬
‫‪156‬‬
‫ﮔﻴﺖ ﺗﺮاﻧﺰﻳﺴﺘﻮر‪ ،‬ﻓﺘﻮرزﻳﺴﺖ ﺑﻪ ﻋﻨﻮان ﻣﺎﺳﻚ ﻋﻤﻞ ﻣﻲﻛﻨﺪ و ﭘﻠﻲ ﺳﻴﻠﻴﻜﻮن ﻣـﺎدهاي اﺳـﺖ ﻛـﻪ‬

‫ﺑﺎﻳﺴﺘﻲ زداﻳﺶ ﺷﻮد‪ .‬در ﻓﺮاﻳﻨﺪ زداﻳﺶ ﺧﺸﻚ‪ ،‬ﻧﺎﮔﺰﻳﺮ ﻓﺘﻮرزﻳﺴﺖ ﻧﻴﺰ زدوده ﺧﻮاﻫﺪ ﺷﺪ‪ ،‬ﺑﻨﺎﺑﺮاﻳﻦ‬

‫ﻻزم اﺳﺖ ﺑﻪ ﻣﻘﺪار ﻛﺎﻓﻲ اﻧﺘﺨﺎب ﭘﺬﻳﺮي ﭘﻠﻲ ﺳﻴﻠﻴﻜﻮن ﻧﺴﺒﺖ ﺑﻪ ﻓﺘﻮرزﻳﺴﺖ داﺷﺘﻪ ﺑﺎﺷﻴﻢ ﺗﺎ از‪ ،‬از‬

‫ﺑﻴﻦ رﻓﺘﻦ ﻛﺎﻣﻞ ﻓﺘﻮرزﻳﺴﺖ ﻗﺒﻞ از ﭘﺎﻳﺎن زداﻳﺶ ﺟﻠﻮﮔﻴﺮي ﻛﻨﻴﻢ‪ .‬زﻳﺮ ﭘﻠﻲ ﺳﻴﻠﻴﻜﻮن ﻻﻳـﻪ ﻧـﺎزك‬

‫اﻛﺴﻴﺪ ﮔﻴﺖ ﻗﺮار دارد‪ .‬ﻓﺮاﻳﻨﺪ ﺑﺎﻳﺴﺘﻲ اﻧﺘﺨﺎب ﭘﺬﻳﺮي زﻳﺎدي ﺑﺮاي ﭘﻠﻲ ﺳﻴﻠﻴﻜﻮن ﻧﺴﺒﺖ ﺑﻪ اﻛﺴﻴﺪ‬

‫داﺷﺘﻪ ﺑﺎﺷﺪ ﺗﺎ از زداﻳﺶ ﺑﻴﺶ از ﺣﺪ ﻻﻳﻪ اﻛﺴﻴﺪ در ﻣﺮﺣﻠﻪ زداﻳﺶ ﺟﻠﻮﮔﻴﺮي ﺷﻮد‪.‬‬

‫ﭘﺎراﻣﺘﺮ ﻗﺎﺑﻠﻴﺖ اﻧﺘﺨﺎب ﺑﻪ وﺳﻴﻠﻪي ﺷﻜﻞ زﻳﺮ ﻧﺸﺎن داده ﺷﺪه اﺳﺖ‪ .‬ﻫﻤﺎﻧﻄﻮر ﻛﻪ در ﺷﻜﻞ ‪a‬‬
‫ﻣﻼﺣﻈﻪ ﻣﻲﺷﻮد ﻋﻤﻞ زداﻳﺶ دارا ﻗﺎﺑﻠﻴﺖ اﻧﺘﺨﺎب ﺧﻮﺑﻲ اﺳﺖ ﭼﻮن ﺑﻪ ﻻﻳﻪي ﻣﻮرد ﻧﻈﺮ را ﺑﺮداﺷﺘﻪ‬
‫و ﺑﻪ ﻻﻳﻪ زﻳﺮﻳﻦ ﻫﻴﭻ آﺳﻴﺒﻲ ﻧﺮﺳﺎﻧﻴﺪه اﺳﺖ‪ .‬در ﺷﻜﻞ ‪ b‬ﻋﻤﻞ زداﻳﺶ ﻫﻤﺮاه ﺑﺎ ﻗﺎﺑﻠﻴﺖ اﻧﺘﺨﺎب ﻛﻢ‬
‫ﺑﻪ ﭘﺎﻳﺎن رﺳﻴﺪه اﺳﺖ ﭼﻮن ﻗﺴﻤﺘﻲ از ﻻﻳﻪي زﻳﺮﻳﻦ ﻛﻪ ﻧﺒﺎﻳﺪ زداﻳﺶ ﺷﻮد را زداﻳﺶ ﻛﺮده اﺳﺖ‪.‬‬

‫‪Photoresis‬‬ ‫‪Latera etching‬‬


‫‪t mask‬‬ ‫‪good selectivity‬‬

‫)‪a‬‬

‫& ‪Rounded‬‬ ‫‪Lateral etching‬‬


‫‪sloped PR‬‬ ‫‪poor selectivity‬‬

‫)‪b‬‬

‫ﺷﻜﻞ ‪ 4.a‬زداﻳﺶ ﺑﺎ ﻗﺎﺑﻠﻴﺖ اﻧﺘﺨﺎب ﺧﻮب‪ .‬ﺷﻜﻞ ‪ 4.b‬زداﻳﺶ ﺑﺎ ﻗﺎﺑﻠﻴﺖ اﻧﺘﺨﺎب ﺿﻌﻴﻒ‬

‫‪157‬‬
‫‪ - 5- 2- 6‬ﻳﻜﻨﻮاﺧﺘﻲ ‪1‬‬

‫ﺧﻴﻠﻲ ﻣﻬﻢ اﺳﺖ ﻛﻪ در ﺳﻄﺢ وﻳﻔﺮ ﻧﺮخ زداﻳﺶ ﻳﻜﺴﺎﻧﻲ داﺷﺘﻪ ﺑﺎﺷـﻴﻢ )در ﺳـﻄﺢ ﻳـﻚ وﻳﻔـﺮ( و‬

‫ﻫﻤﭽﻨﻴﻦ ﻧﺮخ زداﻳﺶ ﻗﺎﺑﻞ ﺗﻜﺮار و ﻳﻜﻨﻮاﺧﺘﻲ ﺑﻴﻦ وﻳﻔﺮﻫﺎ داﺷﺘﻪ ﺑﺎﺷﻴﻢ )در ﺳـﻄﺢ ﭼﻨـﺪ وﻳﻔـﺮ(‪.‬‬

‫ﻣﻌﻤﻮﻻً ﻳﻜﻨﻮاﺧﺘﻲ در ﺳﻄﺢ وﻳﻔﺮ ﺑﺎ اﻧﺪازهﮔﻴﺮي ﺿﺨﺎﻣﺖ ﭼﻨﺪﻳﻦ ﻧﻘﻄﻪي از ﻗﺒﻞ ﻣﺸﺨﺺ ﺷـﺪه ﺑـﺮ‬

‫روي وﻳﻔﺮ ﻗﺒﻞ و ﺑﻌﺪ از زداﻳﺶ ﺑﺪﺳﺖ ﻣﻲآﻳﺪ‪ .‬ﺑﺎ ﺗﻘﺴﻴﻢ اﻧﺤﺮاف ﻣﻌﻴﺎر ﻣﻴـﺰان ﻧـﺮخ زداﻳـﺶ ﺑـﺮ‬

‫ﻣﻴﺎﻧﮕﻴﻦ ﻧﺮخ زداﻳﺶ ﻏﻴﺮ ﻳﻜﻨﻮاﺧﺘﻲ ﻣﺤﺎﺳﺒﻪ ﻣﻲﺷﻮد‪.‬‬

‫‪ - 6- 2- 6‬ﻛﻨﺘﺮل ﭘﺬﻳﺮي ﭘﺮوﺳﻪ‬

‫اﺻﻮﻻً ﻛﻨﺘﺮل ﭘﺬﻳﺮ ﺑﻮدن ﻣﻄﻠﻮب ﻫﺮ ﻋﻤﻠﻴﺎﺗﻲ اﺳﺖ‪ .‬در اﻧﺠﺎم ﭘﺮوﺳﻪ زداﻳﺶ ﻧﻴﺰ ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ اﻫﻤﻴﺖ‬

‫ﻣﺮاﺣﻞ ﻛﺎري اﻳﻦ ﭘﺮوﺳﻪ‪ ،‬ﻛﻨﺘﺮل ﭘﺬﻳﺮ ﺑﻮدن اﻣﺮي ﻻزم ﺑﻪ ﻧﻈﺮ ﻣﻲرﺳﺪ‪ .‬ﺑﺮاي ﺗﺤﻘﻖ اﻳﻦ اﻣﺮ ﻟـﺰوم‬

‫اﻧﺘﺨﺎب ﻣﻮادي ﻛﻪ داراي اﻧﺘﺨﺎب ﭘﺬﻳﺮي ﺑﺎﻻ ﺑﺎﺷﻨﺪ ﺗﺎ از زداﻳﺶ ﻻﻳﻪ دوم ﺟﻠﻮﮔﻴﺮي ﺑﻪ ﻋﻤﻞ آﻳـﺪ‬

‫آﺷﻜﺎر ﻣﻲﺷﻮد‪ .‬اﻟﺒﺘﻪ روﺷﻬﺎي ﻣﺨﺘﻠﻒ زداﻳﺶ داراي درﺟﻪ ﻛﻨﺘﺮل ﭘﺬﻳﺮي ﻣﺨﺘﻠﻔﻲ ﻫﺴـﺘﻨﺪ ﻛـﻪ‬

‫واﺑﺴﺘﻪ ﺑﻪ ﻛﺎرﺑﺮد آﻧﻬﺎ در راﺳﺘﺎي ﺗﺄﻣﻴﻦ ﺑﻴﺸﺘﺮ ﻛﻨﺘﺮل ﭘﺬﻳﺮي اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪.‬‬

‫‪ - 7- 2- 6‬دﻣﺎي ﻣﺤﻴﻂ‬

‫دﻣﺎ ﻳﻜﻲ از ﭘﺎراﻣﺘﺮﻫﺎي ﻣﻬﻢ ﭘﺮوﺳﻪ زداﻳﺶ ﻣﻲﺑﺎﺷﺪ ﻛﻪ ﻛﻨﺘﺮل آن در ﻛﻴﻔﻴﺖ ﻣﺤﺼﻮل ﻧﻬﺎﻳﻲ ﻣﻮﺛﺮ‬

‫اﺳﺖ‪ .‬اﮔﺮ دﻣﺎي ﻣﺤﻴﻂ زداﻳﺶ از ﺣﺪ ﻣﻮرد ﻧﻴﺎز ﺑﺎﻻﺗﺮ ﺑﺎﺷﺪ ﻣﻮاد زداﻳﻨﺪه ﺑﺎ ﺳﺮﻋﺖ ﺑﻴﺸﺘﺮي ﻗﺴﻤﺖ‬

‫ﻣﺤﺎﻓﻈﺖ ﻧﺸﺪه ﻻﻳﻪ اول را ﺧﻮاﻫﻨﺪ ﺧﻮرد و از ﻃﺮﻓﻲ دﻳﮕﺮ اﮔﺮ دﻣﺎي ﻣﺤﻴﻂ زداﻳﺶ ﭘـﺎﻳﻴﻦ ﺑﺎﺷـﺪ‬

‫‪2 Uniformity‬‬
‫‪158‬‬
‫زﻣﺎن اﻧﺠﺎم ﭘﺮوﺳﻪ ﻣﺬﻛﻮر ﻃﻮﻻﻧﻲ ﺧﻮاﻫﺪ ﺷﺪ ﻛﻪ اﻳﻦ ﺧﻮد ﺳﺮﻋﺖ ﺗﻮﻟﻴﺪ را ﭘﺎﻳﻴﻦ ﻣﻲآورد‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ‬

‫ﻛﻨﺘﺮل دﻣﺎ در ﺣﺪ ﺑﻬﻴﻨﻪ و ﻳﻜﻨﻮاﺧﺘﻲ آن در ﻃﻮل زداﻳﺶ از اﻫﻤﻴﺖ ﺑﺎﻻﻳﻲ ﺑﺮﺧﻮردار اﺳﺖ‪.‬‬

‫‪ - 8- 2- 6‬ﻗﻴﻤﺖ ﻧﻬﺎﻳﻲ ﺳﻴﺴﺘﻢ زداﻳﻨﺪه‬

‫ﻳﻜﻲ از اﺻﻠﻲﺗﺮﻳﻦ ﭘﺎراﻣﺘﺮﻫﺎ‪ ،‬اﻧﺘﺨﺎب روش و اﺻﻮل اﻧﺠﺎم ﻫﺮ ﭘﺮوﺳﻪاي در ﺳﺎﺧﺖ ﻣﺪارات ﻣﺠﺘﻤﻊ‪،‬‬
‫ﻗﻴﻤﺖ ﻧﻬﺎﻳﻲ اﻧﺠﺎم آن ﭘﺮوﺳﻪ اﺳﺖ ﭼﺮا ﻛﻪ اﻧﺘﺨﺎب روﺷﻲ ﻛﻪ ﻣﻨﺠﺮ ﺑﻪ ﻛﺎﻫﺶ ﻗﻴﻤﺖ ﺗﻤﺎم ﺷﺪه‬
‫ﺳﻴﺴﺘﻢ ﺷﻮد ﻣﻄﻠﻮب ﺧﻮاﻫﺪ ﺑﻮد‪ .‬ﻫﻤﺎﻧﻄﻮر ﻳﻜﻪ ﻣﻲداﻧﻴﻢ و ﺑﻪ ﻃﻮر ﻣﺒﺴﻮط در اداﻣﻪ ﺑﺤﺚ ﺧﻮاﻫﺪ ﺷﺪ‬
‫روﺷﻬﺎي ﻣﺨﺘﻠﻔﻲ ﺑﺮاي اﻧﺠﺎم دادن ﭘﺮوﺳﻪ زداﻳﺶ وﺟﻮد دارد‪ .‬ﻋﻠﺖ وﺟﻮدي اﻳﻦ روﺷﻬﺎ در ﻣﺘﻔﺎوت‬
‫ﺑﻮدن ﻗﻴﻤﺖ ﺗﻤﺎم ﺷﺪهي آﻧﻬﺎﺳﺖ ﻛﻪ ﺑﺴﺘﻪ ﺑﻪ ﻛﺎرﻛﺮد‪ 1‬و ﺣﺴﺎﺳﻴﺖ ‪ 2‬اﻧﺠﺎم ﭘﺮوﺳﻪ ﻳﻜﻲ از اﻳﻦ‬
‫روﺷﻬﺎ اﻧﺘﺨﺎب ﻣﻲﺷﻮد ﻛﻪ اﻳﻦ ﺧﻮد ﻗﻴﻤﺖ ﻧﻬﺎﻳﻲ ﺳﻴﺴﺘﻢ را ﺗﻐﻴﻴﺮ ﺧﻮاﻫﺪ داد‪.‬‬

‫‪ - 9- 2- 6‬درﺟﻪي ﻫﻤﺴﺎﻧﮕﺮدي ‪3‬‬

‫درﺟﻪي ﻫﻤﺴﺎﻧﮕﺮدي ﻣﻌﻴﺎري ﺑﺮاي ﺗﻌﻴﻴﻦ ﻫﻤﺴﺎﻧﮕﺮدي ﻋﻤﻞ زداﻳﺶ ﻣﻲﺑﺎﺷﺪ‪ .‬ﺑﻪ ﻋﺒﺎرت دﻳﮕﺮ‬
‫ﭘﺎراﻣﺘﺮي اﺳﺖ ﻛﻪ ﺗﻌﻴﻴﻦ ﻣﻲ ﻛﻨﺪ ﭼﻪ ﻣﻘﺪار از ﻻﻳﻪ زﻳﺮ ﻓﺘﻮرزﻳﺴﺖ زداﻳﺶ ﺷﺪه اﺳﺖ‪ .‬ﻛﻪ ﺑﻪ‬
‫وﺳﻴﻠﻪي ﻣﻌﺎدﻟﻪي زﻳﺮ ﺗﻌﻴﻴﻦ ﻣﻲﺷﻮد ‪.‬‬

‫࢚ࢇ࢒ࡾ‬
‫‪Af ≡ 1 -‬‬ ‫࢘ࢋ࢜ࡾ‬
‫‪Rlat : Lateral etch rate‬‬
‫‪Rver : Vertical etch rate‬‬
‫‪Af : Degree of anisotropy‬‬

‫ﻛﻪ ‪ Af‬ﻣﻘﺪاري ﺑﻴﻦ ‪ 0‬ﺗﺎ ‪ 1‬را دارا ﻣﻲﺑﺎﺷﺪ‪ .‬ﻛﻪ ﻫﺮ ﭼﻪ ‪ Af‬ﺑﻪ ‪ 1‬ﻧﺰدﻳﻚﺗﺮ ﺑﺎﺷﺪ ﻋﻤﻞ زداﻳﺶ ﺑﺎ‬
‫دﻳﻮارهﻫﺎي ﻋﻤﻮديﺗﺮ ﻫﻤﺮاه ﺧﻮاﻫﺪ ﺑﻮد و ﺑﺮﻋﻜﺲ ﻫﺮ ﭼﻪ ‪ Af‬ﺑﻪ ‪ 0‬ﻧﺰدﻳﻚﺗﺮ ﺑﺎﺷﺪ ﻋﻤﻞ زداﻳﺶ‬
‫ﻫﻤﺴﺎﻧﮕﺮد ﺑﻮده و دﻳﻮارهﻫﺎي ﺷﻴﺐ دار ﺣﺎدث ﻣﻲ ﺷﻮﻧﺪ ‪.‬‬

‫‪1) Performance‬‬
‫‪2) Sensitivity‬‬
‫‪3) Degree of Anisotropy‬‬
‫‪159‬‬
‫‪ -3-6‬ﻧﻮاﻗﺺ ﻣﻮﺟﻮد در ﭘﺮوﺳﻪ زداﻳﺶ‬
‫ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ اﻳﻨﻜﻪ ﭘﺎراﻣﺘﺮﻫﺎي ﮔﻔﺘﻪ ﺷﺪه در ﭘﺮوﺳﻪ زداﻳﺶ ﺑﺎ دﻗﺖ ﺑﺎﻻﻳﻲ ﺑﺮآورده ﻧﻤﻲﺷﻮﻧﺪ ﭘﺮوﺳﻪ‬

‫زداﻳﺶ ﺑﺎ ﻧﻮاﻗﺼﻲ روﺑﺮو ﺧﻮاﻫﺪ ﺷﺪ‪ .‬اﻳﻦ ﻧﻮاﻗﺺ ﻋﺒﺎرﺗﻨﺪ از‪:‬‬

‫‪ - 1- 3- 6‬زداﻳﺶ ﻧﺎﻗﺺ‬

‫ﺷﻜﻞ ﮔﻴﺮي اﻳﻦ ﺣﺎﻟﺖ وﻗﺘﻲ اﺳﺖ ﻛﻪ ﻗﺴﻤﺖ ﻣﺤﺎﻓﻈﺖ ﻧﺸﺪه ﻻﻳﻪ اول ﻣﻮرد ﭘﺮدازش ﭘﺮوﺳﻪ‬

‫زداﻳﺶ ﺑﻪ ﻃﻮر‬

‫ﺷﻜﻞ‪4‬زداﻳﺶ ﻧﺎﻗﺺ‬

‫ﻛﺎﻣﻞ ﺑﺮداﺷﺘﻪ ﻧﺸﻮد و ﻗﺴﻤﺘﻲ از آن ﻫﻤﭽﻨﺎن ﺑﺎﻗﻲ ﻣﺎﻧﺪه ﺑﺎﺷﺪ )ﺷﻜﻞ ‪ .( 4‬ﻋﻮاﻣﻠﻲ ﻛﻪ در اﻳﺠﺎد اﻳﻦ‬

‫ﻧﻮع زداﻳﺶ ﻧﻘﺶ دارﻧﺪ ﻋﺒﺎرﺗﻨﺪ از‪ :‬زﻣﺎن ﻛﻮﺗﺎه زداﻳﺶ‪ ،‬وﺟﻮد ﻻﻳﻪﻫﺎﻳﻲ ﺑﺮ روي وﻳﻔـﺮ ﻛـﻪ ﻋﻤـﻞ‬

‫زداﻳﺶ در ﻣﻮرد آﻧﻬﺎ ﺑﻪ ﻛﻨﺪي ﺻﻮرت ﻣﻲﮔﻴﺮد‪ ،‬وﺟﻮد ﻻﻳﻪﻫﺎي ﺳﻄﺤﻲ ﻏﻴﺮ ﻳﻜﻨﻮاﺧﺖ ﻛـﻪ داراي‬

‫ﭘﺴﺘﻲ و ﺑﻠﻨﺪﻳﻬﺎﻳﻲ ﺑﻮده و در ﺑﻌﻀﻲ ﺟﺎﻫﺎ ﺿﺨﺎﻣﺖ ﺑﻴﺸﺘﺮي دارﻧﺪ و اﻳﻦ ﺿﺨﺎﻣﺖ ﺗﻤﺎﻣـﺎً در ﻃـﻮل‬

‫زداﻳﺶ ﺑﺮداﺷﺘﻪ ﻧﻤﻲﺷﻮد و زداﻳﺶ ﻧﺎﻗﺺ ﺣﺎﺻﻞ ﻣﻲﺷﻮد‪ .‬اﮔﺮ از روش زداﻳﺶ ﺷﻴﻤﻴﺎﻳﻲ ﻣﺮﻃـﻮب‬

‫اﺳﺘﻔﺎده ﺷﻮد ﭘﺎﻳﻴﻦ ﺑﻮدن دﻣﺎ و ﻳﺎ اﺳﺘﻔﺎده از زداﻳﻨﺪهاي ﺿﻌﻴﻒ ﻛﻪ ﺑﻪ ﺧﻮﺑﻲ ﻗـﺎدر ﻧﻴﺴـﺖ ﻣـﻮاد‬

‫ﺳﻄﺤﻲ را ﺑﺰداﻳﺪ ﺑﺎﻋﺚ ﻧﺎﻗﺺ ﺑﻮدن زداﻳﺶ ﺧﻮاﻫﺪ ﺷﺪ‪ .‬اﮔﺮ از روش زداﻳـﺶ ﺧﺸـﻚ ﭘﻼﺳـﻤﺎﻳﻲ‬

‫اﺳﺘﻔﺎده ﺷﻮد اﺷﻜﺎل در درﺳﺖ ﻛﺮدن ﻣﺨﻠﻮط ﮔﺎزي )ﻣﺨﻠﻮط ﮔﺎزي ﻧﺎدرﺳﺖ( و ﻋﻤﻠﻜﺮد ﻧﺎﻣﻨﺎﺳـﺐ‬

‫ﺳﻴﺴﺘﻢ ﺑﺎﻋﺚ اﻳﺠﺎد ﭼﻨﻴﻦ اﺛﺮاﺗﻲ ﻣﻲﺷﻮد‪.‬‬


‫‪160‬‬
‫ﺷﻜﻞ ‪ 5‬ﭘﺮوﻓﺎﻳﻞﻫﺎي ﻣﺨﺘﻠﻒ زداﻳﺶ‬

‫‪ - 2- 3- 6‬ﻓﺮا زداﻳﺶ‪ 1‬و ﺑﺮش زﻳﺮﻳﻦ‬

‫ﻧﻘﻄﻪ ﻣﻘﺎﺑﻞ زداﻳﺶ ﻧﺎﻗﺺ‪ ،‬ﻓﺮا زداﻳﺶ اﺳﺖ‪ .‬در ﻫﺮ ﭘﺮوﺳﻪ زداﻳﺶ ﺗﺎ درﺟﻪاي از ﻓﺮا زداﻳﺶ ﺑﺮﻧﺎﻣﻪ‬

‫رﻳﺰي ﻣﻲﺷﻮد‪ ،‬ﻛﻪ ﺑﻪ ﻣﺎ اﺟﺎزه ﻣﻲدﻫﺪ ﺗﻔﺎوﺗﻬﺎي ﻣﺠﺎز در ﺿـﺨﺎﻣﺖ ﻻﻳـﻪ روﻳـﻲ داﺷـﺘﻪ ﺑﺎﺷـﻴﻢ‪.‬‬

‫ﻫﻤﭽﻨﻴﻦ ﻓﺮا زداﻳﺶ ﺑﺮﻧﺎﻣﻪ رﻳﺰي ﺷﺪه اﺟﺎزه ﻣﻲدﻫﺪ ﺑﺘﻮاﻧﻴﻢ ﻻﻳﻪ روﻳﻲ را ﺑﻪ ﺳﺮﻋﺖ ﺑﺰداﻳﻴﻢ‪.‬‬

‫‪Normal‬‬ ‫‪Over Etch‬‬

‫‪Under Cut‬‬

‫ﺷﻜﻞ ‪ 6‬درﺟﺎت ﻣﺨﺘﻠﻒ ﺑﺮش زﻳﺮﻳﻦ‬

‫‪1 Over etch‬‬


‫‪161‬‬
‫ﻳﻚ زداﻳﺶ اﻳﺪهآل ﻣﻨﺠﺮ ﺑﻪ اﻳﺠﺎد دﻳﻮارهﻫﺎﻳﻲ ﻋﻤﻮدي در ﺣﻔﺮه اﻳﺠﺎد ﺷﺪه ﻣﻲﺷﻮد ‪.‬ﺗﻜﻨﻴﻜﻬﺎﻳﻲ‬

‫ﻛﻪ ﻣﻨﺠﺮ ﺑﻪ اﻳﺠﺎد ﭼﻨﻴﻦ ﻧﺘﺎﻳﺞ اﻳﺪهآﻟﻲ ﻣﻲﺷﻮﻧﺪ ﺑﺎ ﻧﺎم ﻏﻴﺮﻫﻤﺴﺎﻧﮕﺮد ‪ 1‬ﻣﻄﺮح ﻣﻲﺷﻮﻧﺪ و اﻳﻦ ﻳﻌﻨﻲ‬

‫زداﻳﺶ در ﻳﻚ ﺟﻬﺖ و آن ﻫﻢ ﺟﻬﺖ ﻋﻤﻮدي اﺳﺖ‪ .‬اﻣﺎ ﻣﻮاد ﺧﻮرﻧﺪه ﻻﻳﻪ ﺳﻄﺤﻲ را در ﺗﻤﺎم ﺟﻬﺎت‬

‫ﻣﻲﺧﻮرﻧﺪ ﻛﻪ اﻳﻦ ﭘﺪﻳﺪه ﺑﺎ ﻧﺎم ﻫﻤﺴﺎﻧﮕﺮد ﺑﻴﺎن ﻣﻲﺷﻮد‪ .‬ﺷﻜﻞ ‪ 6‬ﺑﻴﺎن ﻛﻨﻨﺪه ﭘﺪﻳﺪه اﻳﺠـﺎد ﺷـﺪه‬

‫ﻣﻲﺑﺎﺷﺪ‪ .‬در ﻃﻲ اﻧﺠﺎم ﭘﺮوﺳﻪ زداﻳﺶ ﻫﻤﺎﻧﻄﻮر ﻛﻪ ﻣﻮاد ﺧﻮرﻧﺪه ﺑﻪ ﻃﻮر ﻋﻤﻮدي ﻻﻳﻪ روﻳـﻲ را ﺗـﺎ‬

‫ﻻﻳﻪ ﭘﺎﻳﻴﻨﻲ ﻣﻲزداﻳﻨﺪ‪ ،‬ﺑﻪ دﻟﻴﻞ ﺗﻤﺎس ﻣﻮاد ﺧﻮرﻧﺪه ﺑﺎ دﻳﻮارهﻫﺎي ﻛﻨﺎري‪ ،‬اﻳﻦ دﻳﻮارهﻫﺎ ﻧﻴﺰ ﺑﻪ ﻃـﻮر‬

‫ﺷﻴﺐدار ﺧﻮرده ﻣﻲﺷﻮﻧﺪ ﻛﻪ اﻳﻦ ﻋﻤﻞ ﻣﺎ را ﺑﺎ ﭘﺪﻳﺪه ﺑﺮش زﻳﺮﻳﻦ روﺑﺮو ﻣﻲﻛﻨﺪ و ﻣﻔﻬﻮم آن اﻳـﻦ‬

‫اﺳﺖ ﻛﻪ ﻻﻳﻪ ﺳﻄﺤﻲ زﻳﺮ ﻻﻳﻪ ﻣﻘﺎوﻣﺖ ﺧﻮرده ﺷﺪه اﺳﺖ‪ .‬ﻫﺪف در زداﻳﺶ‪ ،‬ﻛﻨﺘﺮل ﺑﺮش زﻳﺮﻳﻦ در‬

‫ﻳﻚ ﺳﻄﺢ ﻣﻄﻠﻮب ﻣﻲﺑﺎﺷﺪ‪.‬‬

‫ﺷﻜﻞ ‪ 7‬ﻓﺮاﻳﻨﺪ زداﻳﺶ اﺻﻠﻲ و ﻓﺮا زداﻳﺶ‬

‫‪1 Anisotropic‬‬
‫‪162‬‬
‫ﺑﻪ ﻋﻠﺖ وﺟﻮد ﭼﻨﻴﻦ ﭘﺪﻳﺪهاي در ﭘﺮوﺳﻪ زداﻳﺶ ﻃﺮاﺣﺎن ﻣﺪار ﺑﺎﻳﺪ آﻧﺮا ﻫﻨﮕـﺎم ﻃﺮاﺣـﻲ در ﻧﻈـﺮ‬

‫ﺑﮕﻴﺮﻧﺪ‪ .‬اﻟﮕﻮﻫﺎي ﻣﺠﺎور ﺑﺎﻳﺪ از ﻓﺎﺻﻠﻪ ﻣﻨﺎﺳﺒﻲ ﺑﺮﺧﻮردار ﺑﺎﺷﻨﺪ ﺗﺎ از ﭘﺪﻳﺪه اﺗﺼﺎل ﻛﻮﺗﺎه ﻣﻴﺎن آﻧﻬﺎ‬

‫ﺟﻠﻮﮔﻴﺮي ﺑﻪ ﻋﻤﻞ آﻳﺪ‪ .‬ﻣﻘﺪار ﺑﺮش زﻳﺮﻳﻦ اﻳﺠﺎد ﺷﺪه ﺑﺎﻳﺪ در ﻫﻨﮕﺎم ﻃﺮح ﻣﺪار ﺑﻪ دﻗﺖ ﻣﺤﺎﺳـﺒﻪ‬

‫ﺷﻮد‪ .‬زداﻳﺶ ﻏﻴﺮﻫﻤﺴﺎﻧﮕﺮد ﺑﺎ اﺳﺘﻔﺎده از روش زداﻳﺶ ﭘﻼﺳﻤﺎﻳﻲ ﻗﺎﺑﻞ دﺳﺘﻴﺎﺑﻲ اﺳـﺖ و از اﻳـﻦ‬

‫روش در ﺗﻜﻨﻮﻟﻮژﻳﻬﺎي ﭘﻴﺸﺮﻓﺘﻪ اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ .‬ﺑﻪ ﻃﻮر ﻛﻠﻲ ﻛﺎﻫﺶ ﺑﺮش زﻳﺮﻳﻦ ﻣﺎ را ﻗﺎدر ﻣـﻲ‪-‬‬

‫ﺳﺎزد ﻛﻪ ﻣﺪارﻫﺎي ﻣﺘﺮاﻛﻢﺗﺮي داﺷﺘﻪ ﺑﺎﺷﻴﻢ‪ .‬ﻋﻮاﻣﻞ ﻣﻮﺛﺮ در رخ دادن ﺑﺮش زﻳﺮﻳﻦ ﻳﺎ ﻓﺮا زداﻳـﺶ‬

‫ﻋﺒﺎرﺗﻨﺪ از‪ :‬زﻳﺎد ﺑﻮدن زﻣﺎن زداﻳﺶ‪ ،‬ﻗﻮي ﺑﻮدن ﻣﺨﻠﻮط ﻣﻮرد ﻧﻈﺮ ﺑﺮاي زداﻳﺶ‪ ،‬ﺑﺎﻻ ﺑـﻮدن دﻣـﺎي‬

‫زداﻳﺶ‪ ،‬اﺗﺼﺎل ﺿﻌﻴﻒ رزﻳﺴﺖ ﺑﻪ ﻻﻳﻪ اول ﻛﻪ اﻳﻦ ﻣﺴﺄﻟﻪ در ﺗﻤﺎﻣﻲ ﻗﺴﻤﺘﻬﺎ ﺑﺮاي ﻣﺎ ﻣﺴـﺄﻟﻪ ﺳـﺎز‬

‫اﺳﺖ‪.‬‬

‫‪ - 3- 3- 6‬اﺛﺮ ﺑﺎرﮔﺬاري‪1‬‬

‫ﺑﺮاي زداﻳﺶ ﺣﻔﺮهﻫﺎي ‪ via‬و اﺗﺼﺎﻻت‪ ،‬ﺣﻔﺮهﻫﺎي ﻛﻮﭼﻜﺘﺮ ﻧﺮخ زداﻳﺶ ﻛﻤﺘﺮي ﻧﺴﺒﺖ ﺑﻪ ﺣﻔﺮهﻫﺎي‬

‫ﺑﺰرﮔﺘﺮ دارﻧﺪ ﺑﻪ اﻳﻦ ﭘﺪﻳﺪه‪ ،‬اﺛﺮ ﺑﺎرﮔﺬاري ﻣﺎﻳﻜﺮو ﻣﻲﮔﻮﻳﻨﺪ و ﺑﻪ اﻳﻦ ﺧﺎﻃﺮ ﺑﻪ وﺟﻮد ﻣـﻲآﻳـﺪ ﻛـﻪ‬

‫زداﻳﻨﺪه‪ ،‬ﻣﺸﻜﻠﺘﺮ از ﻳﻚ ﺣﻔﺮه ﻛﻮﭼﻜﺘﺮ ﻋﺒﻮر ﻣﻲﻛﻨﺪ ﺗﺎ از ﻳﻚ ﺣﻔﺮه ﺑﺰرﮔﺘﺮ و ﻫﻤﭽﻨﻴﻦ ﻣﺤﺼﻮﻻت‬

‫زداﻳﺶ ﻧﻴﺰ ﺑﺮاي ﺧﺎرج ﺷﺪن از ﻳﻚ ﺣﻔﺮه ﻛﻮﭼﻚ ﻣﺸﻜﻞ ﺑﻴﺸﺘﺮي دارﻧﺪ‪.‬‬

‫ﻫﻤﭽﻨﻴﻦ ﻧﺮخ زداﻳﺶ ﺑﺮاي ﻳﻚ وﻳﻔﺮ ﺑﺎ ﻓﻀﺎي ﺑﺎز ﺑﻴﺸﺘﺮ ﻣﺘﻔﺎوت از ﻳﻚ وﻳﻔﺮ ﺑﺎ ﻓﻀﺎي ﺑﺎز ﻛﻤﺘﺮ ﻣﻲ‪-‬‬

‫ﺑﺎﺷﺪ‪ .‬اﻳﻦ ﺗﻔﺎوت در ﻧﺮخ زداﻳﺶ از ﻳﻚ وﻳﻔﺮ ﺑﻪ وﻳﻔﺮ دﻳﮕﺮ‪ ،‬اﺛﺮ ﺑﺎرﮔﺬاري ﻣﺎﻛﺮو ﻧﺎﻣﻴﺪه ﻣﻲﺷﻮد‪ .‬اﻳﻦ‬

‫ﭘﺪﻳﺪه ﺑﻴﺸﺘﺮ ﺑﺮ روي ﭘﺮوﺳﻪ زداﻳﺶ ‪ batch‬ﺗﺄﺛﻴﺮ دارد و ﺑﺮ روي ﻳﻚ وﻳﻔﺮ ﺗـﺄﺛﻴﺮ ﭼﻨـﺪاﻧﻲ ﻧﻤـﻲ‪-‬‬

‫ﮔﺬارد‪ .‬اﻛﻨﻮن ﺑﺎ ﺑﻴﺎن ﭘﺎراﻣﺘﺮﻫﺎ و ﻧﻮاﻗﺺ ﻣﻮﺟﻮد در ﭘﺮوﺳﻪ زداﻳﺶ‪ ،‬روﺷﻬﺎي ﻣﺨﺘﻠﻒ ﭘﺮوﺳﻪ زداﻳﺶ‬

‫را ﺑﺮرﺳﻲ ﻛﺮده و اﻳﻦ ﻋﻮاﻣﻞ را در ﻫﺮ روش ﻣﻮرد ﺑﺮرﺳﻲ ﻗﺮار ﻣﻲدﻫﻴﻢ‪.‬‬

‫‪1Loading effect‬‬
‫‪163‬‬
‫ﺷﻜﻞ ‪ 8‬اﺛﺮ ﺑﺎرﮔﺬاري ﻣﺎﻳﻜﺮو‬

‫‪ -4-6‬روﺷﻬﺎي ﻣﺨﺘﻠﻒ ﭘﺮوﺳﻪ زداﻳﺶ‬


‫ﺑﻪ ﻃﻮر ﻛﻠﻲ ﭘﺮوﺳﻪ زداﻳﺶ ﺑﺮ اﺳﺎس روش اﻧﺠﺎم ﻛﺎر ﺑﻪ ردهﻫﺎي زﻳﺮ ﺗﻘﺴﻴﻢ ﺑﻨﺪي ﻣﻲﺷﻮد‪:‬‬

‫‪ - 1‬زداﻳﺶ ﻣﺮﻃﻮب ‪1‬‬

‫• زداﻳﺶ ﺑﺎ ﻓﺮوﺑﺮدن وﻳﻔﺮﻫﺎ در ﺗﺎﻧﻚ ﻣﻤﻠﻮ از ﻣﻮاد زداﻳﻨﺪه ‪2‬‬

‫• زداﻳﺶ ﺑﺎ اﺳﭙﺮي ﻛﺮدن ﻣﻮاد زداﻳﻨﺪه ﺑﺮ ﺳﻄﺢ وﻳﻔﺮ‪1‬‬

‫‪ - 2‬زداﻳﺶ ﺧﺸﻚ ‪3‬‬

‫• ﭘﻼﺳﻤﺎ‬

‫• ‪Ion Milling‬‬
‫• ‪(R.I.E) Reactive Ion Etching‬‬

‫‪2 Wet etching‬‬


‫‪1 Immersion‬‬
‫‪2Dry etch‬‬
‫‪164‬‬
‫‪ -5-6‬زداﻳﺶ ﻣﺮﻃﻮب‬

‫‪ - 1- 5- 6‬زداﻳﺶ ﺑﺎ ﻓﺮوﺑﺮدن وﻳﻔﺮﻫﺎ در ﻣﺨﺰن ﻣﻤﻠﻮ از ﻣﻮاد زداﻳﻨﺪه‬

‫اﻳﻦ ﺷﻴﻮه ﻛﻪ روﺷﻲ ﻗﺪﻳﻤﻲ ﺑﺮاي اﻧﺠﺎم ﻋﻤﻞ زداﻳﺶ ﻣﻲﺑﺎﺷﺪ‪ ،‬از اﻫﻤﻴﺖ وﻳﮋهاي ﺑﺮﺧﻮردار اﺳﺖ و‬

‫ﻧﺤﻮهي ﺷﻜﻞ ﮔﻴﺮي آن ﺑﻪ اﻳﻦ ﺻﻮرت اﺳﺖ ﻛﻪ وﻳﻔﺮﻫﺎ در ﺗﺎﻧﻜﻲ ﻣﻤﻠﻮ از ﻣﺎﻳﻌـﺎت ﺧﻮرﻧـﺪه ﺑـﺮاي‬

‫ﺑﺮداﺷﺘﻦ ﻻﻳﻪ ﺳﻄﺤﻲ ﻗﺮار ﻣﻲﮔﻴﺮﻧﺪ‪ .‬وﻳﻔﺮﻫﺎ در اﻳﻦ ﺗﺎﻧﻚ در ﻳﻚ زﻣﺎن ﻣﺨﺼﻮص ﻧﮕﻪ داﺷﺘﻪ ﻣـﻲ‪-‬‬

‫ﺷﻮﻧﺪ‪ ،‬ﺳﭙﺲ ﺑﻪ اﻳﺴﺘﮕﺎه ﺷﺴﺘﻦ وﻳﻔﺮ ﺑﺮاي ﺣﺬف اﺳﻴﺪﻫﺎي ﺑﺎﻗﻴﻤﺎﻧﺪه ﻣﻨﺘﻘﻞ ﻣﻲﺷﻮﻧﺪ و ﺑﺮاي ﺑـﺎﻻ‬

‫ﺑﺮدن اﻃﻤﻴﻨﺎن از ﺣﺬف اﺳﻴﺪﻫﺎ‪ ،‬ﻳﻚ ﻣﺮﺣﻠﻪ ﺷﺴﺘﺸﻮي ﻧﻬﺎﻳﻲ ﻧﻴﺰ ﻧﻴﺎز ﻣﻲﺑﺎﺷﺪ ﻛﻪ ﺑﻌﺪ از آن ﻧﻴـﺰ‬

‫ﻳﻚ ﻣﺮﺣﻠﻪ ﺧﺸﻚ ﻛﺮدن وﻳﻔﺮ را ﻧﻴﺰ ﺧﻮاﻫﻴﻢ داﺷﺖ‪ .‬ﭘﺲ ﻣﺮاﺣﻞ ﻛﻠﻲ اﻧﺠﺎم ﻋﻤﻞ زداﻳﺶ ﻣﺮﻃﻮب‬

‫ﺑﻪ ﺳﻪ ﻣﺮﺣﻠﻪ ﺗﻘﺴﻴﻢ ﻣﻲﺷﻮد‪.‬‬

‫‪ (1‬ﻓﺮو ﺑﺮدن وﻳﻔﺮ در ﻣﺨﺰن ﻣﻤﻠﻮ از ﻣﺎﻳﻊ زداﻳﻨﺪه‬

‫‪ (2‬ﺷﺴﺘﻦ وﻳﻔﺮ ﺑﺎ آب‪1‬‬

‫‪ (3‬ﺧﺸﻚ ﻛﺮدن‬

‫ﺷﻜﻞ ‪ 9‬ﻣﺮاﺣﻞ زداﻳﺶ ﻣﺮﻃﻮب‬

‫‪3 Rinse‬‬
‫‪165‬‬
‫روش زداﻳﺶ ﻣﺮﻃﻮب ﺑﺮاي اﺑﻌﺎد ﺑﺎﻻﺗﺮ از ‪ 3‬ﻣﻴﻜﺮون اﺳﺘﻔﺎده ﻣﻲﺷﻮد ﻛﻪ اﻟﺒﺘﻪ ﺑﺮاي دﺳـﺘﻴﺎﺑﻲ ﺑـﻪ‬

‫ﻛﻨﺘﺮل و دﻗﺖ ﻣﻮرد ﻧﻴﺎز در ﻋﻤﻞ زداﻳﺶ در اﺑﻌﺎد زﻳﺮ ‪ 3‬ﻣﻴﻜﺮون از روش زداﻳﺶ ﺧﺸﻚ اﺳﺘﻔﺎده‬

‫ﻣﻲﺷﻮد ﻛﻪ ﺑﻌﺪاً ﺗﻮﺿﻴﺢ داده ﺧﻮاﻫﺪ ﺷﺪ‪ .‬ﻣﻬﻨﺪﺳﺎن ﺗﻼش زﻳﺎدي ﻛﺮدهاﻧﺪ ﺗﺎ ﺗﻤﺎﻣﻲ ﭘﺮوﺳـﻪﻫـﺎي‬

‫زداﻳﺶ ﻣﺮﻃﻮب را از ﺳﺎﺧﺖ ﻧﻴﻤﻪ ﻫﺎدي ﺣﺬف ﻛﻨﻨﺪ اﻣﺎ ﭘﺲ از آﻧﻜﻪ ﭘـﻮﻟﻴﺶ ﺷـﻴﻤﻴﺎﻳﻲ ﻣﻜـﺎﻧﻴﻜﻲ‬

‫)‪ 1(CMP‬و ﻧﺸﺎﻧﺪن اﻟﻜﺘﺮوﺷﻴﻤﻴﺎﻳﻲ ﺑﻪ ﻃﻮر ﮔﺴﺘﺮده در ﺳﺎﺧﺖ ﭘﻴﺸـﺮﻓﺘﻪ ‪ IC‬ﺑﻜـﺎر ﮔﺮﻓﺘـﻪ ﺷـﺪ‪،‬‬

‫ﺧﻼص ﺷﺪن از ﭘﺮوﺳﻪ زداﻳﺶ ﻣﺮﻃﻮب ﻣﺸﻜﻞ ﺷﺪ‪ .‬ﭘﺮوﺳﻪ زداﻳﺶ ﻣﺮﻃﻮب ﻫﻨﻮز ﺑﻪ ﻃﻮر ﮔﺴـﺘﺮده‬

‫در ﺟﺪا ﻛﺮدن ﻓﻴﻠﻤﻬﺎي ﻧﺎزك ‪ 2‬در ﺳﺎﺧﺖ ‪ IC‬ﺑﻪ ﺧﺎﻃﺮ اﻧﺘﺨﺎب ﭘﺬﻳﺮي ﺑﺎﻻي آن اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪.‬‬

‫ﺷﻜﻞ ‪ 10‬ﭘﺮوﻓﺎﻳﻞ زداﻳﺶ ﻣﺮﻃﻮب‬

‫ﺑﻬﺒﻮد ﻳﻜﻨﻮاﺧﺘﻲ و ﻛﻨﺘﺮل ﭘﺮوﺳﻪ ﺑﺎ اﺿﺎﻓﻪ ﻛﺮدن اﻣﻮاج اوﻟﺘﺮاﺳﻮﻧﻴﻚ و ﻣﮕﺎﺳﻮﻧﻴﻚ ﺑﻪ ﻣﺨﺰن ﻣﻤﻠﻮ‬

‫از ﻣﺎﻳﻊ ﺻﻮرت ﻣﻲﮔﻴﺮد‪ .‬اﺳﺘﻔﺎده از ﻣﺨﺰن ﻣﻤﻠﻮ از ﻣﺎﻳﻊ ﻣﺸﻜﻼﺗﻲ را ﺑﻪ ﻫﻤﺮاه دارد ﻛﻪ ﻋﺒﺎرﺗﻨﺪ از‪:‬‬

‫‪ (1‬ﻣﻤﺎﻧﻌﺖ ﻛﺸﺶ ﺳﻄﺤﻲ از ﻧﻔﻮذ زداﻳﻨﺪه ﺑﻪ درون ﺣﻔﺮهﻫﺎي رﻳﺰ‬

‫‪ (2‬آﻟﻮده ﺷﺪن ﺗﺎﻧﻚ ﭘﺲ از ﻣﺪﺗﻲ‬

‫‪ (3‬رﻗﻴﻖ ﺷﺪن زداﻳﻨﺪه‬

‫ﺷﻜﻞ زﻳﺮ ﺑﻪ ﻃﻮر ﻧﻤﻮﻧﻪ ﺗﺎﻧﻚﻫﺎ و ﻣﺨﺎزن ﻣﻮرد اﺳﺘﻔﺎده در زداﻳﺶ ﺗﺮ را ﻧﺸﺎن ﻣﻲدﻫﺪ‬

‫‪1 Chemical mechanical polishing‬‬


‫‪2 Thin film stripping‬‬
‫‪166‬‬
‫ﺷﻜﻞ ‪ .11‬ﺳﻴﺴﺘﻢ زداﻳﺶ ﺗﺮ‬

‫‪ - 2- 5- 6‬زداﻳﺶ ﺑﺎ اﺳﭙﺮي ﻛﺮدن ﻣﻮاد زداﻳﻨﺪه ﺑﺮ ﺳﻄﺢ وﻳﻔﺮ‬

‫اﻳﻦ روش ﺗﻔﺎوت ﭼﻨﺪاﻧﻲ ﺑﺎ روش اول ﻧﺪارد ﺟﺰ اﻳﻨﻜﻪ ﻣﻮاد زداﻳﻨﺪه ﺑﺎ روش اﺳﭙﺮي ﻛﺮدن ﺑﺮ ﺳﻄﺢ‬

‫وﻳﻔﺮﻫﺎ ﻣﻲﻧﺸﻴﻨﻨﺪ ﺗﺎ ﻗﺴﻤﺘﻬﺎي ﻣﻮرد ﻧﻈﺮ را ﺑﺰداﻳﻨﺪ‪ .‬در اﻳﻨﺠﺎ ﻧﻴﺰ ﻫﻤﺎن ﺳﻪ ﻣﺮﺣﻠﻪ ﻛﺎري روش اول‬

‫اﻋﻤﺎل ﻣﻲﺷﻮﻧﺪ اﻣﺎ ﻋﻠﻠﻲ ﻛﻪ ﺑﺎﻋﺚ ﻣﻲﺷﻮد از روش اﺳﭙﺮي ﺑﺠﺎي ﻓﺮوﺑﺮدن وﻳﻔﺮﻫﺎ در ﻣﺨﺰن ﻣﻤﻠﻮ‬

‫از ﻣﻮاد زداﻳﻨﺪه اﺳﺘﻔﺎده ﺷﻮد در ذﻳﻞ ﺑﻴﺎن ﻣﻲﺷﻮﻧﺪ‪ :‬ﻓﺸﺎر ﻣﻜﺎﻧﻴﻜﻲ اﻳﺠﺎد ﺷﺪه ﺑﺎﻋﺚ اﻓـﺰاﻳﺶ و‬

‫آﺷﻜﺎري ﺣﺪود‪ 1‬ﻣﻲﺷﻮد‪ ،‬آﻟﻮدﮔﻲ ﻣﻮاد ﺧﻮرﻧﺪه ﻣﻴﻨﻴﻤﻢ ﻣﻲﺷﻮد‪ ،‬ﻛﻨﺘﺮل ﭘﺮوﺳﻪ زداﻳـﺶ ﺑـﺎ اﻳـﻦ‬

‫روش آﺳﺎﻧﺘﺮ اﺳﺖ ﭼﻮن ﻣﻮاد زداﻳﻨﺪه را ﻣﻲﺗﻮان ﺑﻪ ﺳﺮﻋﺖ و ﺑﺎ ﺳﻮﺋﻴﭻ ﺳﻴﺴﺘﻢ ﺑﻪ ﺣﺎﻟﺖ ﺷﺴﺘﺸﻮ‬

‫از روي وﻳﻔﺮ ﺑﺮداﺷﺖ‪ .‬ﺳﻴﺴﺘﻢ ﺗﻚ وﻳﻔﺮي ﺑﺎ ﺻﻔﺤﻪ ﻧﮕﻪ دارﻧﺪه ﭼﺮﺧﺎن ﺑـﺎ اﺳـﭙﺮي ﻛـﺮدن ﻣـﻮاد‬

‫زداﻳﻨﺪه ﻳﻜﻨﻮاﺧﺘﻲ ﻗﺎﺑﻞ ﺗﻮﺟﻬﻲ از ﺧﻮد ﻧﺸﺎن ﻣﻲدﻫﺪ‪ .‬اﻣﺎ ﻣﻌﺎﻳﺒﻲ ﻛﻪ ﻣﻲﺗﻮان ﺑﺮاي اﻳﻦ روش ذﻛﺮ‬

‫ﻛﺮد ﻋﺒﺎرﺗﻨﺪ از‪ :‬ﻗﻴﻤﺖ ﺑﺎﻻي ﺳﻴﺴﺘﻢ ﻣﺮﺑﻮط ﺑﻪ اﻧﺠﺎم اﻳﻦ روش‪ ،‬ﻗﺎﺑﻠﻴﺖ ﻣﺸﺎﻫﺪه ﻓﺮاﻳﻨﺪ ﻛﻪ در اﺛﺮ‬

‫وﺟﻮد ﻣﻮاد ﺧﻮرﻧﺪه ﺳﻤﻲ ﺑﺎ ﻣﺸﻜﻞ روﺑﺮو ﻣﻲﺷﻮد‪ ،‬ﻣﻼﺣﻈﺎت اﻳﻤﻨﻲ ﻣﺮﺑﻮط ﺑﻪ زداﻳﻨﺪهﻫﺎي ﺳﻮزش‬

‫آور و ﺧﻄﺮﻧﺎك در ﺳﻴﺴﺘﻢ ﺗﺤﺖ ﻓﺸﺎر‪ ،‬ﻧﻴﺎز ﺑﻪ ﻣﺎﺳﻚ ﻣﺤﺎﻓﻈﻲ ﻛـﻪ از ﻣﺎﺷـﻴﻦ آﻻت و ﺗﺠﻬﻴـﺰات‬

‫‪1) Definition‬‬
‫‪167‬‬
‫ﻣﻮرد اﺳﺘﻔﺎده در اﻳﻦ روش در ﻃﻲ ﻋﻤﻞ زداﻳﺶ ﻣﺤﺎﻓﻈﺖ ﻛﻨﺪ‪ ،‬اﺣﺘﻴﺎج ﺑﻪ ﻣﻮاد ﻣﻘـﺎوم در ﻣﻘﺎﺑـﻞ‬

‫زداﻳﻨﺪه ﺑﺮاي ﺳﺎﻟﻢ ﻧﮕﻪ داﺷﺘﻦ ﻣﺎﺷﻴﻦ‪ ،‬ﻧﻴﺎز ﺑﻪ ﻣﺤﻴﻄﻲ ﺑﺴﺘﻪ ﺗﺎ ﺳﻼﻣﺘﻲ اﻓﺮاد ﺑﺪﻳﻦ ﺗﺮﺗﻴﺐ ﺣﻔـﻆ‬

‫ﺷﻮد‪.‬‬

‫‪- 3- 5- 6‬زداﻳﺶ اﻟﻜﺘﺮوﻣﻜﺎﻧﻴﻜﻲ‬

‫در اﻳﻦ روش ﻫﻤﺎﻧﻄﻮر ﻛﻪ در ﺷﻜﻞ ‪ 12‬ﻣﺸﺨﺺ اﺳﺖ دو اﻟﻜﺘـﺮود در داﺧـﻞ ﺗﺎﻧـﻚ ﺣـﺎوي ﻣـﺎدهي‬

‫زداﻳﻨﺪه ﻗﺮار ﻣﻲﮔﻴﺮﻧﺪ‪ .‬ﻳﻜﻲ از اﻳﻦ اﻟﻜﺘﺮودﻫﺎ ﺑﻪ ﭘﺘﺎﻧﺴﻴﻞ ﻣﺜﺒﺖ و دﻳﮕﺮي ﺑﻪ ﭘﺘﺎﻧﺴﻴﻞ ﻣﻨﻔﻲ ﻣﺘﺼـﻞ‬

‫ﻣﻲﮔﺮدد‪ .‬وﻳﻔﺮ را ﺑﻪ اﻟﻜﺘﺮود آﻧﺪ ﻣﺘﺼﻞ ﻣﻲﻛﻨﻨﺪ‪ .‬ﺑﺮاي ﻧﻤﻮﻧﻪ زداﻳﺶ ﺳﻴﻠﻴﻜﻮن را ﻣﻮرد ﺑﺮرﺳﻲ ﻗـﺮار‬

‫ﻣﻲدﻫﻴﻢ‪ .‬ﺑﺮاي زداﻳﺶ ﺳﻴﻠﻴﻜﻮن از ﻣﺤﻠﻮل آب و اﺳﻴﺪ ﻓﻠﻮﺋﻮرﻳﺪرﻳﻚ اﺳﺘﻔﺎدهي ﻣـﻲﺷـﻮد‪ .‬وﻟﺘـﺎژ‬

‫ﺑﺎﻳﺎس ﺑﺎﻋﺚ ﻣﻲﺷﻮد ﻛﻪ ﻣﻮﻟﻜﻮلﻫﺎي آب ﺗﺠﺰﻳﻪ ﺷﺪه و ﻳﻮنﻫﺎي اﻛﺴﻴﮋن ﺗﻮﻟﻴﺪ ﻣﻲﺷـﻮد‪ .‬اﻛﺴـﻴﮋن‬

‫ﺳﻴﻠﻴﻜﻮن را اﻛﺴﻴﺪ ﻣﻲﻛﻨﺪ و ‪ SiO2‬ﺗﻮﻟﻴﺪ ﻣﻲﺷﻮد و ‪ SiO2‬ﻫﻢ ﺑـﻪ وﺳـﻴﻠﻪي اﺳـﻴﺪ ﻓﻠﻮﺋﻮرﻳـﺪرﻳﻚ‬

‫زداﻳﺶ ﻣﻲﺷﻮد‪.‬‬

‫‪Anod‬‬ ‫‪Cathode‬‬

‫‪+ -‬‬

‫‪Wafer‬‬

‫ﺷﻜﻞ ‪ 12‬زداﻳﺶ اﻟﻜﺘﺮوﻣﻜﺎﻧﻴﻜﻲ‬

‫‪168‬‬
‫اﮔﺮ وﻟﺘﺎژ ﺑﺎﻳﺎس را ﺑﻴﺸﺘﺮ ﻛﻨﻴﻢ ﺑﺎﻋﺚ ﻣﻲ ﺷﻮد ﻛﻪ اﻛﺴﻴﮋن ﺑﻴﺸﺘﺮي ﺗﻮﻟﻴﺪ ﺷﺪه و ‪ SiO2‬ﺳﺮﻳﻊﺗﺮ‬

‫ﺷﻜﻞ ﻣﻲﮔﻴﺮد در ﻧﺘﻴﺠﻪ ﻧﺮخ زداﻳﺶ ﺑﻴﺸﺘﺮ ﻣﻲﺷﻮد ‪.‬‬

‫‪ -6-6‬زداﻳﺶ ﻣﻮاد ﺑﺎ روش زداﻳﺶ ﻣﺮﻃﻮب‬

‫زداﻳﺶ ‪SiO2‬‬ ‫‪- 1- 6- 6‬‬

‫ﻻﻳﻪاي ﻛﻪ ﺑﻪ ﻃﻮر ﻣﻌﻤﻮل و ﺑﻴﺸﺘﺮ از ﺳﺎﻳﺮ ﻻﻳﻪﻫﺎ ﻣﻮرد ﭘﺮدازش ﭘﺮوﺳﻪ زداﻳﺶ ﻗﺮار ﻣﻲﮔﻴﺮد ﻻﻳـﻪ‬

‫‪ SiO2‬اﺳﺖ ﻛﻪ ﺑﻪ روش ﮔﺮﻣﺎﻳﻲ رﺷﺪ داده ﺷﺪه اﺳﺖ‪ .‬زداﻳﻨﺪه ﻣﺮﺳﻮم ﻣﻮرد اﺳﺘﻔﺎده در ﻣﻮرد اﻳﻦ‬

‫ﻻﻳﻪ اﺳﻴﺪ ﻓﻠﻮﺋﻮرﻳﺪرﻳﻚ اﺳﺖ‪ .‬ﻣﺰﻳﺖ اﻳﻦ اﺳﻴﺪ در اﻳﻦ اﺳﺖ ﻛﻪ ﺑﺎﻋﺚ ﺧﻮردﮔﻲ ﻻﻳﻪ زﻳﺮﻳﻦ ﻧﻤـﻲ‪-‬‬

‫ﺷﻮد ﻳﻌﻨﻲ اﻳﻦ ﻣﺎده از اﻧﺘﺨﺎب ﭘﺬﻳﺮي ﺑﺎﻻﻳﻲ ﺑﺮﺧﻮردار اﺳﺖ‪ .‬واﻛﻨﺶ ﺷـﻴﻤﻴﺎﻳﻲ زداﻳـﺶ ﻣﺮﻃـﻮب‬

‫اﻛﺴﻴﺪ ﺗﻮﺳﻂ ﻣﻌﺎدﻟﻪ زﻳﺮ داده ﺷﺪه اﺳﺖ‪ H2SiF6 .‬در آب ﺣﻞ ﻣﻲﺷﻮد ﺑﻨﺎﺑﺮاﻳﻦ ﻣﺤﻠﻮل ‪ HF‬ﻣﻲﺗﻮاﻧﺪ‬

‫اﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻮن را از ﺳﻄﺢ ﺑﺰداﻳﺪ‪.‬‬

‫‪SiO2+ 6HF → H2SiF6 + 2H2O‬‬


‫ﻫﺮﭼﻨﺪ اﺳﻴﺪ ﻓﻠﻮﺋﻮرﻳﺪرﻳﻚ ﻗﻮي داراي ﺳﺮﻋﺖ زداﻳﺸﻲ در ﺣﺪود ‪ 3000‬آﻧﮕﺴﺘﺮوم در ﺛﺎﻧﻴـﻪ در‬

‫دﻣﺎي اﺗﺎق ﻣﻲﺑﺎﺷﺪ‪ ،‬اﻣﺎ اﻳﻦ ﺳﺮﻋﺖ ﺑﺮاي ﻳﻚ ﭘﺮوﺳﻪ ﻗﺎﺑﻞ ﻛﻨﺘﺮل‪ ،‬ﺧﻴﻠﻲ ﺑﺎﻻ ﻣﻲﺑﺎﺷـﺪ‪ .‬در ﻋﻤـﻞ‪،‬‬

‫اﺳﻴﺪ ‪ 49‬درﺻﺪ ﻛﻪ ﺑﺎ آب ﻳﺎ ﺗﺮﻛﻴﺒﻲ از آب و ﻓﻠﻮراﻳﺪ آﻣﻮﻧﻴﻢ ﻣﺨﻠﻮط ﺷﺪه اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ .‬اﺿﺎﻓﻪ‬

‫ﻛﺮدن ﻓﻠﻮراﻳﺪ آﻣﻮﻧﻴﻢ ﺳﺒﺐ ﺗﺸﻜﻴﻞ ﻣﺤﻠﻮل ﺑﺎﻓﺮ اﺳﻴﺪ ﻓﻠﻮرﻳﻚ ﻣﻲﺷﻮد ﻛﻪ ﺑﻪ ﻧـﺎم ‪ 1 BOE‬ﻣﻄـﺮح‬

‫ﻣﻲﺷﻮد و ﺑﺎﻋﺚ ﻛﻨﺘﺮل ‪ pH‬ﻣﺤﻠﻮل ﻣﻲﺷﻮد و ﻛﻤﺒﻮد ﻳﻮﻧﻬﺎي ﻓﻠﻮﺋﻮر را ﺟﺒـﺮان ﻣـﻲﻛﻨـﺪ و ﺑﺎﻋـﺚ‬

‫ﺟﻠﻮﮔﻴﺮي از اﻓﺰاﻳﺶ ﺳﺮﻋﺖ ﭘﺮوﺳﻪ و ﻋﺪم ﻛﻨﺘﺮل ﭘﺬﻳﺮي آن ﻣﻲﺷﻮد‪.‬‬

‫‪1) Buffered oxide etch‬‬


‫‪169‬‬
‫اﻳﻦ ﻣﻮاد ﺑﺎ ﻣﻘﺎدﻳﺮ ﻣﺨﺘﻠﻒ ﻣﺨﻠﻮط ﻣﻲﺷﻮﻧﺪ ﺗﺎ زﻣﺎن زداﻳﺶ ﻗﺎﺑﻞ ﻗﺒﻮﻟﻲ ﺑﺮاي ﻗﻄﺮ اﻛﺴـﻴﺪﻫـﺎ ﺑـﻪ‬

‫وﺟﻮد آﻳﺪ‪ .‬ﺑﻌﻀﻲ‪ BOE‬ﻫﺎ ﺑﺎ دارا ﺑﻮدن ﻳﻚ واﺳﻂ ﻣﺮﻃﻮب ﻛﻨﻨﺪه ﻛﺸﺶ ﺳﻄﺤﻲ را ﻛﺎﻫﺶ ﻣﻲدﻫﻨﺪ‬

‫ﺗﺎ ﺑﺪﻳﻦ وﺳﻴﻠﻪ در ﭘﺮوﺳﻪ زداﻳﺶ اﻣﻜﺎن دﺳﺘﻴﺎﺑﻲ ﺑﻪ زداﻳﺶ ﺣﻔﺮهﻫﺎي ﻛﻮﭼﻜﺘﺮ ﻣﻤﻜﻦ ﺷﻮد‪.‬‬

‫ﻧﺮخ زداﻳﺶ ‪ SiO2‬ﺑﻪ ﻣﺤﻠﻮل زداﻳﻨﺪه‪ ،‬ﺗﺮاﻛﻢ زداﻳﻨﺪه‪ ،‬ﺗﺤﺮك و دﻣﺎ ﺑﺴﺘﮕﻲ دارد‪ .‬ﺑﻪ ﻋﻼوه ﭼﮕـﺎﻟﻲ‪،‬‬

‫ﺗﺨﻠﺨﻞ‪ ،‬ﺳﺎﺧﺘﺎرﻫﺎي ﻣﺎﻳﻜﺮو و ﻧﺎﺧﺎﻟﺼﻲﻫﺎي داﺧﻞ اﻛﺴﻴﺪ ﻧﺮخ زداﻳﺶ را ﺗﺤﺖ ﺗﺄﺛﻴﺮ ﻗﺮار ﻣﻲدﻫﺪ‪.‬‬

‫ﻣﺜﻼً وﺟﻮد ﻧﺎﺧﺎﻟﺼﻲ ﻓﺴﻔﺮ در اﻛﺴﻴﺪ ﻣﻮﺟﺐ ﺗﺴﺮﻳﻊ زداﻳﺶ ﻣﻲﺷﻮد‪.‬‬

‫‪2000‬‬
‫)‪BOE (Fast‬‬
‫)‪Etch Rate (A/min‬‬

‫‪1600‬‬

‫‪1200‬‬

‫‪800‬‬
‫)‪BOE (Slow‬‬

‫‪400‬‬
‫‪15‬‬ ‫‪20‬‬ ‫‪25‬‬ ‫‪30‬‬
‫)℃( ‪Temperature‬‬
‫ﺷﻜﻞ ‪ 11‬ﺗﺄﺛﻴﺮ دﻣﺎ ﺑﺮ ﻧﺮخ زداﻳﺶ ﺑﺮاي‬

‫ﻳﻜﻲ از آﺧﺮﻳﻦ ﻻﻳﻪﻫﺎﻳﻲ ﻛﻪ ﺑﺮ روي وﻳﻔﺮ ﻗﺮار ﻣﻲﮔﻴﺮد ﻓﻴﻠﻢ ﻣﺤـﺎﻓﻈﻲ از دي اﻛﺴـﻴﺪ ﺳـﻴﻠﻴﻜﻮن‬

‫اﺳﺖ ﻛﻪ ﺑﺮ روي اﻟﮕﻮي آﻟﻮﻣﻴﻨﻴﻮم ﻗﺮار ﻣﻲﮔﻴﺮد‪ .‬ﺑﻪ اﻳﻦ ﻓﻴﻠﻢﻫﺎ ‪ silox‬ﻳﺎ ‪ vapox‬ﮔﻔﺘﻪ ﻣﻲﺷﻮد‪ .‬ﺑـﺎ‬

‫وﺟﻮد اﻳﻨﻜﻪ ﺗﺮﻛﻴﺐ ﺷﻴﻤﻴﺎﻳﻲ اﻳﻦ ﻓﻴﻠﻢ ﺑﺎ اﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻮﻧﻲ ﻛﻪ ﺑﺎ ﮔﺮﻣـﺎ رﺷـﺪ داده ﺷـﺪه اﺳـﺖ‬

‫ﺗﻔﺎوﺗﻲ ﻧﺪارد اﻣﺎ ﺑﺮاي زداﻳﺶ آن ﺑﺎﻳﺴﺘﻲ از ﻣﺤﻠﻮل ﻣﺘﻔﺎوﺗﻲ اﺳﺘﻔﺎده ﻛﺮد‪ ،‬ﭼـﻮن ‪ BOE‬ﺑـﻪ ﻻﻳـﻪ‬

‫‪170‬‬
‫آﻟﻮﻣﻴﻨﻴﻮم زﻳﺮﻳﻦ ﺣﻤﻠﻪ ﻛﺮده و در ﻓﺮاﻳﻨﺪ ﺑﺴﺘﻪ ﺑﻨﺪي دﭼﺎر ﻣﺸﻜﻞ ﻣﻲﺷﻮﻳﻢ‪ .‬زداﻳﻨﺪه ﻣﻄﻠﻮب ﺑﺮاي‬

‫اﻳﻦ ﻻﻳﻪ ﻣﺤﻠﻮل آﻣﻮﻧﻴﻮم ﻓﻠﻮراﻳﺪ و اﺳﻴﺪ اﺳﺘﻴﻚ ﺑﻪ ﻧﺴﺒﺖ ‪ 2:1‬ﻣﻲﺑﺎﺷﺪ‪.‬‬

‫‪ - 2- 6- 6‬زداﻳﺶ ‪Si‬‬

‫ﻻﻳﻪﻫﺎي ﺳﻴﻠﻴﻜﻮن ﻣﻌﻤﻮﻻً ﺑﺎ ﺗﺮﻛﻴﺒﻲ از اﺳﻴﺪ ﻓﻠﻮﺋﻮرﻳﺪرﻳﻚ و اﺳﻴﺪ ﻧﻴﺘﺮﻳﻚ ﻣﺤﻠﻮل در آب زدوده‬

‫ﻣﻲﺷﻮﻧﺪ‪ .‬ﻓﺮﻣﻮل ﺗﺮﻛﻴﺐ اﻳﻦ ﻣﻮاد در ﻛﻨﺘﺮل ﭘﺮوﺳﻪ زداﻳﺶ از اﻫﻤﻴـﺖ وﻳـﮋهاي ﺑﺮﺧـﻮردار اﺳـﺖ‬

‫ﺑﻄﻮرﻳﻜﻪ ﺑﻪ ازاي ﺑﻌﻀﻲ ﻧﺴﺒﺘﻬﺎي ﺧﺎص ﺗﺮﻛﻴﺒﻲ اﻳﻦ ﻣﻮاد‪ ،‬ﻓﺮآﻳﻨﺪ زداﻳﺶ ﻣﻨﺠﺮ ﺑﻪ واﻛﻨﺶ ﮔﺮﻣﺎزا ‪1‬‬

‫ﺑﺎ ‪ Si‬ﻣﻲﺷﻮد‪ ،‬واﻛﻨﺸﻬﺎي ﮔﺮﻣﺎزا آﻧﻬﺎﻳﻲ ﻫﺴﺘﻨﺪ ﻛﻪ در ﻃﻲ اﻧﺠﺎم واﻛﻨﺶ ﮔﺮﻣﺎ ﺗﻮﻟﻴﺪ ﻣﻲﺷـﻮد ﻛـﻪ‬

‫اﻳﻦ ﺧﻮد ﺑﺎﻋﺚ ﺑﺎﻻ رﻓﺘﻦ ﺳﺮﻋﺖ زداﻳﺶ ﻣﻲﺷﻮد و ﻫﻤﭽﻨﻴﻦ ﮔﺮﻣﺎي ﺑﻴﺸﺘﺮي ﺗﻮﻟﻴﺪ ﻣﻲﻛﻨﺪ و ﺑﺪﻳﻦ‬

‫ﺗﺮﺗﻴﺐ اﻳﻦ ﻋﻤﻞ ﻃﻲ ﻳﻚ ﻓﻴﺪﺑﻚ ﻣﺜﺒﺖ ﺗﻜﺮار ﻣﻲﺷﻮد و ﺑﺪﻳﻦ ﺗﺮﺗﻴﺐ ﻛﻨﺘﺮل ﭘﺮوﺳـﻪ زداﻳـﺶ از‬

‫دﺳﺖ ﺧﺎرج ﺧﻮاﻫﺪ ﺷﺪ‪ .‬ﺑﺮاي رﻓﻊ اﻳﻦ ﻣﺸﻜﻞ اﺳﻴﺪ ﺳﻴﺘﺮﻳﻚ ﺑﻪ ﻣﺨﻠﻮط ﻓﻮق اﺿﺎﻓﻪ ﻣﻲﺷـﻮد ﺗـﺎ‬

‫ﻓﺮاﻳﻨﺪ ﮔﺮﻣﺎزا را ﻛﻨﺘﺮل ﻛﻨﺪ‪ .‬واﻛﻨﺶ ﺑﺪﻳﻦ ﺻﻮرت اﻧﺠﺎم ﻣﻲﮔﻴـﺮد‪ :‬اﺑﺘـﺪا اﺳـﻴﺪ ﻧﻴﺘﺮﻳـﻚ ﺳـﻄﺢ‬

‫ﺳﻴﻠﻴﻜﻮن را اﻛﺴﻴﺪ ﻣﻲﻛﻨﺪ و ﻳﻚ ﻻﻳﻪ ﺑﺎرﻳﻚ از دي اﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻮن ﺗﺸﻜﻴﻞ ﻣﻲﺷﻮد‪.‬‬

‫‪Si + 4HNO3 → SiO2 + 4NO2 + 2H2O‬‬


‫اﻳﻦ ﻻﻳﻪ ﺑﺎﻋﺚ ﻣﻲﺷﻮد ﺳﻄﺢ ﺳﻴﻠﻴﻜﻮن ﭘﻮﺷﻴﺪه ﺷﻮد و ﻓﺮاﻳﻨﺪ اﻛﺴﻴﺪاﺳﻴﻮن ﻣﺘﻮﻗﻒ ﺷﻮد‪ ،‬ﺳـﭙﺲ‬

‫‪ HF‬ﺑﺎ دي اﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻮن واﻛﻨﺶ ﻣﻲدﻫﺪ و آﻧﺮا ﺣﻞ ﻣﻲﻛﻨﺪ ﺑﺪﻳﻦ ﺗﺮﺗﻴﺐ ﻻﻳﻪ ﺳﻴﻠﻴﻜﻮن زﻳﺮﻳﻦ‬

‫در ﻣﻌﺮض اﺳﻴﺪ ﻧﻴﺘﺮﻳﻚ ﻗﺮار ﻣﻲﮔﻴﺮد و ﻓﺮاﻳﻨﺪ اﻳﻨﮕﻮﻧﻪ اداﻣﻪ ﭘﻴﺪا ﻣﻲﻛﻨﺪ‪.‬‬

‫‪SiO2 + 6HF → H2SiF6 + 2H2O‬‬


‫آب ﻧﻴﺰ ﻣﻲﺗﻮاﻧﺪ ﺑﺮاي رﻗﻴﻖ ﻛﺮدن اﻳﻦ زداﻳﻨﺪه اﺳﺘﻔﺎده ﺷﻮد وﻟﻲ اﺳـﻴﺪ اﺳـﺘﻴﻚ )‪(CH3COOH‬‬

‫ﺗﺮﺟﻴﺢ داده ﻣﻲﺷﻮد ﭼﻮن ﺣﻼﻟﻴﺖ اﺳﻴﺪ ﻧﻴﺘﺮﻳﻚ را ﻛﻢ ﻣﻲﻛﻨﺪ‪.‬‬

‫‪1 Exothermic‬‬
‫‪171‬‬
‫ﺑﻌﻀﻲ از زداﻳﻨﺪهﻫﺎ ﻳﻚ ﺳﻄﺢ ﻛﺮﻳﺴﺘﺎل ‪ 1‬از ﺳﻴﻠﻴﻜﻮن ﺗﻚ ﻛﺮﻳﺴﺘﺎل را ﺳﺮﻳﻌﺘﺮ از ﺳﻄﻮح دﻳﮕـﺮ‬

‫ﺣﻞ ﻣﻲﻛﻨﻨﺪ‪ .‬ﺑﺪﻳﻦ ﺻﻮرت ﻣﻲﺗﻮاﻧﻴﻢ زداﻳﺶ واﺑﺴـﺘﻪ ﺑـﻪ ﺟﻬـﺖ ‪ 2‬داﺷـﺘﻪ ﺑﺎﺷـﻴﻢ‪ .‬ﺑـﺮاي ﺷـﺒﻜﻪ‬

‫ﺳﻴﻠﻴﻜﻮن ﺻﻔﺤﻪ >‪ <111‬ﭘﻴﻮﻧﺪﻫﺎي ﺑﻴﺸـﺘﺮي در واﺣـﺪ ﺳـﻄﺢ ﻧﺴـﺒﺖ ﺑـﻪ ﺻـﻔﺤﺎت >‪<100‬‬

‫و >‪ <110‬دارد‪ ،‬ﺑﻨﺎﺑﺮاﻳﻦ اﻧﺘﻈﺎر ﻣﻲرود ﻧﺮخ زداﻳﺶ ﺑﺮاي ﺻﻔﺤﻪ >‪ <111‬آﻫﺴﺘﻪﺗـﺮ ﺑﺎﺷـﺪ‪ .‬ﻳـﻚ‬

‫زداﻳﻨﺪه واﺑﺴﺘﻪ ﺑﻪ ﺟﻬﺖ ﺑﺮاي ﺳﻴﻠﻴﻜﻮن ﻣﺘﺸـﻜﻞ از ﻣﺨﻠـﻮط ‪ KOH‬در آب و اﻳﺰوﭘﺮوﭘﻴـﻞ اﻟﻜـﻞ‬

‫ﻣﻲﺑﺎﺷﺪ‪ .‬ﺑﺮاي ﻣﺜﺎل ﻣﺤﻠﻮﻟﻲ ﺑﺎ ‪ KOH %19‬در آب ﻳﻮﻧﻴﺰه ﺷﺪه در ﺣﺪود ‪ 80°‬ﺻـﻔﺤﻪ >‪ <100‬را‬

‫ﺑﺴﻴﺎر ﺳﺮﻳﻌﺘﺮ از ﺻﻔﺤﺎت >‪ <110‬و>‪ <111‬ﻣﻲزداﻳﺪ‪ .‬ﻧﺴﺒﺖ ﻧﺮخ زداﻳﺶ ﺑﺮاي ﺻﻔﺤﺎت>‪، <100‬‬

‫>‪<110‬و > ‪ < 111‬ﺑﻪ ﺗﺮﺗﻴﺐ ‪ 1:16:100‬ﻣﻲﺑﺎﺷﺪ‪ .‬زداﻳﺶ واﺑﺴﺘﻪ ﺑﻪ ﺟﻬﺖ ﺑﺮاي ﺳـﻴﻠﻴﻜﻮن و از‬

‫ﻃﺮﻳﻖ ﻣﺎﺳﻜﻲ از ‪ SiO2‬ﺣﻔﺮهﻫﺎي ‪ V‬ﺷﻜﻠﻲ ﻣﻲﺳﺎزد ﻛﻪ ﻟﺒﻪﻫـﺎي آن ﻣﺮﺑـﻮط ﺑـﻪ ﺻـﻔﺤﻪ >‪<111‬‬

‫ﻣﻲﺑﺎﺷﺪ و زاوﻳﻪ ‪ 54.7‬از ﺳﻄﺢ دارﻧﺪ‪ .‬ﺷﻴﺎر ‪ V‬ﺷﻜﻞ در ﺷﻜﻞ ‪ 12‬ﻣﻲﺗﻮاﻧﺪ از ﻃﺮﻳﻖ اﻳﻦ ﻣﻜـﺎﻧﻴﺰم‬

‫زداﻳﺶ ﻧﺎﻫﻤﺴﺎﻧﮕﺮد ﺳﻴﻠﻴﻜﻮن ﺗﻚ ﻛﺮﻳﺴﺘﺎل زدوده ﺷﻮد‪.‬‬

‫‪2Crystal plane‬‬
‫‪3 Orientation‬‬
‫‪172‬‬
‫ﺷﻜﻞ ‪ 12‬ﻣﺮاﺣﻞ ﻓﺮاﻳﻨﺪ ﺗﺸﻜﻴﻞ اﻳﺰوﻻﺳﻴﻮن‬

‫‪- 3- 6- 6‬زداﻳﺶ‪Si3N4‬‬

‫ﻧﻴﺘﺮاﻳﺪ ﻣﻌﻤﻮﻻً ﺑﺮاي ﻓﺮاﻳﻨﺪ ﺷﻜﻞ ﮔﻴﺮي اﻳﺰوﻻﺳﻴﻮن ‪ 1‬اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ .‬اﺳﻴﺪ ﻓﺴﻔﺮﻳﻚ داغ ﺑﺮاي‬

‫زداﻳﺶ ﺳﻴﻠﻴﻜﻮن ﻧﻴﺘﺮاﻳﺪ اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ .‬در ‪ 180° C‬ﺑﺎ ﺗـﺮاﻛﻢ ‪ ،H3PO3 % 91.5‬ﻧـﺮخ زداﻳـﺶ‬

‫‪ 100 Å/min‬ﻣﻲﺑﺎﺷﺪ ﻛﻪ اﻧﺘﺨﺎب ﭘﺬﻳﺮي ﺧﻮﺑﻲ ﻧﺴﺒﺖ ﺑﻪ اﻛﺴـﻴﺪ ﺳـﻴﻠﻴﻜﻮن )‪ (10:1‬و ﺳـﻴﻠﻴﻜﻮن‬

‫)‪ (33:1‬دارد‪ .‬ﭼﻮن در اﻳﻦ دﻣﺎ اﺳﻴﺪ ﺑﻪ ﺳﺮﻋﺖ ﺑﺨﺎر ﻣﻲﺷﻮد ﺑﺎﻳﺴﺘﻲ واﻛـﻨﺶ در ﻣﺤﻔﻈـﻪ ﺑﺴـﺘﻪ‬

‫ﻫﻤﺮاه ﺑﺎ ﺳﺮﭘﻮش ﺑﺮاي ﻣﺘﺮاﻛﻢ ﻛﺮدن ﺑﺨﺎر ﺻﻮرت ﮔﻴﺮد‪ .‬ﻣﺸﻜﻞ اﺻﻠﻲ آن اﺳﺖ ﻛﻪ در اﻳﻦ دﻣﺎ و ﺑﺎ‬

‫وﺟﻮد ﻧﺮخ زداﻳﺶ ﺑﺎﻻ‪ ،‬ﻓﺘﻮرزﻳﺴﺖ دوام ﻧﻤﻲآورد‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ ﺑﺎﻳﺴﺘﻲ ﻻﻳﻪاي از اﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻮن و ﻳﺎ‬

‫ﻣﻮاد دﻳﮕﺮ ﺑﺮاي ﻣﺘﻮﻗﻒ ﺳﺎﺧﺘﻦ زداﻳﻨﺪه اﺳﺘﻔﺎده ﻛﻨﻴﻢ‪ .‬ﺑﺪﻳﻦ ﺗﺮﺗﻴﺐ اﺑﺘﺪا اﻟﮕﻮ را ﺑﺮ روي ﻻﻳﻪ ﻧﺎزك‬

‫‪ SiO2‬درﺳﺖ ﻣﻲﻛﻨﻴﻢ‪ ،‬ﺳﭙﺲ آﻧﺮا ﺑﺮ روي ﺳﻴﻠﻴﻜﻮن ﻧﻴﺘﺮاﻳﺪ ﻣﻨﺘﻘﻞ ﻣﻲﻛﻨﻴﻢ‪.‬‬

‫‪Si3N4 + 4H3PO4 → Si3(PO4)4 + 4NH3‬‬

‫‪1) Isolation formation‬‬


‫‪173‬‬
‫از ‪ HF‬ﻧﻴﺰ ﻣﻲﺗﻮان ﺑﺮاي زداﻳﺶ ﺳﻴﻠﻴﻜﻮن ﻧﻴﺘﺮاﻳـﺪ اﺳـﺘﻔﺎده ﻛـﺮد اﻣـﺎ در ﻓﺮاﻳﻨـﺪ ﺷـﻜﻞ ﮔﻴـﺮي‬

‫اﻳﺰوﻻﺳﻴﻮن ﭼﻮن ﻧﺮخ زداﻳﺶ ﻧﻴﺘﺮاﻳﺪ ﻛﻤﺘﺮ از اﻛﺴﻴﺪ ﻣﻲﺑﺎﺷﺪ ﻻﻳﻪ ‪ pad oxide‬و اﻛﺴﻴﺪ اﻳﺰوﻟـﻪ‬

‫ﻛﻨﻨﺪه زودﺗﺮ از ﻧﻴﺘﺮاﻳﺪ زدوده ﻣﻲﺷﻮﻧﺪ ﭘﺲ در اﻳﻦ ﺣﺎﻟﺖ ﻧﻤﻲﺗﻮان از اﺳﺘﻔﺎده ‪ HF‬ﻛﺮد‪.‬‬

‫‪ - 4- 6- 6‬زداﻳﺶ ﻣﺮﻃﻮب ﻓﻠﺰ‬

‫ﻳﻜﻲ از ﻣﺤﺼﻮﻻت واﻛﻨﺶ آﻟﻮﻣﻴﻨﻴﻮم و اﺳﻴﺪ ﻓﺴﻔﺮﻳﻚ‪ ،‬ﺣﺒﺎﺑﻬﺎي ﻛﻮﭼﻚ ﻫﻴﺪروژن ﻣﻲﺑﺎﺷﺪ ﻛﻪ ﺑﻪ‬

‫ﺳﻄﺢ وﻳﻔﺮ ﻣﻲﭼﺴﺒﻨﺪ و ﺑﺎﻋﺚ ﺗﻮﻗﻒ زداﻳﺶ ﻣﻲﺷﻮﻧﺪ‪ .‬ﺑﺮاي ﺣﻞ اﻳـﻦ ﻣﺸـﻜﻞ از ﻣﺤﻠـﻮل اﺳـﻴﺪ‬

‫ﻓﺴﻔﺮﻳﻚ‪ ،‬اﺳﻴﺪ ﻧﻴﺘﺮﻳﻚ‪ ،‬اﺳﻴﺪ اﺳﺘﻴﻚ‪ ،‬آب و ﻋﺎﻣﻞﺗﺮ ﻛﻨﻨﺪه ﺳﻄﺢ ﺑﻪ ﻧﺴﺒﺖ ‪ 2:1:1:16‬اﺳﺘﻔﺎده‬

‫ﻣﻲﻛﻨﻨﺪ‪ .‬ﻧﺮخ زداﻳﺶ در دﻣﺎي ‪ 45°‬ﺑﺮاي آﻟﻮﻣﻴﻨﻴﻮم ﺧﺎﻟﺺ در ﺣـﺪود ‪ 3000 Å/min‬ﻣـﻲﺑﺎﺷـﺪ‪.‬‬

‫ﻣﻜﺎﻧﻴﺰم زداﻳﺶ آﻟﻮﻣﻴﻨﻴﻮم ﺷﺒﻴﻪ ﺳﻴﻠﻴﻜﻮن ﻣﻲﺑﺎﺷﺪ‪ HNO3 :‬آﻟﻮﻣﻴﻨﻴﻮم را اﻛﺴﻴﺪ ﻣﻲﻛﻨـﺪ ﺳـﭙﺲ‬

‫اﻛﺴﻴﺪ آﻟﻮﻣﻴﻨﻴﻮم در اﺳﻴﺪ ﻓﺴﻔﺮﻳﻚ ﺣﻞ ﻣﻲﺷﻮد‪ .‬اﻳﻦ اﻛﺴﻴﺪ ﺷﺪن و ﺣﻞ ﺷﺪن ﺑﻪ ﻃﻮر ﻫﻤﺰﻣـﺎن‬

‫اﻧﺠﺎم ﻣﻲﮔﻴﺮد‪.‬‬

‫‪Bridge‬‬
‫‪Snowball‬‬

‫‪Wafer‬‬

‫ﺷﻜﻞ ‪ 13‬ﺣﺒﺎبﻫﺎي ﻫﻴﺪروژن ﻣﺎﻧﻊ زداﻳﺶ ﻣﻲﺷﻮﻧﺪ‬


‫‪174‬‬
‫در ﺳﺎﺧﺖ ﭘﻴﺸﺮﻓﺘﻪ ‪ ،IC‬دﻳﮕﺮ ﻓﺮاﻳﻨﺪ ﻣﺮﻃﻮب ﺑﺮاي زداﻳﺶ اﻟﮕﻮدار آﻟﻮﻣﻴﻨﻴﻮم ﺑﻜﺎر ﻧﻤﻲرود‪ .‬زداﻳﺶ‬

‫ﻣﺮﻃﻮب راﻳﺞ‪ ،‬ﺟﺪا ﻛﺮدن ﺗﻴﺘﺎﻧﻴﻮم ﭘﺲ از ﺗﺸﻜﻴﻞ ﺗﻴﺘﺎﻧﻴﻮم ﺳﻴﻠﻴﺴﺎﻳﺪ ﻣﻲﺑﺎﺷﺪ‪ .‬ﻣﺨﻠﻮط ﻣﺴﺎوي از‬

‫ﻫﻴﺪروژن ﭘﺮاﻛﺴﺎﻳﺪ )‪ (H2O2‬و اﺳﻴﺪ ﺳﻮﻟﻔﻮرﻳﻚ ﺑﺮاي زداﻳﺶ اﻧﺘﺨﺎﺑﻲ ﺗﻴﺘﺎﻧﻴﻮم در ﺣـﺎﻟﻲ ﻛـﻪ دي‬

‫اﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻮن و ﺗﻴﺘﺎﻧﻴﻮم ﺳﻴﻠﻴﺴﺎﻳﺪ ﺳﺎﻟﻢ ﺑﺎﻗﻲ ﺑﻤﺎﻧﻨﺪ اﺳﺘﻔﺎده ﻣـﻲﺷـﻮد‪ H2O2 .‬ﺗﻴﺘـﺎﻧﻴﻮم را‬

‫اﻛﺴﻴﺪ ﻣﻲﻛﻨﺪ و ﺗﺸﻜﻴﻞ ‪ TiO2‬ﻣﻲدﻫﺪ ﻛﻪ ﺑﺎ اﺳﻴﺪ ﺳﻮﻟﻔﻮرﻳﻚ واﻛﻨﺶ ﻣﻲدﻫﺪ و ‪ TiSO4‬ﻣﺤﻠﻮل را‬

‫ﺑﻪ وﺟﻮد ﻣﻲآورد‪.‬‬

‫ﺷﻜﻞ ‪ 14‬ﻓﺮاﻳﻨﺪ ‪ Self Aligned‬ﺑﺮاي ‪titanium silicide‬‬

‫ﻣﺤﺪودﻳﺖﻫﺎﻳﻲ ﻛﻪ در زداﻳﺶ ﻣﺮﻃﻮب وﺟﻮد دارد ﺑﺎﻋﺚ اﺳﺘﻔﺎده از روش دﻳﮕﺮي ﺑﺎ ﻧـﺎم زداﻳـﺶ‬

‫ﺧﺸﻚ ﻣﻲﺷﻮد‪ ،‬اﻳﻦ ﻣﺤﺪودﻳﺘﻬﺎ ﻋﺒﺎرﺗﻨﺪ از‪:‬‬

‫‪ (1‬زداﻳﺶ ﻣﺮﻃﻮب ﺑﻪ ﻃﺮﺣﻬﺎي ﺑﺎﻻي ‪ 3‬ﻣﻴﻜﺮون ﻣﺤﺪود ﻣﻲﺷﻮد‪.‬‬

‫‪ (2‬زداﻳﺶ ﻣﺮﻃﻮب ﻫﻤﺴﺎﻧﮕﺮد اﺳﺖ ﻛﻪ ﻣﻨﺠﺮ ﺑﻪ اﻳﺠﺎد دﻳﻮارهي ﻛﻨﺎر ﺷﻴﺒﺪار ﻣﻲﺷﻮد‪.‬‬

‫‪ (3‬زداﻳﺶ ﻣﺮﻃﻮب ﻣﺮاﺣﻞ اﺿﺎﻓﻪﺗﺮ ﺷﺴﺘﻦ و ﺧﺸﻚ ﻛﺮدن را ﻻزم دارد‪.‬‬

‫‪ (4‬ﻣﻮاد زداﻳﻨﺪه و ﺷﻴﻤﻴﺎﻳﻲ ﺑﻜﺎر ﮔﺮﻓﺘﻪ ﺷﺪه در اﻳﻦ روش ﻣﺨﺎﻃﺮه آﻣﻴﺰ و ﺳﻤﻲ ﻫﺴﺘﻨﺪ‪.‬‬

‫‪ (5‬در زداﻳﺶ ﻣﺮﻃﻮب اﺣﺘﻤﺎل اﻳﺠﺎد آﻟﻮدﮔﻲ وﺟﻮد دارد‪.‬‬

‫‪ (6‬اﻳﺠﺎد ﭘﺪﻳﺪه ﺑﺮش زﻳﺮﻳﻦ ﻛﻪ ﻧﺸﺄت ﮔﺮﻓﺘﻪ ﺷﺪه از ﭼﺴﺒﻨﺪﮔﻲ ﺿﻌﻴﻒ وﻳﻔﺮ ﻣﻲﺑﺎﺷﺪ‪.‬‬

‫‪175‬‬
‫اﻳﻦ ﻋﻮاﻣﻞ ﻣﻨﺠﺮ ﺑﻪ اﺳﺘﻔﺎده از روﺷﻲ ﻣﻲﺷﻮد ﻛﻪ ﻣﺎ را ﻗﺎدر ﺑﻪ ﺗﻌﺮﻳﻒ ﻧﻮاﺣﻲ ﻛﻮﭼﻜﺘﺮ از‪ 3‬ﻣﻴﻜﺮون‬

‫ﺑﺮاي ﻣﺪارات ﭘﻴﺸﺮﻓﺘﻪﺗﺮ ﺑﺴﺎزد‪.‬‬

‫‪ - 5- 6- 6‬زداﻳﺶ ﻓﻮﺗﻮرزﻳﺴﺖ ‪1‬‬

‫ﺑﻌﺪ از ﻋﻤﻞ زداﻳﺶ ﻻﻳﻪ ﻣﻮرد ﻧﻈﺮ‪ ،‬دﻳﮕﺮ ﻧﻴﺎزي ﺑﻪ ﻻﻳﻪي ﻓﻮﺗﻮرزﻳﺴﺖ ﻧﻴﺴﺖ و ﺑﺎﻳﺪ آﻧﺮا ﺑﺮداﺷﺖ‪.‬‬

‫روشﻫﺎﻳﻲ ﻛﻪ در ﻗﺪﻳﻢ ﺑﺮاي ﺑﺮداﺷﺘﻦ ﻓﻮﺗﻮرزﻳﺴﺖ اﻧﺠﺎم ﻣﻲﺷﺪ روشﻫﺎي زداﻳﺶ ﺷﻴﻤﻴﺎﻳﻲ‬

‫ﻣﺮﻃﻮب ﺑﻮدﻧﺪ اﻣﺎ در ﺣﺎل ﺣﺎﺿﺮ ﮔﺮاﻳﺶ ﺑﻪ روش ﭘﻼﺳﻤﺎي ‪ O2‬ﺑﺮاي زداﻳﺶ ﻓﻮﺗﻮرزﻳﺴﺖ ﺑﻴﺸﺘﺮ‬

‫ﺷﺪه اﺳﺖ ‪.‬‬

‫در روش ﺷﻴﻤﻴﺎﻳﻲ ﻣﺮﻃﻮب ﻣﻮاد ﻣﺨﺘﻠﻔﻲ ﺑﺮاي اﻳﻦ ﻛﺎر وﺟﻮد دارﻧﺪ‪ .‬اﻧﺘﺨﺎب اﻳﻦ ﻣﻮاد ﺑﺴﺘﮕﻲ ﺑﻪ‬

‫ﻻﻳﻪي زﻳﺮ ﻓﻮﺗﻮرزﻳﺴﺖ‪ ،‬ﭘﻼرﻳﺘﻪ ي ﻓﻮﺗﻮرزﻳﺴﺖ و وﺿﻌﻴﺖ ﻓﻮﺗﻮرزﻳﺴﺖ دارد )ﺷﻜﻞ ‪.(15‬‬

‫‪Strip‬‬
‫‪Surface‬‬ ‫‪Resist‬‬
‫‪Stripper Chemistry‬‬ ‫‪Temperature‬‬ ‫‪Metalized‬‬
‫‪oxide‬‬ ‫‪Polarity‬‬
‫)‪(centigrade‬‬
‫‪Acids:‬‬
‫‪Sulfuric acid+oxidant‬‬ ‫‪125‬‬ ‫‪X‬‬ ‫‪+/-‬‬
‫‪Organic Acids‬‬ ‫‪90-110‬‬ ‫‪X‬‬ ‫‪X‬‬ ‫‪+/-‬‬
‫‪Chromic/Sulfuric‬‬ ‫‪20‬‬ ‫‪X‬‬ ‫‪+/-‬‬
‫‪Solvents:‬‬
‫‪NMP/Alkanolamine‬‬ ‫‪95‬‬ ‫‪X‬‬ ‫‪+‬‬
‫‪DMSO/Monothanolamine‬‬ ‫‪95‬‬ ‫‪X‬‬ ‫‪+‬‬
‫‪DMAC/Diethanolamine‬‬ ‫‪100‬‬ ‫‪X‬‬ ‫‪+‬‬
‫)‪Hydroxylamine(HAD‬‬ ‫‪65‬‬ ‫‪X‬‬ ‫‪+‬‬

‫ﺷﻜﻞ ‪ 15‬ﺟﺪول زداﻳﺶ ﻣﺮﻃﻮب ﺑﺮاي ﻓﻮﺗﻮرزﻳﺴﺖ‬

‫‪1 Photoresist‬‬
‫‪176‬‬
‫• زدايش مرطوب فوتورزيست برای سطحھای غير فلزی‬
‫ﻣﺨﻠﻮط اﺳﻴﺪ ﺳﻮﻟﻔﻮرﻳﻚ و ﻣﺤﻠﻮل اﻛﺴﻴﺪان از راﻳﺞﺗﺮﻳﻦ ﻣﻮادي ﻫﺴﺘﻨﺪ ﻛﻪ ﺑﺮاي زداﻳﺶ‬

‫ﻓﻮﺗﻮرزﻳﺴﺖ ﻛﻪ روي ﺳﻄﺢﻫﺎي ﻏﻴﺮ ﻓﻠﺰي ﻗﺮار ﮔﺮﻓﺘﻪاﻧﺪ اﺳﺘﻔﺎده ﻣﻲﺷﻮﻧﺪ‪ .‬از ﺳﻄﻮح ﻏﻴﺮ ﻓﻠﺰي‬

‫ﻣﻲﺗﻮان ﺑﻪ ﭘﻠﻲ ﺳﻴﻠﻴﻜﻮن ‪ SiO2 ،‬و ﺳﻴﻠﻴﻜﻮن ﻧﻴﺘﺮاﻳﺪ اﺷﺎره ﻛﺮد‪ .‬اﻳﻦ ﻣﺤﻠﻮل ﻫﻢ ﻓﻮﺗﻮرزﻳﺴﺖ ﻫﺎي‬

‫ﻣﺜﺒﺖ و ﻫﻢ ﻣﻨﻔﻲ را ﺑﺮ ﻣﻲدارد‪.‬‬

‫اﺳﻴﺪ ﻧﻴﺘﺮﻳﻚ ﮔﺎﻫﻲ اوﻗﺎت ﺑﻪ اﺳﻴﺪ ﺳﻮﻟﻔﻮرﻳﻚ ﺑﺮاي ﺗﻮﻟﻴﺪ اﻛﺴﻴﺪان اﺿﺎﻓﻪ ﻣﻲﺷﻮد‪ .‬ﻧﺴﺒﺖ ﺗﺮﻛﻴﺐ‬

‫آﻧﻬﺎ ﺣﺪود ‪ 10:1‬ﻣﻲ ﺑﺎﺷﺪ‪ .‬اﻳﻦ ﻣﺤﻠﻮل اﺑﺘﺪا ﺳﻄﺢ را اﻛﺴﻴﺪ ﻣﻲﻛﻨﺪ ﺳﭙﺲ ﺳﻄﺢ اﻛﺴﻴﺪ ﺷﺪه را‬

‫زداﻳﺶ ﻣﻲﻛﻨﺪ ‪.‬‬

‫• زداﻳﺶ ﻣﺮﻃﻮب ﻓﻮﺗﻮرزﻳﺴﺖ ‪ 1‬ﺑﺮاي ﺳﻄﺢﻫﺎي ﻓﻠﺰي‬

‫زداﻳﺶ ﻓﻮﺗﻮرزﻳﺴﺖ از روي ﺳﻄﺢﻫﺎﻳﻲ ﻛﻪ ﻓﻠﺰي ﻣﻲﺑﺎﺷﻨﺪ ﻛﺎر ﻣﺸﻜﻠﻲ ﻣﻲ ﺑﺎﺷﺪ‪ ،‬ﺑﻪ دﻟﻴﻞ اﻳﻦ ﻛﻪ‬

‫ﻓﻠﺰات ﻋﻨﺎﺻﺮ ﻣﺨﺮب و اﻛﺴﻴﺪ ﻛﻨﻨﺪه ﻫﺴﺘﻨﺪ ‪ 4 .‬ﻧﻮع از زداﻳﻨﺪهﻫﺎ ﺑﺮاي اﻳﻦ ﻛﺎر اﺳﺘﻔﺎده‬

‫ﻣﻲﺷﻮﻧﺪ]‪.[2‬‬

‫‪ .1‬زداﻳﻨﺪهﻫﺎي ارﮔﺎﻧﻴﻚ‬

‫‪ .2‬زداﻳﻨﺪهﻫﺎي ﻣﺤﻠﻮل ‪2‬‬

‫‪ .3‬زداﻳﻨﺪهﻫﺎي ﻣﺤﻠﻮل‪/‬آﻣﻴﻦ ‪3‬‬

‫‪ .4‬زداﻳﻨﺪهﻫﺎي وﻳﮋه‬

‫‪1 Photoresist‬‬
‫‪2 Solvent‬‬
‫‪3 Amine‬‬
‫‪177‬‬
‫ زدايندهھای ارگانيک ‪:‬‬
‫زداﻳﻨﺪهﻫﺎي ارﮔﺎﻧﻴﻚ ﺗﺮﻛﻴﺒﻲ از اﺳﻴﺪ ﺳﻮﻟﻔﻮﻧﻴﻚ )ﻳﻚ اﺳﻴﺪ ارﮔﺎﻧﻴﻚ ( و ﻣﺤﻠﻮل ﻫﻴﺪروﻛﺮﺑﻦ‬

‫آﻏﺸﺘﻪ ﺑﻪ ﻛﻠﺮ ‪ 1‬ﻣﻲ ﺑﺎﺷﻨﺪ‪ .‬اﻟﺒﺘﻪ ﺑﻪ ﻳﻚ ﻣﺤﻠﻮل ﺷﺴﺘﺸﻮ ﻛﻨﻨﺪه در اﻳﻦ ﺗﺮﻛﻴﺐ ﺷﻴﻤﻴﺎﻳﻲ ﻫﻢ ﻧﻴﺎز‬

‫ﻣﻲﺑﺎﺷﺪ‪ .‬ﺑﺮاي زداﻳﺶ ﻣﺎده ﺣﺴﺎس ﺑﻪ ﻧﻮر ﻧﻴﺎز دارﻳﻢ ﻛﻪ اﻳﻦ ﺗﺮﻛﻴﺐ ﺷﻴﻤﻴﺎﻳﻲ را ‪ 90‬ﺗﺎ ‪ 120‬درﺟﻪ‬

‫ﺳﺎﻧﺘﻴﮕﺮاد ﮔﺮم ﻛﻨﻴﻢ]‪.[2‬‬

‫ زدايندهھای محلول‪/‬آمين ‪:‬‬


‫ﻳﻜﻲ از ﻣﺰاﻳﺎي ﻓﻮﺗﻮرزﻳﺴﺖ ﻣﺜﺒﺖ‪ ،‬زداﻳﺶ آﺳﺎن اﻳﻦ ﻣﻮاد از ﺳﻄﺢ وﻳﻔﺮ ﻣﻲﺑﺎﺷﺪ‪ .‬ﻓﻮﺗﻮرزﻳﺴﺖ‬

‫ﻣﺜﺒﺘﻲ ﻛﻪ ﺳﺨﺖ ﭘﺨﺘﻪ ‪ 2‬ﻧﺸﺪه اﺳﺖ ﺑﻪ راﺣﺘﻲ ﺑﺎ ﻏﻮﻃﻪ ور ﺳﺎزي در ﻣﺤﻠﻮل آﺳﺘﻮن ‪(CH3COCH3) 3‬‬

‫ﺑﺮداﺷﺘﻪ ﻣﻲﺷﻮد ‪ .‬ﻣﺘﺄﺳﻔﺎﻧﻪ اﺳﺘﻔﺎده از آﺳﺘﻮن‪ ،‬ﺧﻄﺮ آﺗﺶ ﺳﻮزي را ﺑﻪ ﻫﻤﺮاه دارد و از آن اﺳﺘﻘﺒﺎل‬

‫ﻧﻤﻲﺷﻮد‪ .‬ﺑﻪ ﻫﻤﻴﻦ دﻟﻴﻞ ﺑﻴﺸﺘﺮ از ﻣﺤﻠﻮل ‪ (N-methyl pyrrolidine) NMP‬اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد]‪.[2‬‬

‫ زدايندهھای ويژه ‪:‬‬


‫در اﻳﻦ ﻣﻮرد زداﻳﻨﺪهﻫﺎي ﻣﺨﺘﻠﻔﻲ ﺑﺮاي ﺷﺮاﻳﻂ ﻣﺨﺘﻠﻒ ﻣﻮرد اﺳﺘﻔﺎده ﻗﺮار ﻣﻲﮔﻴﺮﻧﺪ‪ .‬ﻳﻜﻲ از اﻳﻦ‬

‫زداﻳﻨﺪهﻫﺎ ‪ (hydroxylamine) HDA‬ﻣﻲﺑﺎﺷﺪ‪ .‬اﻳﻦ زداﻳﻨﺪه ﻳﺎ زداﻳﻨﺪهﻫﺎي دﻳﮕﺮ‪ ،‬ﺑﺎﻗﻴﻤﺎﻧﺪه و‬

‫ﻻﻳﻪﻫﺎي ‪ polyimide‬ﻛﻪ در زداﻳﺶ ﭘﻼﺳﻤﺎ ﺑﺮداﺷﺘﻪ ﻧﺸﺪه اﻧﺪ را زداﻳﺶ ﻣﻲﻛﻨﻨﺪ]‪.[2‬‬

‫‪ -7-6‬زداﻳﺶ ﺧﺸﻚ‬

‫‪ - 1- 7- 6‬ﺑﺮرﺳﻲ ﺗﺎرﻳﺨﻲ‪:‬‬

‫در ﺣﺎﻟﻴﻜﻪ در اواﻳﻞ ﺷﺮوع ﺑﻪ ﻛﺎر ﻣﺪارات ﻣﺠﺘﻤﻊ زداﻳﺶ ﻣﺮﻃﻮب ﺑﻪ ﺧﻮﺑﻲ ﻧﻴﺎزﻫﺎي ﻣﻮﺟﻮد را ﺑﺮ‬
‫ﻃﺮف ﻣﻲﻛﺮد‪ ،‬اﻣﺮوزه اﻳﻦ روش ﺑﻪ ﻃﻮر ﮔﺴﺘﺮدهاي ﺑﺎ زداﻳﺶ ﺧﺸﻚ ﻳﺎ زداﻳﺶ ﭘﻼﺳﻤﺎﻳﻲ ﺟﺎﻳﮕﺰﻳﻦ‬
‫ﺷﺪه اﺳﺖ‪ .‬ﺑﺮاي اﻳﻦ اﻣﺮ دو ﻋﻠﺖ اﺻﻠﻲ وﺟﻮد دارد‪:‬‬

‫‪1 Cholorinated hydrocarbon‬‬


‫‪2 Hard baked‬‬
‫‪3 Acetone‬‬
‫‪178‬‬
‫اوﻻً اﻳﻨﻜﻪ در ﭘﻼﺳﻤﺎ ﮔﻮﻧﻪﻫﺎي ﺷﻴﻤﻴﺎﻳﻲ ﺑﺴﻴﺎر ﻓﻌﺎل ﺑﻪ وﺟﻮد ﻣﻲآﻳ ﺪ ﻛﻪ ﻗﺎﺑﻠﻴﺖ زداﻳﺶ ﺑﻴﺸﺘﺮي‬
‫ﻧﺴﺒﺖ ﺑﻪ ﺣﺎﻟﺖ ﻏﻴﺮ ﭘﻼﺳﻤﺎﻳﻲ دارﻧﺪ ‪ .‬ﺑﻪ ﻋﻨﻮان ﻣﺜﺎل ﺑﻪ ﻛﺎرﺑﺮد ﮔﺴﺘﺮدهي اﻳﻦ روش در اواﻳﻞ دﻫﻪي‬
‫‪ 1970‬ﻣﻲﭘﺮدازﻳﻢ‪ .‬در آن زﻣﺎن ﺑﺮاي زداﻳﺶ ﻧﻴﺘﺮﻳﺪ ﺳﻴﻠﻴﻜﻮن )ﻛﻪ ﺑﻪ ﻋﻨﻮان ﻻﻳﻪي ﻣﺤﺎﻓﻆ ﻧﻬﺎﻳﻲ در‬
‫ﻣﺪارات ﻣﺠﺘﻤﻊ اﺳﺘﻔﺎده ﻣﻲ ﺷﺪ ( از ﻣﺤﻠﻮل ‪ HF‬ﺑﻬﺮه ﻣﻲ ﺑﺮدﻧﺪ‪ .‬اﻣﺎ ﻧﺮخ زداﻳﺶ اﻳﻦ ﻣﺎده ﺗﻮﺳﻂ اﻳﻦ‬
‫ﻣﺤﻠﻮل ﺑﺴﻴﺎر ﭘﺎﻳﻴﻦ ﺑﻮد ‪ .‬ﻋﻼوه ﺑﺮ اﻳﻦ اﻣﻜﺎن اﻧﺘﺨﺎب ﭘﺬﻳﺮي ﻧﺴﺒﺖ ﺑﻪ ﻻﻳﻪي ‪ SiO2‬ي زﻳﺮﻳﻦ ﻧﻴﺰ‬
‫وﺟﻮد ﻧﺪاﺷﺖ‪ .‬ﺑﻨﺎ ﺑﺮ اﻳﻦ ﺟﺴﺘﺠﻮ ﺑﺮاي ﺟﺎﻳﮕﺰﻳﻨﻲ ﺑﻬﺘﺮ‪ ،‬آﻏﺎز ﺷﺪ‪ .‬ﺑﻪ زودي ﻣﺤﻘﻘﺎن در ﻳﺎﻓﺘﻨﺪ ﻛﻪ‬
‫اﺳﺘﻔﺎده از ﻣﺨﻠﻮط ﮔﺎزي ‪ CF4/O2‬در ﻣﺤﻴﻂ ﭘﻼﺳﻤﺎﻳﻲ ﺑﺎﻋﺚ ﺗﻮﻟﻴﺪ اﺗﻢﻫﺎي ﻓﻠﻮﺋﻮر ‪ F‬ﻣﻲﺷﻮد ﻛﻪ‬
‫ﻻﻳﻪي ﻧﻴﺘﺮﻳﺪ ﺳﻴﻠﻴﻜﻮن را ﺑﻪ راﺣﺘﻲ ﻣﻲزداﻳﺪ‪ .‬در ﻫﻤﺎن زﻣﺎن اﺳﺘﻔﺎده از ﭘﻼﺳﻤﺎي اﻛﺴﻴﮋن ﺑﺮاي‬
‫زداﻳﺶ ﻣﺤﺎﻓﻆ ﺣﺴﺎس ﺑﻪ ﻧﻮر ‪1‬در ﺳﺎﺧﺖ اﻓﺰا رهﻫﺎي ﻣﺨﺘﻠﻒ‪ ،‬ﻣﺘﺪاول ﮔﺮدﻳﺪ و زداﻳﺶ ﭘﻼﺳﻤﺎ ﺑﺮاي‬
‫زداﻳﺶ ﭘﻠﻲ ﺳﻴﻠﻴﻜﻮن و ﻣﻮاد دﻳﮕﺮ ﻣﻮرد ﺗﻮﺟﻪ ﻗﺮار ﮔﺮﻓﺖ]‪.[1‬‬
‫دوم آﻧﻜﻪ‪ ،‬در ﺣﺎﻟﻴﻜﻪ در زداﻳﺶ ﺗﺮ ﺑﻪ ﻋﻠﺖ ﻫﻤﺴﺎﻧﮕﺮدي‪ ،‬ﻳﻚ ﻻﻳﻪ ﻧﺎزك ﺑﻪ ﺿﺨﺎﻣﺖ ‪ 1μm‬از ﻃﺮﻳﻖ‬
‫ﻳﻚ ﭘﻨﺠﺮه ﻧﻘﺎب ‪ 2‬ﺑﻪ ﻋﺮض ‪ ،1μm‬در ﺑﻬﺘﺮﻳﻦ ﺣﺎﻟﺖ ﺳﻄﺢ ﻣﻘﻄﻌﻲ ﺑﻪ ﻋﺮض ‪ 3μm‬در ﺑﺎﻻي ﻻﻳﻪ و‬
‫‪ 1μm‬در ﭘﺎﻳﻴﻦ ﻻﻳﻪ اﻳﺠﺎد ﻣﻲﻛﻨﺪ ‪ ،‬ﺑﺎ اﺳﺘﻔﺎده از زداﻳﺶ ﭘﻼﺳﻤﺎﻳﻲ اﻣﻜﺎن زداﻳﺶ ﻧﺎ ﻫﻤﺴﺎﻧﮕﺮد ‪ 3‬ﻳﺎ‬
‫زداﻳﺶ ﺟﻬﺖ دار ‪ 4‬ﻓﺮاﻫﻢ ﻣﻲآﻳﺪ‪ .‬ﺑﻪ اﻳﻦ دﻟﻴﻞ و ﻧﺘ ﻴﺠﻪي آن ﻳﻌﻨﻲ ﻋﺪم وﺟﻮد ﻓﺮا زداﻳﺶ ‪،‬اﻣﻜﺎن‬
‫اﻳﺠﺎد ﻃﺮحﻫﺎي ﺑﻴﺸﺘﺮ در ﻓﻮاﺻﻞ ﻧﺰدﻳﻚﺗﺮ وﺟﻮد ﺧﻮاﻫﺪ داﺷﺖ ‪ ..‬اﻳﻦ ﺟﻬﺖ ﮔﻴﺮي ﺑﻪ دﻟﻴﻞ وﺟﻮد‬
‫ﻳﻮﻧﻬﺎي ﻓﻌﺎل در ﻣﺤﻴﻂ ﭘﻼﺳﻤﺎﻳﻲ و ﻣﻴﺪان اﻟﻜﺘﺮﻳﻜﻲ ﻣﻮﺟﻮد اﺳﺖ]‪.[1‬‬
‫اﻣﺮوزه ﺳﺎﺧﺖ ﻣﺪرنﺗﺮﻳﻦ ﻣﺪارات ﻣﺠﺘﻤﻊ واﺑﺴﺘﻪ ﺑﻪ اﻳﺠﺎد ﺳﺎﺧﺘﺎرﻫﺎي دﻗﻴﻖ ﻣﻴﻜﺮوﻧﻲ و‬
‫زﻳﺮ ﻣﻴﻜﺮوﻧﻲ در ﻣﻮاد ﻣﺨﺘﻠﻒ ﻣﻲﺑﺎﺷﺪ و زداﻳﺶ ﭘﻼﺳﻤﺎ ﺑﻪ ﻋﻨﻮان ﻣﺮﻛﺰ اﻳﻦ ﭘﺮوﺳﻪ ﺷﻨﺎﺧﺘﻪ ﻣﻲ‪-‬‬
‫ﺷﻮد‪ .‬زداﻳﺶ ﭘﻼﺳﻤﺎ ﺗﻨﻬﺎ ﺗﻜﻨﻮﻟﻮژي ﻣﻮﺟﻮد ﻣﻲﺑﺎﺷﺪ ﻛﻪ اﻣﻜﺎن ﺳﺎﺧﺖ ﻗﻄﻌﺎت ﻣﻴﻜﺮوﻧﻲ و زﻳﺮ‬
‫ﻣﻴﻜﺮوﻧﻲ ﺑﺎ دﻗﺖ و ﺑﺎزده ﺑﺎﻻ را اﻳﺠﺎد ﻣﻲﻛﻨﺪ‪ .‬ﻣﺘﻘﺎﺑﻼً ﺑﻴﺸﺘﺮﻳﻦ ﺗﺤﻘﻴﻘﺎت روي زداﻳﺶ ﭘﻼﺳﻤﺎ ﺑﻪ‬
‫ﻛﻤﻚ رﻳﺰﺳﺎزي ‪ 5‬و ﺑﻪ وﺳﻴﻠﻪ ﻣﻴﻜﺮواﻟﻜﺘﺮوﻧﻴﻚ ﺻﻮرت ﻣﻲﮔﻴﺮد‪.‬‬
‫ﺑﺎ اﻳﻦ وﺟﻮد اﻳﻦ ﻧﻮع زداﻳﺶ ﻧﻘﺺﻫﺎﻳﻲ ﻧﻴﺰ در ﺑﺴﺘﺮﻫﺎي ﻣﺨﺘﻠﻒ اﻳﺠﺎد ﻣﻲﻛﻨﺪ‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ ﺑﺮرﺳﻲ و‬
‫ﺷﻨﺎﺧﺖ ﻓﻴﺰﻳﻚ و ﺷﻴﻤﻲ زداﻳﺶ ﭘﻼﺳﻤﺎ ﻛﻪ ﻣﻮﺿﻮع اﻳﻦ ﻓﺼﻞ ﻣﻲﺑﺎﺷﺪ ﺿﺮوري ﺑﻪ ﻧﻈﺮ ﻣﻲرﺳﺪ ]‪.[3‬‬

‫‪1 Photoresist‬‬
‫‪2 mask‬‬
‫‪3 Anisotropic‬‬
‫‪4 Directional‬‬
‫‪5 Microfabrication‬‬
‫‪179‬‬
‫‪ - 2- 7- 6‬ﭘﻼﺳﻤﺎ ﭼﻴﺴﺖ؟‬

‫اﻏﻠﺐ ﭘﻼﺳﻤﺎ را ﺑﻪ ﻋﻨﻮان ﺣﺎﻟﺖ ﭼﻬﺎرم ﻣﺎده ﻣﻲﺷﻨﺎﺳﻨﺪ‪ .‬ﭼﻨﺎﻧﭽﻪ ﻳﻚ ﺟﺎﻣﺪ را ﺣﺮارت دﻫﻴﻢ‪ ،‬در‬
‫دﻣﺎﻳﻲ ﻣﻨﺎﺳﺐ ﺑﻪ ﻓﺎز ﻣﺎﻳﻊ ﺗﺒﺪﻳﻞ ﻣﻲ ﺷﻮد‪.‬ﺑﺎ اﻓﺰاﻳﺶ ﺣﺮارت و دﻣﺎ ﺗﻐﻴﻴﺮ ﺣﺎﻟﺖ از ﻣﺎﻳﻊ ﺑﻪ ﮔﺎز‬
‫ﺻﻮرت ﺧﻮاﻫﺪ ﮔﺮﻓﺖ‪ .‬در اداﻣﻪي اﻳﻦ ﻓﺮآﻳﻨﺪ ﺣﺮارﺗﻲ ﻣﻮﻟﻜﻮلﻫﺎي ﮔﺎزي‪ ،‬ﺗﺠﺰﻳﻪ ﺷﺪه و ﺑﻪ اﺗﻤﻬﺎي‬
‫آزاد در ﻓﺎز ﮔﺎزي ﻣﺎده ﺗﻐﻴﻴﺮ ﺣﺎﻟﺖ ﻣﻲدﻫﻨﺪ‪.‬ﺣﺎل اﮔﺮ دﻣﺎي اﻳﻦ ﻣﺤﻴﻂ ﺑﻪ ﻗﺪر ﻛﺎﻓﻲ ﺑﺎﻻ رود اﺗﻢﻫﺎي‬
‫آزاد اﻳﻦ ﮔﺎز ﺗﺒﺪﻳﻞ ﺑﻪ ﻳﻮنﻫﺎ و اﻟﻜﺘﺮونﻫﺎي آزاد ﺷﺪه و ﻣﺎده ﺑﻪ ﺣﺎﻟﺖ ﭘﻼﺳﻤﺎﻳﻲ ﺧﻮد وارد‬
‫ﻣﻲﺷﻮد‪ .‬دﻣﺎي ﻣﻨﺎﺳﺐ ﺑﺮاي ﺗﺸﻜﻴﻞ اﻳﻦ ﻣﺤﻴﻂ ﭘﻼﺳﻤﺎﻳﻲ ﺑﻪ ﻃﻮر ﻃﺒﻴﻌﻲ از ‪ 4000k‬ﺑﺮاي اﺗﻢﻫﺎي‬
‫ﺳﻨﮕﻴﻦ ﻣﺎﻧﻨﺪ ﺳﺰﻳﻢ ﺗﺎ ‪ 20000k‬ﺑﺮاي اﺗﻢﻫﺎي ﺳﺒﻚ ﻣﺜﻞ ﻫﻠﻴﻢ اداﻣﻪ دارد]‪ .[15‬ﭼﻨﻴﻦ ﻣﺤﻴﻂﻫﺎي‬
‫ﭘﻼﺳﻤﺎﻳﻲ اﻏﻠﺐ در ﺳﺘﺎرهﻫﺎ‪ ،‬ﮔﺎزﻫﺎي ﻛﻴﻬﺎﻧﻲ‪ ،‬رﻋﺪ و ﺑﺮق‪ ،‬ﻻﻣﭗﻫﺎي ﻓﻠﻮرﺳﺎن و ﻣﺤ ﻴﻂﻫﺎي‬
‫آزﻣﺎﻳﺸﮕﺎﻫﻲ ﻳﺎﻓﺖ ﻣﻲﺷﻮد‪ .‬ﺷﻜﻞ)‪ ( 15‬ﺳﺎدهﺗﺮﻳﻦ روش ﺑﺮاي ﺗﻮﻟﻴﺪ ﭘﻼﺳﻤﺎ در آزﻣﺎﻳﺸﮕﺎه را ﻧﺸﺎن‬
‫ﻣﻲدﻫﺪ‪ .‬ﻳﻚ رآﻛﺘﻮر ﭘﻼﺳﻤﺎ در ﺳﺎدهﺗﺮﻳﻦ ﺣﺎﻟﺖ ﺷﺎﻣﻞ دو ﺻﻔﺤﻪ اﻟﻜﺘﺮود ﻣﻮازي‪ ،‬درون ﻳﻚ‬
‫ﻣﺤﻔﻈﻪ ﻛﻢ ﻓﺸﺎر )ﺑﻴﻦ ‪ 0.01‬ﺗﺎ ‪ (1 Torr‬ﻣﻲﺑﺎﺷﺪ ‪ .‬ﻫﻨﮕﺎﻣﻲ ﻛﻪ ﻳﻚ وﻟﺘﺎژ ﺑﺎ ﻓﺮﻛﺎﻧﺲ ﺑﺎﻻ ﺑﻴﻦ دو‬
‫اﻟﻜﺘﺮود اﻋﻤﺎل ﺷﻮد‪ ،‬ﺟﺮﻳﺎن از ﻃﺮﻳﻖ ﮔﺎز ﺑﻴﻦ دو اﻟﻜﺘﺮود ﺑﺮﻗﺮار ﻣﻲﮔﺮدد و ﻣﺤﻴﻂ درﺧﺸﺎﻧﻲ ‪1‬‬
‫ﺗﺸﻜﻴﻞ ﻣﻲدﻫﺪ ﻛﻪ ﻫﻤﺎن ﭘﻼﺳﻤﺎ اﺳﺖ ‪.‬‬

‫‪1 Glow Discharge‬‬


‫‪180‬‬
‫‪RF p ow er inpu t‬‬
‫‪Matching‬‬
‫‪network‬‬

‫‪Electrode‬‬
‫‪RF‬‬
‫‪gen erator‬‬ ‫‪Plasma‬‬
‫‪Plasma‬‬ ‫‪she ath s‬‬

‫‪Ele ctrod e‬‬

‫‪Gas inlet‬‬ ‫‪Groun d‬‬ ‫‪Gas outlet,‬‬


‫)‪( Ar, C F4, O 2‬‬ ‫‪pump‬‬

‫ﺷﻜﻞ ‪ 15‬رآﻛﺘﻮر ﭘﻼﺳﻤﺎ ﺷﺎﻣﻞ ﻳﻚ ﻣﺤﻔﻈﻪ ﺧﻸ ﺑﺎ ﺣﺪاﻗﻞ در اﻟﻜﺘﺮود ﻣﺠﺰا ﻣﻲﺑﺎﺷﺪ‪ .‬ﺳﻴﺴﺘﻢ داراي‬
‫وروديﻫﺎي ﮔﺎز ﻣﻲﺑﺎﺷﺪ و ﮔﺎز درون ﻣﺤﻔﻈﻪ در ﻧﻬﺎﻳﺖ ﺑﺎ اﻋﻤﺎل وﻟﺘﺎژ ‪ RF‬ﺑﻪ اﻟﻜﺘﺮودﻫﺎ‪ ،‬اﻳﺠﺎد ﭘﻼﺳﻤﺎ‬
‫ﻣﻲﻛﻨﺪ ]‪.[3‬‬

‫ﻗﺒﻞ از آﻧﻜﻪ ﺑﻴﺸﺘﺮ وارد ﺟﺰﻳﺎت رآﻛﺘﻮر ﭘﻼﺳﻤﺎ و ﻓﺮاﻳﻨﺪ زداﻳﺶ ﺷﻮﻳﻢ‪ ،‬اﺑﺘﺪا ﺑﻪ ﺗﻮﺿﻴﺢ ﻣﺨﺘﺼﺮي از‬
‫ﻣﺸﺨﺼﺎت اﺻﻠﻲ ﻣﺤﻴﻂ ﭘﻼﺳﻤﺎي آزﻣﺎﻳﺸﮕﺎﻫﻲ ﻣﻲﭘﺮدازﻳﻢ‪ .‬از ﻧﻈﺮ ﻓﻴﺰﻳﻜﺪاﻧﺎن‪ ،‬ﻫﻤﺎﻧﻄﻮر ﻛﻪ ﮔﻔﺘﻴﻢ‬
‫ﭘﻼﺳﻤﺎ ﻳﻚ ﮔﺎز ﻳﻮﻧﻴﺰه ﺷﺪه ﺑﺎ ﻣﻘﺪار ﻣﺴﺎوي از ذرات آزاد ﺑﺎ ﺑﺎر ﻣﺜﺒﺖ و ﻣﻨﻔﻲ ﻣﻲﺑﺎﺷﺪ‪ .‬ﺑﺎرﻫﺎي آزاد‬
‫ﺑﻪ وﺳﻴﻠﻪ ﻋﺒﻮر ﺟﺮﻳﺎن ﺑﻴﻦ دو اﻟﻜﺘﺮود ﺑﻪ وﺟﻮد ﻣﻲآﻳﻨﺪ‪ .‬ﺑﺮاي اﻛﺜﺮ ﭘﻼﺳﻤﺎﻫﺎﻳﻲ ﻛﻪ ﺑﻪ ﻣﻨﻈﻮر‬
‫زداﻳﺶ ﺑﻪ ﻛﺎر ﻣﻲروﻧﺪ‪ ،‬ﻧﺴﺒﺖ ﻳﻮﻧﻴﺰه ﺷﺪن ذرات ﺑﺴﻴﺎر ﻛﻢ اﺳﺖ و ﻣﻌﻤﻮﻻً ﻳﻚ ذره ﺑﺎردار در ﻫﺮ‬
‫‪ 100،000‬ﺗﺎ ‪ 1،000،000‬ذره ﺧﻨﺜﻲ و اﺗﻢ ﺑﻪ وﺟﻮد ﻣﻲآﻳﺪ )ﺷﻜﻞ ‪ .(16‬ﺑﻴﺸﺘﺮ ﺑﺎرﻫﺎي ﻣﺜﺒﺖ در اﺑﺘﺪا‬
‫ﻫﻤﺎن ذرات ﺧﻨﺜﻲ ﻣﻲﺑﺎﺷﻨﺪ ) ﺑﻪ ﻃﻮر ﻣﺜﺎل اﺗﻢﻫﺎ‪ ،‬رادﻳﻜﺎلﻫﺎ و ﻳﺎ ﻣﻮﻟﻜﻮلﻫﺎ( ﻛﻪ ﻳﻚ اﻟﻜﺘﺮون از‬
‫آنﻫﺎ ﺟﺪا ﺷﺪه اﺳﺖ‪ .‬اﻛﺜﺮﻳﺖ ﺑﺎرﻫﺎي ﻣﻨﻔﻲ در ﭘﻼﺳﻤﺎ‪ ،‬اﻟﻜﺘﺮونﻫﺎي آزاد ﻫﺴﺘﻨﺪ؛ اﮔﺮ ﭼﻪ در‬
‫ﮔﺎزﻫﺎﻳﻲ ﻣﺎﻧﻨﺪ ﻛﻠﺮ ﻛﻪ ﺑﻪ ﺷﺪت اﻟﻜﺘﺮوﻧﮕﺎﺗﻴﻮ ‪ 1‬ﻫﺴﺘﻨﺪ ﻳﻮنﻫﺎي ﻣﻨﻔﻲ ﻧﻴﺰ زﻳﺎد ﻫﺴﺘﻨﺪ ‪.‬‬

‫‪1 Electronegative‬‬
‫‪181‬‬
‫‪A plasma is an ionized gas with equal‬‬
‫‪numbers of positive and negative‬‬
‫‪charges‬‬

‫‪For most plasmas of interest here, the‬‬ ‫‪n‬‬ ‫‪n‬‬ ‫‪n n‬‬
‫‪extent of ionization is small, typically‬‬ ‫‪n‬‬ ‫‪n‬‬
‫‪only 1 charged particle per 1,000,000‬‬ ‫‪n‬‬ ‫‪n‬‬
‫‪neutral atoms and molecules‬‬ ‫‪n‬‬ ‫‪n n‬‬ ‫‪n n‬‬

‫‪The negative particles are predominantly electrons.‬‬


‫‪Energy transfer from electron is a plasma is inefficient.‬‬
‫‪=e‬‬
‫‪Because of this, the electrons have high energy (many‬‬
‫‪ev) permitting high temperature type reactions (which‬‬
‫‪make free radicals) in a low temperature meutral gas.‬‬

‫ﺷﻜﻞ ‪ 16‬ﺑﻌﻀﻲ از ﻣﺸﺨﺼﻪﻫﺎي ﭘﻼﺳﻤﺎ ﻛﻪ در ﻓﺮاﻳﻨﺪﻫﺎي ﻣﻴﻜﺮواﻟﻜﺘﺮوﻧﻴﻚ اﺳﺘﻔﺎده ﻣﻲﺷﻮﻧﺪ]‪.[3‬‬

‫در ﭘﻼﺳﻤﺎ ﺣﺎﻣﻠﻴﻦ اﺻﻠﻲ ﺟﺮﻳﺎن ﺑﻴﻦ دو اﻟﻜﺘﺮود‪ ،‬اﻟﻜﺘﺮونﻫﺎ ﻫﺴﺘﻨﺪ‪ .‬دﻟﻴﻞ اﺻﻠﻲ اﻳﻦ اﻣﺮ ﺳﺒﻚ‬
‫ﺑﻮدن و ﻣﺘﺤﺮك ﺑﻮدن ﺑﻴﺶ از ﺣﺪ آنﻫﺎ در ﻣﻘﺎﻳﺴﻪ ﺑﺎ ﺳﺎﻳﺮ ذرات ﻣﻲﺑﺎﺷﺪ‪ .‬ﺑﻪ اﻳﻦ دﻟﻴﻞ‪ ،‬ﺑﺎ اﻋﻤﺎل‬
‫وﻟﺘﺎژ ﻣﺘﻐﻴﺮ و ﺑﺎ ﻓﺮﻛﺎﻧﺲ )‪ ، RF(13.56MHz‬اﺑﺮ اﻟﻜﺘﺮوﻧﻲ ﻣﻮﺟﻮد در اﻃﺮاف اﻟﻜﺘﺮود ﻣﺜﺒﺖ)ﻧﻴﻢ‬
‫ﺳﻴﻜﻞ اول( ﺷﺮوع ﺑﻪ ﺣﺮﻛﺖ ﺑﻪ ﺳﻤﺖ اﻟﻜﺘﺮود دﻳﮕﺮ ﻣﻲﻛﻨﺪ‪ .‬رﺳﻴﺪن اﻳﻦ اﻟﻜﺘﺮوﻧﻬﺎ ﺑﻪ اﻳﻦ اﻟﻜﺘﺮود‬
‫و ﺑﺮﺧﻮرد ﺑﺎ آن ﺑﺎﻋﺚ ﺧﻨﺜﻲ ﺷﺪن ﺑﺨﺸ ﻲ از اﻳﻦ اﺑﺮ ﺧﻮاﻫﺪ ﺷﺪ‪ .‬اﻳﻦ ﻛﻤﺒﻮد ﺑﺎر ﻣﻨﻔﻲ در ﻣﺤﻴﻂ‪ ،‬ﻳﻚ‬
‫ﭘﺘﺎﻧﺴﻴﻞ ﻣﺜﺒﺖ در ﭘﻼﺳﻤﺎ ﺑﺮ ﺟﺎي‬
‫ﺧﻮاﻫﺪ ﮔﺬاﺷﺖ‪ .‬در ﻧﻴﻢ ﺳﻴﻜﻞ دوم‪ ،‬ﻋﻜﺲ اﻳﻦ ﻣﻄﻠﺐ اﺗﻔﺎق ﻣﻲاﻓﺘﺪ)ﺷﻜﻞ ‪3‬اﻟﻒ(‪ .‬ﺑﻨﺎ ﺑﺮ اﻳﻦ در دو‬
‫ﻃﺮف‪ ،‬ﺑﻴﻦ ﭘﻼﺳﻤﺎ و اﻟﻜﺘﺮودﻫﺎ ﻳﻚ ﭘﺘﺎﻧﺴﻴﻞ ﻣﺜﺒﺖ ﺑﺮﻗﺮار ﻣﻲﺷﻮد‪ .‬در ﺣﻘﻴﻘﺖ دﻳﻮارهي ﭘﻼﺳﻤﺎ‬
‫ﺗﻮﺳﻂ ﻣﺤﻴﻄﻲ ﺗﻘﺮﻳﺒﺎً ﺧﺎﻟﻲ از ﺑﺎر ) ﺑﻪ ﻋﻠﺖ ﺑﺮﺧﻮرد ﺣﺎﻣﻞﻫﺎي ﺑﺎر ﺑﺎ دﻳﻮارهﻫﺎ و ﺑﺎزﺗﺮﻛﻴﺐ آنﻫﺎ در‬
‫ﺳﻄﻮح ﻣﺮزي ﻣﺤﻴﻂ ﭘﻼﺳﻤﺎ ( از اﻟﻜﺘﺮودﻫﺎ ﺟﺪا ﻣﻲ ﺷﻮد‪ .‬ﺑﻪ اﻳﻦ ﻣﻨﻄﻘﻪ‪ ،‬ﻧﺎﺣﻴﻪي ﺗﺎرﻳﻚ ‪ 1‬ﻳﺎ ﻏﻼف ‪2‬‬

‫‪1 Dark Space‬‬


‫‪2 sheath‬‬
‫‪182‬‬
‫ﮔﻔﺘﻪ ﻣﻲ ﺷﻮد‪ .‬در اﺛﺮ ﺑﺮﺧﻮرد اﻟﻜﺘﺮونﻫﺎ ﺑﺎ ﻣﻮﻟﻜﻮلﻫﺎي ﮔﺎز در ﭘﻼﺳﻤﺎ‪ ،‬اﻟﻜﺘﺮونﻫﺎي اﺗﻢﻫﺎ و‬
‫ﻣﻮﻟﻜﻮلﻫﺎي ﺧﻨﺜﻲ‪ ،‬اﻧﺮژي ﻣﺘﻮﺳﻂ زﻳﺎدي‪ ،‬در ﺣﺪ ﭼﻨﺪﻳﻦ اﻟﻜﺘﺮون ‪-‬وﻟﺖ ) ﺑﺮاﺑﺮ ﭼﻨﺪ ده ﻫﺰار درﺟﻪ‬
‫ﺳﺎﻧﺘﻲﮔﺮاد ﺑﻴﺸﺘﺮ از دﻣﺎي ﮔﺎز ( ﺑﺪﺳﺖ ﻣﻲآورﻧﺪ‪ .‬اﻳﻦ اﻟﻜﺘﺮونﻫﺎي ﭘﺮ اﻧﺮژي ﺧﻮد ﺑﺎﻋﺚ ﺑﺮﺧﻮردﻫﺎي‬
‫اﻟﻜﺘﺮون ‪-‬ﻣﻮﻟﻜﻮل و ﺗﺤﺮﻳﻚ واﻛﻨﺶﻫﺎي دﻣﺎ ﺑﺎﻻ ﻣﻲﺷﻮد ﻛﻪ در اﺛﺮ آن ذرات رادﻳﻜﺎل در ﻳﻚ ﮔﺎز ﺑﺎ‬
‫دﻣﺎي ﻛﻢ اﻳﺠﺎد ﻣﻲﺷﻮﻧﺪ‪ .‬ﺑﺮاي اﻳﺠﺎد ﻛﺮدن اﻳﻦ ﻣﻴﺰان ذرات رادﻳﻜﺎل در ﻳﻚ ﮔﺎز ﺑﺪون ﺗﺸﻜﻴﻞ‬
‫دادن ﭘﻼﺳﻤﺎ ﺑﺎﻳﺪ دﻣﺎي ﮔﺎز را ﺗﺎ ﺣﺪود ‪ 103‬ﺗﺎ ‪ 104‬درﺟﻪ ﻛﻠﻮﻳﻦ ﺑﺎﻻ ﺑﺮد ﻛﻪ ﺑﺮاي ﻓﺮاﻳﻨﺪﻫﺎي‬
‫ﻣﻴﻜﺮواﻟﻜﺘﺮوﻧﻴﻚ دﻣﺎﻳﻲ ﺑﺴﻴﺎر ﺑﺎﻻ ﻣﻲﺑﺎﺷﺪ‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ ﭘﻼﺳﻤﺎ ﻣﻲﺗﻮاﻧﺪ ﺑﻬﺘﺮﻳﻦ ﮔﺰﻳﻨﻪ ﺑﺮاي اﺟﺮاي‬
‫ﻓﺮاﻳﻨﺪﻫﺎي ﺣﺮارﺗﻲ ﺑﺪون ﻧﻴﺎز ﺑﻪ دﻣﺎي ﺑﺎﻻ ﺑﺎﺷﺪ ]‪.[4‬‬

‫‪Electrode‬‬ ‫‪Electrode‬‬
‫)‪(target‬‬

‫‪Equal area electrodes‬‬

‫‪+‬‬ ‫‪Vp‬‬

‫‪0‬‬ ‫‪0‬‬
‫‪Distance‬‬ ‫‪V1‬‬
‫‪-‬‬ ‫‪V2‬‬
‫‪Voltage‬‬

‫‪Unequal area electrodes‬‬


‫)‪(smaller electrode at left‬‬

‫ﺷﻜﻞ ‪ 17‬اﻟﻒ ( ﭘﻼﺳﻤﺎ از ﻣﺤﻴﻂ اﻃﺮاف ﺧﻮد ﭘﺘﺎﻧﺴﻴﻞ ﺑﻴﺸﺘﺮي دارد ﺑﻨﺎﺑﺮاﻳﻦ ﻫﻨﮕﺎﻣﻲ ﻛﻪ ﻣﻨﺤﻨﻲ ‪ RF‬در ﺳﻴﻜﻞ ﻣﺜﺒﺖ ﺧﻮد‬
‫ﻣﻲﺑﺎﺷﺪ ﭘﺘﺎﻧﺴﻴﻞ ﭘﻼﺳﻤﺎ ﺑﻴﺸﺘﺮ از اﻟﻜﺘﺮود ﻣﻲﺑﺎﺷﺪ و ﻫﻨﮕﺎﻣﻲ ﻛﻪ ﭘﺘﺎﻧﺴﻴﻞ ‪ RF‬ﻣﻨﻔﻲ ﻣﻲﺷﻮد ﭘﺘﺎﻧﺴﻴﻞ ﭘﻼﺳﻤﺎ آن را دﻧﺒﺎل‬
‫ﻧﻜﺮده و ﺑﺎﻻﺗﺮ از ﺳﻄﺢ ﭘﺘﺎﻧﺴﻴﻞ زﻣﻴﻦ ﻣﻲﻣﺎﻧﺪ‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ ﻫﻤﻴﺸﻪ ﺳﻴﻜﻞ ﭘﺘﺎﻧﺴﻴﻞ ﭘﻼﺳﻤﺎ ﺑﺎﻻﺗﺮ از ﺳﻄﺢ ﭘﺘﺎﻧﺴﻴﻞ زﻣﻴﻦ ﺑﺎﻗﻲ‬
‫ﻣﻲﻣﺎﻧﺪ‪ .‬ﻣﻘﺪار ﻣﺘﻮﺳﻂ اﺧﺘﻼف ﭘﺘﺎﻧﺴﻴﻞ ﺑﻴﻦ ﺗﻨﻪ اﺻﻠﻲ ﭘﻼﺳﻤﺎ و اﻟﻜﺘﺮودﻫﺎ ﺑﻪ ﻋﻨﻮان ﺑﺎﻳﺎس ‪ DC‬ﻧﺎمﺑﺮده ﻣﻲﺷﻮد‪ .‬ب( از آﻧﺠﺎ‬
‫ﻛﻪ اﻟﻜﺘﺮونﻫﺎي داغ ﻧﺴﺒﺖ ﺑﻪ ﻳﻮنﻫﺎي ﻓﻌﺎل ﺗﺤﺮك ﺑﻴﺸﺘﺮي دارﻧﺪ؛ ﺑﻪ ﻫﻤﻴﻦ ﺳﺒﺐ ﺑﺎ ﺳﺮﻋﺖ ﺑﻴﺸﺘﺮي ﺑﻪ دﻳﻮارهﻫﺎي ﻣﺤﻴﻂ‬
‫ﻧﻔﻮذ ﻣﻲﻛﻨﻨﺪ و ﺑﺎﻋﺚ ﻣﻲﺷﻮﻧﺪ ﻛﻪ ﺑﺎر دﻳﻮارهﻫﺎ ﻣﻨﻔﻲ ﺷﻮد و ﺑﺨﺶ ﭘﻼﺳﻤﺎ ﺑﺎر ﻣﺜﺒﺖ ﭘﻴﺪا ﻛﻨﺪ‪ .‬اﻓﺖ ﭘﺘﺎﻧﺴﻴﻞ در ﻗﺴﻤﺖ ﻏﻼف‬
‫ﺑﻴﻦ ﭘﻼﺳﻤﺎ و اﻟﻜﺘﺮودﻫﺎ ﺑﻴﻦ ‪ 10‬ﺗﺎ ‪ 1000‬وﻟﺖ ﻣﺘﻐﻴﺮ اﺳﺖ‪ .‬ﻳﻮنﻫﺎ درون ﭘﻼﺳﻤﺎ ﺑﻪ ﺻﻮرت ﺗﺼﺎدﻓﻲ ﺣﺮﻛﺖ ﻣﻲﻛﻨﻨﺪ وﻟﻲ ﻫﻨﮕﺎﻣﻲ‬
‫ﻛﻪ ﻳﻚ ﻳﻮن ﻣﺜﺒﺖ وارد ﺑﺨﺶ ﻏﻼف ﺷﻮد‪ ،‬ﺑﻪ ﻋﻠﺖ اﻓﺖ وﻟﺘﺎژ ﺑﻪ ﺳﻤﺖ اﻟﻜﺘﺮودﻫﺎ ﺷﺘﺎب ﻣﻲﮔﻴﺮد و زداﻳﺶ را ﺗﺎ ﺣﺪي ﺟﻬﺖ دار‬
‫ﻣﻲﻛﻨﺪ]‪.[3‬‬

‫‪183‬‬
‫ﻫﻤﺎﻧﻄﻮر ﻛﻪ در ﺷﻜﻞ )‪17‬ب( ﻣﺸﺨﺺ اﺳﺖ‪ ،‬ﭘﻼﺳﻤﺎ ﻧﺴﺒﺖ ﺑﻪ دﻳﻮارهﻫﺎي ﻣﺤﻴﻂ داراي ﭘﺘﺎﻧﺴﻴﻞ‬
‫ﻣﺜﺒﺖ ﻣﻲﺷﻮد‪ .‬ﭘﻼﺳﻤﺎ ‪-‬ﺑﻪ ﻋﻠﺖ اﻳﻨﻜﻪ ذرات ﺑﺎردار ﻗﺴﻤﺖ اﺻﻠﻲ ﺑﺨﺶ ﻣﺮﻛﺰي آنرا ﺗﺸﻜﻴﻞ ﻣﻲ‪-‬‬
‫دﻫﻨﺪ ‪ -‬ﻳﻚ ﻫﺎدي ﺧﻮب ﻣﻲﺑﺎﺷﺪ و ﺗﻘﺮﻳﺒﺎً ﻫﻤﻪ اﻓﺖ وﻟﺘﺎژ در ﻧﺎﺣﻴﻪﻫﺎي ﻏﻼف ﻣﻲﺑﺎﺷﺪ‪ .‬ﺑﺮ اﺛﺮ اﻳﻦ‬
‫اﻓﺖ وﻟﺘﺎژ از ﭘﻼﺳﻤﺎ ﺑﻪ ﺳﻤﺖ اﻟﻜﺘﺮودﻫﺎ‪ ،‬ﻳﻮنﻫﺎي ﻣﺜﺒﺖ در ﻧﺎﺣﻴﻪ ﻏﻼف ﺷﺘﺎب ﻣﻲﮔﻴﺮﻧﺪ و ﺑﻪ‬
‫دﻳﻮارهﻫﺎ ﺑﺮﺧﻮرد ﻣﻲﻛﻨﻨﺪ‪ .‬وﻟﺘﺎژ در ﻋﺮض ﻏﻼف‪ ،‬ﺑﺴﺘﻪ ﺑﻪ ﭘﺎراﻣﺘﺮﻫﺎي ﭘﻼﺳﻤﺎ ﺑﻴﻦ ﭼﻨﺪ وﻟﺖ ﺗﺎ ﭼﻨﺪ‬
‫ﻫﺰار وﻟﺖ ﻣﺘﻐﻴﺮ ﻣﻲﺑﺎﺷﺪ ﻛﻪ ﻣﻨﺠﺮ ﺑﻪ ﺳﺮﻋﺖ ﮔﺮﻓﺘﻦ ﻳﻮنﻫﺎ ﺗﺎ ﺣﺪود ‪ 105-106cm/s‬ﻣﻲﺷﻮد‪.‬‬
‫اﮔﺮ ﺳﻄﺢ دو اﻟﻜﺘﺮود ﺑﺎ ﻫﻢ ﺑﺮاﺑﺮ ﻧﺒﺎﺷﻨﺪ ﭘﻴﻮﺳﺘﮕﻲ ﺟﺮﻳﺎن ﺑﺎﻋﺚ ﻣﻲ ﺷﻮد ﺑﺎﻳﺎس ﻣﻨﻔﻲ ﺑﺮ روي‬
‫اﻟﻜﺘﺮود ﻛﻮﭼﻜﺘﺮ ﻗﺮار ﮔﻴﺮد‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ وﻳﻔﺮ را ﺑﺮ روي اﻟﻜﺘﺮود ﻛﻮﭼﻜﺘﺮ ﻗﺮار ﻣﻲدﻫﻴﻢ ﺗﺎ وﻟﺘﺎژ‬
‫‪ sheath‬ﺑﻴﺸﺘﺮي داﺷﺘﻪ ﺑﺎﺷﺪ و ﺑﻤﺒﺎران ﻳﻮﻧﻲ داراي اﻧﺮژي ﺑﻴﺸﺘﺮي درﻳﺎﻓﺖ ﻛﻨﺪ )ﺷﻜﻞ‪17‬ب(‪.‬‬
‫از آﻧﺠﺎﻳﻲ ﻛﻪ زداﻳﺶ ﻓﺮاﻳﻨﺪ ﺑﺮداﺷﺖ ﻣﻲ ﺑﺎﺷﺪ اﺣﺘﻴﺎج ﺑﻪ ﻓﺸﺎر ﻛﻢ دارد ﻛﻪ ﻣﺘﻮﺳﻂ ﻣﺴﻴﺮ آزاد ﻧﻴﺰ‬
‫در اﻳﻦ ﺻﻮرت ﺑﻴﺸﺘﺮ ﺧﻮاﻫﺪ ﺑﻮد‪ .‬اﻳﻦ اﻓﺰاﻳﺶ ﻣﺘﻮﺳﻂ ﻣﺴﻴﺮ آزاد ﻫﻢ ﺑﺮاي ﺑﻤﺒﺎران ﻳﻮﻧﻲ و ﻫﻢ ﺑﺮاي‬
‫ﺟﺪا ﻛﺮدن و ﭘﺎكﺳﺎزي ﻣﺤﺼﻮﻻت ﺟﺎﻧﺒﻲ ﻣﻨﺎﺳﺐ اﺳﺖ وﻟﻲ ﭼﮕﺎﻟﻲ ﭘﻼﺳﻤﺎ ﻛﻢ ﻣﻲﺷﻮد‪ .‬ﺑﻌﻀﻲ‬
‫ﻣﺤﻔﻈﻪﻫﺎي زداﻳﺶ از ﻣﻴﺪان ﻣﻐﻨﺎﻃﻴﺴﻲ ﺑﺮاي اﻓﺰاﻳﺶ ﭼﮕﺎﻟﻲ ﭘﻼﺳﻤﺎ در ﻓﺸﺎرﻫﺎي ﻛﻢ ) ﻛﻮﭼﻜﺘﺮ از‬
‫‪ (100 mTorr‬اﺳﺘﻔﺎده ﻣﻲﻛﻨﻨﺪ‪ . .‬در ﻣﺮﻛﺰ ﭘﻼﺳﻤﺎ )ﺟﺎﻳﻲ ﻛﻪ درﺧﺸﺎن اﺳﺖ(‪ ،‬ﻋﻤﻮﻣﺎً اﻳﻦ ﭼﮕﺎﻟﻲ‪،‬‬
‫ﺑﻴﻦ ‪ 109cm-3‬ﺗﺎ ‪ 1012cm-3‬ﻣﻲﺑﺎﺷﺪ ]‪.[4, 5‬‬

‫‪- 3- 7- 6‬ﺑﺮرﺳﻲ ﭘﺎراﻣﺘﺮﻫﺎي ﭘﺮوﺳﻪ زداﻳﺶ ﺧﺸﻚ‪:‬‬

‫ﻣﺸﺨﺼﺎت ﭘﺮوﺳﻪ زداﻳﺶ ﺧﺸﻚ ﻋﺒﺎرﺗﻨﺪ از‪ :‬ﻧﺮخ زداﻳﺶ ‪ ،1‬ﻣﻴﺰان ﺧﺴﺎرت ﻧﺎﺷﻲ از ﺑﻤﺒﺎران ﻳﻮﻧﻲ‪،‬‬
‫اﻧﺘﺨﺎب ﭘﺬﻳﺮي ‪ ،2‬ﭘﺴﻤﺎﻧﺪﻫﺎ ﭘﺲ از زداﻳﺶ‪ ،‬ﺗﺸﺨﻴﺺ ﻧﻘﻄﻪي ﭘﺎﻳﺎن زداﻳﺶ‪ 3،‬ﻛﻪ در اداﻣﻪ ﺑﻪ ﺑﺮرﺳﻲ‬
‫ﻫﺮ ﻳﻚ ﻣﻲﭘﺮدازﻳﻢ‪.‬‬

‫• ﻧﺮخ زداﻳﺶ‬

‫‪1 Etch Rate‬‬


‫‪2 Selectivity‬‬
‫‪3 Endpoint Detection‬‬
‫‪184‬‬
‫ﻧﺮخ ﻓﺮآﻳﻨﺪ زداﻳﺶ در ﻳﻚ ﺳﻴﺴﺘﻢ ﭘﻼﺳﻤﺎﻳﻲ ﺑﺎ ﭼﻨﺪﻳﻦ ﻋﺎﻣﻞ ﻣﺸﺨﺺ ﻣﻲﺷﻮد‪ .‬از ﺟﻤﻠﻪي اﻳﻦ‬
‫ﻋﻮاﻣﻞ ﻣﻲﺗﻮان ﺑﻪ ﻃﺮاﺣﻲ ﺳﻴﺴﺘﻢ و ﺧﺼﻮﺻﻴﺎت ﺷﻴﻤﻴﺎﻳﻲ آن اﺷﺎره ﻛﺮد‪ .‬ﺳﺎﻳﺮ ﻋﻮاﻣﻞ ﻋﺒﺎرﺗﻨﺪ از‬
‫ﺗﺮاﻛﻢ ﻳﻮﻧﻬﺎ و ﻓﺸﺎر ﺳﻴﺴﺘﻢ‪.‬ﺗﺮاﻛﻢ ﻳﻮﻧﻬﺎ )ﺗﻌﺪاد ﻳﻮﻧﻬﺎ در ﺳﺎﻧﺘﻴﻤﺘﺮ ﻣﻜﻌﺐ( ﺗﺎﺑﻌﻲ از ﺗﻮان ﻣﻨﺒﻊ‬
‫ﺗﻐﺬﻳﻪاي اﺳﺖ ﻛﻪ ﺑﻪ اﻟﻜﺘﺮودﻫﺎ اﻋﻤﺎل ﻣﻲﺷﻮد‪ .‬اﻓﺰاﻳﺶ ﻗﺪرت ﻣﻨﺒﻊ ﺗﻐﺬﻳﻪ ﺑﺎﻋﺚ ﺗﻮﻟﻴﺪ ﻳﻮﻧﻬﺎي‬
‫ﺑﻴﺸﺘﺮ ﻣﻲﺷﻮد ﻛﻪ در ﻧﺘﻴﺠﻪ ﻧﺮخ زداﻳﺶ اﻓﺰاﻳﺶ ﻣﻲﻳﺎﺑﺪ‪ .‬اﻓﺰاﻳﺶ ﺗﻌﺪاد ﻳﻮﻧﻬﺎ ﺷﺒﻴﻪ اﻓﺰاﻳﺶ ﻗﺪرت‬
‫ﺷﻴﻤﻴﺎﻳﻲ ﻣﺤﻠﻮل ﻣﺎﻳﻊ زداﻳﺶ ﻣﻲﺑﺎﺷﺪ ‪ .‬ﻓﺸﺎر ﺳﻴﺴﺘﻢ‪ ،‬ﺳﺮﻋﺖ ﻋﻤﻞ زداﻳﺶ و ﻳﻜﻨﻮاﺧﺘﻲ آن را‬
‫ﺗﺤﺖ ﺗﺄﺛﻴ ﺮ ﻗﺮار ﻣﻲدﻫﺪ‪ .‬اﺛﺮ اﻳﻦ ﻋﻮاﻣﻞ از ﻃﺮﻳﻖ ﻣﻔﻬﻮﻣﻲ ﺑﺎ ﻧﺎم ﻣﺴﻴﺮ آزاد ﻣﻴﺎﻧﮕﻴﻦ‪ 1‬ﺑﺮرﺳﻲ‬
‫ﻣﻲﺷﻮﻧﺪ‪ .‬اﻳﻦ ﻣﻔﻬﻮم‪ ،‬ﻣﺘﻮﺳﻂ ﻓﺎﺻﻠﻪاي اﺳﺖ ﻛﻪ ﻳﻚ اﺗﻢ ﻳﺎ ﻣﻮﻟﻜﻮل ﮔﺎزي ﻗﺒﻞ از ﺑﺮﺧﻮرد ﺑﺎ ذره‬
‫دﻳﮕﺮ ﻃﻲ ﻣﻲﻛﻨﺪ ‪ .‬در ﻓﺸﺎرﻫﺎي ﺑﺎﻻ ﺗﻌﺪاد ﺑﺮﺧﻮرد اﺗﻢﻫﺎ و ﻣﻮﻟﻜﻮلﻫﺎ ﺑﺎﻻ ﻣﻲرود ﻛﻪ اﻳﻦ ﺧﻮد ﺑﺎﻋﺚ‬
‫ﭘﺮاﻛﻨﺪﮔﻲ ذرات در ﺟﻬﺖﻫﺎي ﮔﻮﻧﺎﮔﻮن ﻣﻲﺷﻮد و ﺑﺪﻳﻦ ﺗﺮﺗﻴﺐ ﻛﻨﺘﺮل ﻛﻴﻔﻲ ﻟﺒﻪﻫﺎي ﻋﻤﻮدي‬
‫ﻣﺸﻜﻞﺗﺮ ﺧﻮاﻫﺪ ﺑﻮد ‪ .‬ﺑﻪ ﻫﻤﻴﻦ دﻟﻴﻞ ﻛﺎر در ﻓﺸﺎرﻫﺎي ﭘﺎﻳﻴﻦ ﭘﻴﺸﻨﻬﺎد ﻣﻲﺷﻮد‪.‬‬

‫• ﺧﺴﺎرت ﺗﺎﺑﺶ ﻳﻮﻧﻲ‬


‫اﺟﺮاي ﭘﺮوﺳﻪ زداﻳﺶ ﺑﺎ اﺳﺘﻔﺎده از ﻣﻨﺎﺑﻊ ﺑﺎ ﺗﺮاﻛﻢ ﺑﺎﻻ و ﻓﺸﺎر ﭘﺎﻳﻴﻦ اﻧﺠﺎم ﻣﻲﺷﻮد اﻣﺎ ﺳﻄﺢ وﻳﻔﺮ‬
‫در اﺛﺮ ﺗﺎﺑﺶ ﻣﺨﺮب ﻣﺤﻴﻂ ﭘﻼﺳﻤﺎﻳﻲ آﺳﻴﺐ ﺧﻮاﻫﺪ دﻳﺪ ‪.‬در ﻣﻴﺪان ﭘﻼﺳﻤﺎﻳﻲ‪ ،‬اﺗﻤﻬﺎي ﭘﺮ اﻧﺮژي‪،‬‬
‫رادﻳﻜﺎﻟﻬﺎ‪ ،‬ﻳﻮﻧﻬﺎ‪ ،‬اﻟﻜﺘﺮوﻧﻬﺎ و ﭘﺮوﺗﻮﻧﻬﺎ وﺟﻮد دارﻧﺪ ‪.‬اﻧﻮاع اﻳﻦ ذرات ﺑﺮ اﺳﺎس داﺷﺘﻦ ﻏﻠﻈﺖﻫﺎي‬
‫ﻣﺘﻔﺎوت و ﺳﻄﻮح اﻧﺮژي ﻣﺨﺘﻠﻒ ﺗﺨﺮﻳﺒﻬﺎي ﮔﻮﻧﺎﮔﻮﻧﻲ را در ﺳﻄﺢ وﻳﻔﺮ اﻳﺠﺎد ﻣﻲﻛﻨﻨﺪ ‪.‬اﻳﻦ آﺳﻴﺒﻬﺎ‬
‫ﻋﺒﺎرﺗﻨﺪ از‪:‬‬
‫ﺧﻮرده ﺷﺪن ﺳﻄﺤﻲ ﻧﺎﻣﻄﻠﻮب‪ ،‬ﺗﻐﻴﻴﺮ در ﭘﺎراﻣﺘﺮﻫﺎي اﻟﻜﺘﺮﻳﻜﻲ‪ ،‬ﺗﻨﺰل ﻛﻴﻔﻲ ﻻﻳﻪﻫﺎ )ﺑﻪ ﺧﺼﻮص‬
‫ﻻﻳﻪي اﻛﺴﻴﺪ(‪ ،‬آﺳﻴﺐ ﺑﺴﺘﺮ ﺳﻴﻠ ﻴﻜﻮﻧ ﻲ ﻛﻪ در ﻣﻴﺎن ﺳﺎﻳﺮ آﺳﻴﺐﻫﺎ‪ .‬ﻣﻬﻤﺘﺮ ﺑﻪ ﻧﻈﺮ ﻣﻲ رﺳﻨﺪ‪ .‬ﺑﻪ ﻃﻮر‬
‫ﻛﻠﻲ دو ﻣﻜﺎﻧﻴﺰم آﺳﻴﺐ رﺳﺎﻧﻲ وﺟﻮد دارد‪ .‬اول‪ ،‬ﻗﺮار ﮔﺮﻓﺘﻦ ﺑﻴﺶ از اﻧﺪازه در ﻣﻌﺮض ذرات ﭘﺮ‬
‫اﻧﺮژي در ﻣﺤ ﻴ ﻂ ﭘﻼﺳﻤﺎﻳﻲ و دوم‪ ،‬اﺳﺘﻬﻼك دي اﻟﻜﺘﺮﻳﻚ در اﺛﺮ ﻋﺒﻮر ﺟﺮﻳﺎن از دي اﻟﻜﺘﺮﻳﻚ در‬
‫ﻃﻲ ﻓﺮآﻳﻨﺪ زداﻳﺶ اﺳﺖ ‪.‬ﻃﺮاﺣﺎن ﺳﻴﺴﺘﻢ ﺑﻪ دﻧﺒﺎل ﻣﻨﺎﺑﻊ ﭘﻼﺳﻤﺎﻳﻲ ﻫﺴﺘﻨﺪ ﻛﻪ داراي ﭼﮕﺎﻟﻲ ﺑﺎﻻ‪،‬‬
‫ﻳﻮﻧﻬﺎي ﻛﻢ اﻧﺮژي )ﺑﺮاي ﻛﺎﻫﺶ آﺳﻴﺐدﻳﺪﮔﻲ( و ﻣﺤﻴﻄﻲ ﺑﺎ ﻓﺸﺎر ﻛﻢ ﺑﺎﺷﺪ]‪.[2‬‬

‫‪1 Mean free path‬‬


‫‪185‬‬
‫در ﻛﻨﺎر ﻣﺘﻌﺎدل ﻛﺮدن ﭘﺎراﻣﺘﺮﻫﺎي ﭼﮕﺎﻟﻲ ﻳﻮن و ﻓﺸﺎر ‪ ،‬ﻓﺮآﻳﻨﺪ دﻳﮕﺮي ﺑﻪ ﻧﺎم ﭘﻼﺳﻤﺎي ﭘﺎﻳﻴﻦ روﻧﺪه‪،‬‬
‫ﺑﺮاي ﻛﻢ ﻛﺮدن آﺳﻴﺐدﻳﺪﮔﻲ وﺟﻮد دارد‪ .‬ﻣﻨﺸﺄ وﺟﻮدي اﻧﻮاع آﺳﻴﺐدﻳﺪﮔﻲﻫﺎ از اﻧﺮژي ﺑﺎﻻي ﺑﻜﺎر‬
‫ﺑﺮده ﺷﺪه ﺑﺮاي ﮔﺎز‪ ،‬ﺗﻮﺳﻂ ﻣﻨﺒﻊ ﭘﻼﺳﻤﺎ اﺳﺖ‪ .‬ﺑﺎ اﺳﺘﻔﺎده از ﺳﻴﺴﺘﻤﻬﺎي ﭘﺎﻳﻴﻦ ﺑﺮﻧﺪه ‪ 1‬ﻣﻴﺪان‬
‫ﭘﻼﺳﻤﺎﻳﻲ در ﻳﻚ ﻣﺤﻔﻈﻪ ﺟﺪا ﺷﻜﻞ ﻣﻲ ﮔﻴﺮد و آﻧﺮا ﺑﻪ ﺷﻜﻞ ﻳﻚ ﺟﺮﻳﺎن ﭘﺎﻳﻴﻦ روﻧﺪه ﺑﻪ ﺳﻄﺢ وﻳﻔﺮ‬
‫اﻧﺘﻘﺎل ﻣﻲدﻫﺪ‪ .‬در اﻳﻦ ﺣﺎﻟﺖ وﻳﻔﺮﻫﺎ از ﭘﻼﺳﻤﺎي آﺳﻴﺐ زﻧﻨﺪه دور ﻫﺴﺘﻨﺪ ‪ .‬ﺑﺮاي ﻛﺎﻫﺶ‬
‫آﺳﻴﺐدﻳﺪﮔﻲ در ﺳﻴﺴﺘﻢ ﺑﺎﻳﺪ اﻣﻜﺎن ﻣﺠﺰا ﻛﺮدن ﺗﺨﻠﻴﻪي ﺑﺎر ‪ 2‬ﭘﻼﺳﻤﺎ‪ ،‬ﺑﺎزﺗﺮﻛﻴﺐ ﻳﻮﻧﻲ و ﻛﺎﻫﺶ‬
‫ﭼﮕﺎﻟﻲ اﻟﻜﺘﺮوﻧﻬﺎ ﻣﻴﺴﺮ ﺑﺎﺷﺪ‪ .‬ﺳﻴﺴﺘﻤﻬﺎي ﭘﺎﻳﻴﻦ ﺑﺮﻧﺪه در اﺑﺘﺪا‪ ،‬ﺑﺮاي ﻛﻢ ﻛﺮدن آﺳﻴﺐدﻳﺪﮔﻲ در‬
‫ﺣﻴﻦ ﺑﺮداﺷﺖ ﻣﻮاد ﺣﺴﺎس ﺑﻪ ﻧﻮر ‪3‬ﺗﻮﺳﻂ ﭘﻼﺳﻤﺎ‪ ،‬درﺳﺖ ﺷﺪﻧﺪ‪.‬ﻫﺮ ﭼﻨﺪ اﻳﻦ ﺳﻴﺴﺘﻤﻬﺎ ﭘﻴﭽﻴﺪﮔﻲ‬
‫ﺳﻴﺴﺘﻢ را زﻳﺎد ﻣﻲﻛﻨﻨﺪ اﻣﺎ ﺑﺎ ﭘﻴﺸﺮﻓﺖ ﺳﻴﺴﺘﻤﻬﺎي ﭘﻼﺳﻤﺎﻳﻲ ﻗﺪﻣﻬﺎي ﻣﺜﺒﺘﻲ در اﻳﻦ زﻣﻴﻨﻪ‬
‫ﺑﺮداﺷﺘﻪ ﺷﺪه اﺳﺖ ‪.‬‬

‫ﺷﻜﻞ‪ 18‬ﺷﻤﺎﺗﻴﻚ ﺳﻴﺴﺘﻢ زداﻳﺶ ﭘﺎﻳﻴﻦ ﺑﺮﻧﺪه‬

‫• اﻧﺘﺨﺎب ﭘﺬﻳﺮي‬

‫اﻳﻦ ﻣﻮﺿﻮع از ﻧﻜﺎت ﻣﻬﻢ ﻓﺮاﻳﻨﺪ زداﻳﺶ ﭘﻼﺳﻤﺎﻳﻲ اﺳﺖ‪ .‬ﺑﻪ ﺧﺼﻮص‪ ،‬ﻫﻨﮕﺎﻣﻲ ﻛﻪ در ﻣﻘﺎﺑﻞ ﻧﻴﺎز ﺑﻪ‬
‫ﻓﺮا زداﻳﺶ در ﻧﻈﺮ ﮔﺮﻓﺘﻪ ﺷﻮد‪ .‬ﺑﻪ ﻃﻮر اﻳﺪه آل‪،‬ﻧﺮخ زداﻳﺶ ﻣﻲﺗﻮاﻧﺪ ﺑﺎ دﻗﺖ ﻣﻨﺎﺳﺒﻲ ﺑﺮاي دﺳﺘﻴﺎﺑﻲ‬
‫ﺑﻪ زداﻳﺶ ﺿﺨﺎﻣﺖ ﻣﻮرد ﻧﻈﺮ و اﻟﺒﺘﻪ زﻣﺎن اﺿﺎﻓﻲ ﺑﺮاي ﻓﺮا زداﻳﺶ ﺟﻬﺖ اﻃﻤﻴﻨﺎن‪ ،‬ﻣﺤﺎﺳﺒﻪ ﺷﻮد‪.‬‬
‫اﻣﺎ ﻣﺘﺄﺳﻔﺎﻧﻪ‪ ،‬ﻋﺪم ﻳﻜﻨﻮاﺧﺘﻲ ﺿﺨﺎﻣﺖ و ﺗﻐﻴﻴﺮ در ﺗﺮﻛﻴﺐ ﺷﻴﻤﻴﺎﻳﻲ ﻻﻳﻪﻫﺎي روي ﻫﻢ‪ ،‬ﻣﺸﻜﻼﺗﻲ در‬

‫‪1 Downstream‬‬
‫‪2 Discharge‬‬
‫‪3 Photoresist‬‬
‫‪186‬‬
‫ﻳﻜﻨﻮاﺧﺘﻲ زداﻳﺶ ﺑﻪ وﺟﻮد ﻣﻲآورد‪ .‬ﻫﻤﭽﻨﻴﻦ ﭘﺪﻳﺪه ﺑﺎرﮔﺬاري ﻣﺎﻳﻜﺮو در ﻗﻄﻌﻪﻫﺎي ﺑﺎ ﭼﮕﺎﻟﻲ ﺑﺎﻻ‬
‫ﺗﻐﻴﻴﺮات ﻧﺮخ زداﻳﺶ را ﻣﻄﺮح ﻣﻲﻛﻨﺪ ‪.‬‬
‫ﻓﺮا زداﻳﺶ ﻣﻮﺿﻮع اﻧﺘﺨﺎب ﭘﺬﻳﺮي را ﺟﺪي ﻣﻲ ﺳﺎزد‪ ،‬و ﺑﺎﻳﺴﺘﻲ دو ﻋﺎﻣﻞ در ﻧﻈﺮ ﮔﺮﻓﺘﻪ ﺷﻮد ‪:‬‬
‫ﻓﺘﻮرزﻳﺴﺖ و ﻻﻳﻪ زﻳﺮﻳﻦ)ﺳﻴﻠﻴﻜﻮن ﻳﺎ ﻧﻴﺘﺮﻳﺪ ﺳﻴﻠﻴﻜﻮن(‪ .‬روش زداﻳﺶ ﺧﺸﻚ از ﺳﺮﻋﺖ ﺑﻴﺸﺘﺮي‬
‫در ﺑﺮداﺷﺘﻦ ﻻﻳﻪ رزﻳﺴﺖ ﻧﺴﺒﺖ ﺑﻪ روش زداﻳﺶ ﻣﺮﻃﻮب ﺑﺮﺧﻮردار اﺳﺖ‪ .‬وﺟﻮد ﻻﻳﻪﻫﺎي رزﻳﺴﺖ‬
‫ﻧﺎزﻛﺘﺮ ﺑﻪ ﻣﻨﻈﻮر اﻳﺠﺎد ﻃﺮحﻫﺎي ﻫﻨﺪﺳﻲ ﻛﻮﭼﻜﺘﺮ و اﻓﺰاﻳﺶ ﺗﻌﺪاد ﻻﻳﻪﻫﺎ ي روي ﻫﻢ‪ ،‬اﻧﺘﺨﺎب‬
‫ﭘﺬﻳﺮي در ﻣﻮرد رزﻳﺴﺖ ﺑﻪ ﺻﻮرت ﻳﻚ ﻋﺎﻣﻞ ﺑﺤﺮاﻧﻲ در ﻣﻲآﻳﺪ‪.‬ﺗﺮﻛﻴﺒﻲ از ﻣﺴﺎﺋﻞ اﻧﺘﺨﺎب ﭘﺬﻳﺮي‪،‬‬
‫ﻃﺮحﻫﺎﻳﻲ ﺑﺎ ﻧﺴﺒﺖ ﻋﺮض ﺑﻪ ﻋﻤﻖ ‪ 1‬زﻳﺎد ﻫﺴﺘﻨﺪ‪ .‬ﻗﻄﻌﺎت ﭘﻴﺸﺮﻓﺘﻪ ﻧﺴﺒﺖ ﻋﺮض ﺑﻪ ﻋﻤﻖ ﺗﺎ ‪4:1‬‬
‫دارﻧﺪ‪ .‬ﺣﻔﺮهﻫﺎ ﻧﺴﺒﺖ ﺑﻪ ارﺗﻔﺎﻋﺸﺎن آﻧﻘﺪر ﺑﺎرﻳﻚ ﻫﺴﺘﻨﺪ ﻛﻪ زداﻳﺶ در اﻧﺘﻬﺎي ﺣﻔﺮه ﺑﺴﻴﺎر آﻫﺴﺘﻪ‬
‫ﺻﻮرت ﻣﻲﮔﻴﺮد و ﻳﺎ ﺣﺘﻲ ﻣﺘﻮﻗﻒ ﻣﻲﺷﻮد ‪ .‬ﭼﻬﺎر روش ﺑﺮاي ﻛﻨﺘﺮل اﻧﺘﺨﺎب ﭘﺬﻳﺮي ﻣﻮﺛﺮﻧﺪ‪:‬‬
‫‪(1‬اﻧﺘﺨﺎب ﻓﺮﻣﻮل ﮔﺎزي ﻣﻨﺎﺳﺐ زداﻳﺶ‪،‬‬
‫‪ ( 2‬ﺳﺮﻋﺖ زداﻳﺶ‪،‬‬
‫‪ (3‬رﻗﻴﻖ ﻛﺮدن ﮔﺎز در ﻣﺮاﺣﻞ ﭘﺎﻳﺎﻧﻲ ﭘﺮوﺳﻪ زداﻳﺶ ﺑﻪ ﻣﻨﻈﻮر ﻛﺎﻫﺶ ﻫﺠﻮم اﺗﻤﻬﺎي ﮔﺎزي ﺑﻪ ﻻﻳﻪ‬
‫زﻳﺮﻳﻦ‪،‬‬
‫‪(4‬ﻗﺮار دادن آﺷﻜﺎر ﺳﺎزﻫﺎي ﻧﻘﻄﻪ ﭘﺎﻳﺎﻧﻲ در ﺳﻴﺴﺘﻢ ‪.‬‬
‫ﻳﻚ آﺷﻜﺎر ﺳﺎز ﺑﺮاي ﺗﺸﺨﻴﺺ ﻧﻘﻄﻪ ﭘﺎﻳﺎﻧﻲ ‪ ،‬از ﻳﻚ ﺣﺴﮕﺮ در ﻟﻮﻟﻪي ﺧﺮوﺟﻲ ﻣﺤﻔﻈﻪ ﺑﺮاي‬
‫ﺗﺸﺨﻴﺺ ﻣﺎدهي در ﺣﺎل زداﻳﺶ اﺳﺘﻔﺎده ﻣﻲﻛﻨﺪ و ﺑﻪ ﻃﻮر ﺧﻮدﻛﺎر ﺳﻴﮕﻨﺎﻟﻬﺎي ﻣﺮﺑﻮط ﺑﻪ اﺗﻤﺎم‬
‫زداﻳﺶ را وﻗﺘﻲ ﻛﻪ ﻫﻴﭻ ذرهاي از آن ﻣﺎده ﺗﺸﺨﻴﺺ داده ﻧﺸﻮد‪ ،‬ﺗﻮﻟﻴﺪ ﻣﻲﻛﻨﺪ‪[2].‬‬

‫• آﻟﻮدﮔﻲ‪ ،‬ﭘﺲ ﻣﺎﻧﺪهﻫﺎ ‪ 2‬و ﺧﻮردﮔﻲ ‪:3‬‬


‫ﺳﺎﻳﺮ ﻣﺸﻜﻼﺗﻲ ﻛﻪ ﺑﻪ ﺧﺼﻮص در ﻣﺤﺪوده زﻳﺮ ﻣﻴﻜﺮون اﻳﺠﺎد ﻣﻲﺷﻮﻧﺪ ﻋﺒﺎرﺗﻨﺪ از‪ :‬ﺗﻮﻟﻴﺪ ذرات‪،‬‬
‫ﭘﺲ ﻣﺎﻧﺪهﻫﺎ ‪ ،‬ﺧﻮردﮔﻲ ﺑﻌﺪ از ﻋﻤﻞ زداﻳﺶ و ﻗﻴﻤﺖ ﻧﻬﺎﻳﻲ ﺑﺮاي ﻣﺼﺮف ﻛﻨﻨﺪه ‪ .‬ﻳﻚ راه ﻛﺎﻫﺶ ذرات‬
‫ﻣﻮﺟﻮد در ﺳﻴﺴﺘﻢ‪ ،‬اﺳﺘﻔﺎده از ﻧﮕﻪ دارﻧﺪه اﻟﻜﺘﺮواﺳﺘﺎﺗﻴﻜﻲ وﻳﻔﺮ ﺑﺠﺎي ﻧﮕﻪ دارﻧﺪهﻫﺎي ﻣﻜﺎﻧﻴﻜﻲ‬

‫‪1 Aspect ratio‬‬


‫‪2 Residues‬‬
‫‪3 Corrosion‬‬
‫‪187‬‬
‫اﺳﺖ‪ .‬ﻧﮕﻪ دارﻧﺪهﻫﺎي ﻣﻜﺎﻧﻴﻜﻲ آﻟﻮدﮔﻲ ﺗﻮﻟﻴﺪ ﻣﻲﻛﻨﻨﺪ و ﺑﺎﻋﺚ ﺷﻜﺴﺘﻪ ﺷﺪن وﻳﻔﺮ ﻣﻲﺷﻮﻧﺪ و‬
‫ﮔﻴﺮهﻫﺎ ﺑﺮ روي وﻳﻔﺮ اﻳﺠﺎد ﺳﺎﻳﻪ ﻣﻲﻛﻨﻨﺪ‪ .‬ﮔﻴﺮهﻫﺎي اﻟﻜﺘﺮواﺳﺘﺎﺗﻴﻜﻲ وﻳﻔﺮ را ﺑﺎ ﭘﺘﺎﻧﺴﻴﻞ ﻧﺎﺷﻲ از‬
‫ﻳﻚ ﺟﺮﻳﺎن ‪ dc‬ﻛﻪ ﺑﻴﻦ وﻳﻔﺮ و ﮔﻴﺮه وﺟﻮد دارد‪ ،‬ﻧﮕﻪ ﻣﻲدارﻧﺪ‪.‬‬

‫ﺷﻜﻞ ‪ 19‬ﺷﻤﺎﺗﻴﻚ ﮔﻴﺮهﻫﺎي وﻳﻔﺮ و ‪E-chuck‬‬

‫ﻣﺤﻴﻂ زداﻳﺶ ﭘﻼﺳﻤﺎﻳﻲ ﻣﺤﻴﻂ ﻣﺴﺘﻌﺪي ﺑﺮاي اﻧﺠﺎم ﺑﺴﻴﺎري از واﻛﻨﺶﻫﺎ اﺳﺖ‪ .‬ﮔﺮوهﻫﺎي‬
‫ﻫﻴﺪروﻛﺴﻴﻞ)رادﻳﻜﺎلﻫﺎي ﻫﻴﺪروژن دار ( ﻣﻮﺟﻮد در رزﻳﺴﺖ‪ ،‬ﺑﺎ ﺗﺮﻛﻴﺒﺎت ﻫﺎﻟﻮژن دار ﻓﻠﺰات ‪1‬‬
‫واﻛﻨﺶ ﻣﻲدﻫﻨﺪ و ﻫﺎﻟﻴﺪ ﻓﻠﺰي ﭘﺎﻳﺪار )ﻣﺎﻧﻨﺪ ‪WF5 ،AlF3‬و ‪ (WF6‬و اﻛﺴﻴﺪﻫﺎﻳﻲ )ﻣﺎﻧﻨﺪ ‪TiO3‬‬
‫‪TiO ،‬وﻳﺎ ‪ (WO2‬ﺗﺸﻜﻴﻞ ﻣﻲدﻫﻨﺪ‪ .‬اﻳﻦ ﭘﺲ ﻣﺎﻧﺪهﻫﺎ ﺗﻮﻟﻴﺪ آﻟﻮدﮔﻲ ﻣﻲﻛﻨﻨﺪ و ﻣﻲﺗﻮاﻧﺪ در ﻻﻳﻪ‬
‫ﻧﺸﺎﻧﻲ ﺗﻨﮕﺴﺘﻦ ﺗﺪاﺧﻞ اﻳﺠﺎد ﻛﻨﺪ‪.‬‬
‫ﺑﻌﺪ از ﻓﺮاﻳﻨﺪ زداﻳﺶ‪ ،‬ﺑﻪ ﻋﻠﺖ ﭘﺲ ﻣﺎﻧﺪهﻫﺎي ﺑﺎﻗﻲ ﻣﺎﻧﺪه روي اﻟﮕﻮﻫﺎي ﻓﻠﺰي‪ ،‬ﺧﻮردﮔﻲ اداﻣﻪ‬
‫ﻣﻲﻳﺎﺑﺪ ‪ .‬اﺿﺎﻓﻪ ﻛﺮدن ﻣﺲ در ﻓﻠﺰ آﻟﻮﻣﻴﻨﻴﻮم و اﺳﺘﻔﺎده از ﻓﻠﺰﻛﺎري ﺗﻴﺘﺎﻧﻴﻮم ﻳﺎ ﺗﻨﮕﺴﺘﻦ ﺑﺎﻋﺚ‬
‫اﻓﺰاﻳﺶ ﺧﻮردﮔﻲ ﻧﺎﺷﻲ از ﻛﻠﺮ ﺑﺠﺎ ﻣﺎﻧﺪه ﭘﺲ از ﻓﺮآﻳﻨﺪ زداﻳﺶ ﭘﻼﺳﻤﺎ ﻣﻲﺷﻮد‪ .‬ﺑﺮاي ﻛﺎﻫﺶ اﻳﻦ‬
‫ﻣﺸﻜﻞ‪ ،‬اﺳﺘﻔﺎده از زداﻳﻨﺪهﻫﺎي ﻣﺒﺘﻨﻲ ﺑﺮ ﻓﻠﻮﺋﻮر ﺑﻪ ﺟﺎي زداﻳﻨﺪهﻫﺎي ﻣﺒﺘﻨﻲ ﺑﺮ ﻛﻠﺮ‪ ،‬ﺣﻔﺎﻇﺖ از‬
‫دﻳﻮارهﻫﺎ‪ ،‬زداﻳﺶ ﺛﺎﻧﻮﻳﻪ ﻣﺜﻞ از ﺑﻴﻦ ﺑﺮدن ﭘﺴﻤﺎﻧﺪﻫﺎي ﻛﻠﺮ دار و ﻳﺎ اﺳﺘﻔﺎده از اﻛﺴﻴﺪ ذاﺗﻲ ﺑﺮاي‬
‫ﻣﺤﺎﻓﻈﺖ ﺳﻄﺢ ﭘﻴﺸﻨﻬﺎد ﻣﻲ ﺷﻮد‪ .‬راه ﺣﻠﻬﺎي دﻳﮕﺮ ﺷﺎﻣﻞ ﻣﺤﻴﻂ ﭘﻼﺳﻤﺎﻳﻲ از اﻛﺴﻴﮋن و‬
‫ﺑﻪﻛﺎرﮔﻴﺮ ي ﺑﺨﺎر اﺳﻴﺪ ﻧﻴﺘﺮﻳﻚ و ﻳﻚ ﻣﺮﺣﻠﻪ زداﻳﺶ ﻣﺮﻃﻮب رزﻳﺴﺖ اﺳﺖ ‪.‬‬
‫ﺷﻜﻞ ‪ 8‬ﮔﺎزﻫﺎي ﻣﻌﻤﻮل ﻣﻮرد اﺳﺘﻔﺎده ﺑﺮاي زداﻳﺶ اﻧﻮاع ﻣﺨﺘﻠﻔﻲ از ﻣﻮاد ﻧﺸﺎن ﻣﻲدﻫﺪ‪ .‬ﻫﻤﺎﻧﻄﻮر‬
‫ﻛﻪ ﻣﺸﺎﻫﺪه ﻣﻲﻛﻨﻴﺪ ‪ ،‬در ﻓﺮآﻳﻨﺪ زداﻳﺶ ﺳﻴﻠﻴﻜﻮن و دي اﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻮن از ﮔﺎزﻫﺎ ي ﻓﻠﻮﺋﻮر دار و‬
‫ﺑﺮاي زداﻳﺶ آﻟﻮﻣﻴﻨﻴﻮم از ﮔﺎزﻫﺎي ﺣﺎوي ﻛﻠﺮ اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪.‬‬

‫‪1 Metal halide‬‬


‫‪188‬‬
‫‪Film‬‬ ‫‪Etchant‬‬ ‫‪Typical Gas Compounds‬‬

‫‪Al‬‬ ‫‪Chlorine‬‬ ‫‪BCl3, CCl4, Cl2, SiCl 4‬‬

‫‪Mo‬‬ ‫‪Fluorine‬‬ ‫‪CF4, SF4, SF8‬‬

‫‪Oxygen DF4, SF4‬‬


‫‪Polymers‬‬
‫‪SF6‬‬
‫‪Chlorine, fluorine‬‬
‫‪Si‬‬ ‫‪BCl3, CCl4, Cl2, SiCl 4‬‬
‫‪CF4, SF4, SF6‬‬

‫‪SiO2‬‬ ‫‪Chlorine, fluorine‬‬ ‫‪CF4, CHF3, C2F6, C3F8‬‬

‫‪Ta‬‬ ‫‪Fluorine‬‬ ‫‪CF4, CHF3, C2F6, C3F8‬‬

‫‪Ti‬‬ ‫‪Chlorine, fluorine‬‬ ‫‪CF4, CHF3, C2F6, C3F8‬‬

‫‪W‬‬ ‫‪Fluorine‬‬ ‫‪CF4, CHF3, C2F6, C3F8‬‬

‫ﺟﺪول ‪:1‬ﺟﺪول ﮔﺎزﻫﺎي زداﻳﺸﻲ]‪[2‬‬

‫• ﺗﺸﺨﻴﺺ ﻧﻘﻄﻪ ﭘﺎﻳﺎن ‪1‬‬

‫ﺗﺸﺨﻴﺺ ﻧﻘﻄﻪ ي ﭘﺎﻳﺎن زداﻳﺶ ﺑﺮاي زداﻳﺶ ﻣﺮﻃﻮب‪ ،‬ﺗﻮﺳﻂ زﻣﺎن ﻣﺸﺨﺺ ﻣﻲﺷﻮد ‪ .‬اﻳﻦ زﻣﺎن ﺑﺎ‬
‫ﻣﺤﺎﺳﺒﻪي ﻧﺮخ زداﻳﺶ اﻧﺪازه ﮔﻴﺮي ﺷﺪه ﺑﺮاي ﻣﺎدهي ﻣﻮرد ﻧﻈﺮ و ﺿﺨﺎﻣﺖ ﻻزم ﺑﺮاي زداﻳﺶ‪ ،‬ﺗﻌﻴﻴﻦ‬
‫ﻣﻲﺷﻮد‪.‬از آﻧﺠﺎﻳﻲ ﻛﻪ ﻛﻪ ﻫﻴﭻ ﺳﻴﮕﻨﺎل ﻛﺎﻓﻲ ﻧﻮري ﻳﺎ اﻟﻜﺘﺮﻳﻜﻲ ﺑﺮاي ﺗﺸﺨﻴﺺ ﻧﻘﻄﻪ ﭘﺎﻳﺎن وﺟﻮد‬
‫ﻧﺪارد‪ ،‬ﺑﺎزﺑﻴﻨﻲ ﺑﺼﺮي ﺗﻮﺳﻂ اﭘﺮاﺗﻮر ﻧﻴﺰ ﻣﻌﻤﻮﻻً ﺑﺮاي ﺗﻌﻴﻴﻦ ﻧﻘﻄﻪ ﭘﺎﻳﺎن اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ .‬ﭼﻮن ﻧﺮخ‬
‫زداﻳﺶ ﻣﺮﻃﻮب ﺣﺴﺎس ﺑﻪ دﻣﺎ و ﺗﺮﻛﻢ زداﻳﻨﺪه ﻣﻲ ﺑﺎﺷﺪ ﻛﻪ از اﻳﺴﺘﮕﺎه ﺑﻪ اﻳﺴﺘﮕﺎه ﺗﻐﻴﻴﺮ ﻣﻲﻛﻨﺪ‬
‫ﺗﻌﻴﻴﻦ ﻧﻘﻄﻪ ﭘﺎﻳﺎن ﻓﻘﻂ ﺗﻮﺳﻂ زﻣﺎن ﺳﺨﺖ ﻣﻲ ﺑﺎﺷﺪ ﺑﻨﺎﺑﺮاﻳﻦ ﻫﻤﻴﺸﻪ ﺑﺎزﺑﻴﻨﻲ ﺑﺼﺮي ﺗﻮﺻﻴﻪ ﻣﻲﺷﻮد ‪.‬‬
‫ﻳﻜﻲ از ﻣﺰﻳﺖﻫﺎي زداﻳﺶ ﭘﻼﺳﻤﺎ اﺳﺘﻔﺎده از ﺳﻴﺴﺘﻢ ﻧﻮري ﺑﺮاي ﭘﺎﻳﺎن اﺗﻮﻣﺎﺗﻴﻚ ﻓﺮاﻳﻨﺪ زداﻳﺶ‬
‫اﺳﺖ‪ .‬ﺑﺪﻳﻦ ﻣﻨﻈﻮر ﺑﺎ ﺗﺎﺑﺶ ﻟﻴﺰر ﺑﻪ ﺳﻄﺢ در ﺣﺎل زداﻳﺶ و ﺟﻤﻊ آوري ﻧﻮر ﺑﺎزﺗﺎﺑﻴﺪه ‪ ،‬ﻃﻲ ﻳﻚ‬
‫ﻓﺮآﻳﻨﺪ ﭘﻴﭽﻴﺪهي ﭘﺮدازش ﺳﻴﮕﻨﺎل‪،‬ﻣﻲﺗﻮان ﻧﻘﻄﻪ ﭘﺎﻳﺎن را ﺗﺸﺨﻴﺺ داد‪ .‬روش دﻳﮕﺮ اﺳﺘﻔﺎده از‬

‫‪1 Endpoint Detection‬‬


‫‪189‬‬
‫رﻧﮓ ﺧﻮد ﭘﻼﺳﻤﺎﺳﺖ‪ .‬در اﻧﺘﻬﺎي ﻓﺮاﻳﻨﺪ زداﻳﺶ ﻫﻤﻴﺸﻪ اﺟﺰاء ﺷﻴﻤﻴﺎﻳﻲ در ﭘﻼﺳﻤﺎ ﺗﻐﻴﻴﺮ ﻣﻲﻛﻨﺪ و‬
‫ﺑﺎﻋﺚ ﺗﻐﻴﻴﺮ رﻧﮓ و ﺷﺪت ﺗﺎﺑﺸﻬﺎ از ﭘﻼﺳﻤﺎ ﻣﻲ ﺷﻮد‪ .‬ﺑﺎ ﺗﻌﻴﻴﻦ ﻃﻮل ﻣﻮجﻫﺎي ﻧﻮرﻫﺎي ﺗﺎﺑﺶ ﺷﺪه‬
‫ﺗﻮﺳﻂ ‪ spectroscopy‬و ﺗﺸﺨﻴﺺ ﺗﻐﻴﻴﺮات ﺳﻴﮕﻨﺎل )ﺑﺮ اﺛﺮ ﺗﻐﻴﻴﺮ ﺷﻴﻤﻴﺎﻳﻲ در اﻧﺘﻬﺎي ﻓﺮاﻳﻨﺪ(‪،‬‬
‫ﺳﻴﺴﺘﻢ ﻧﻮري ﻣﻲﺗﻮاﻧﺪ ﻣﻮﺟﺐ ﺗﻮﻗﻒ ﻓﺮاﻳﻨﺪ زداﻳﺶ ﮔﺮدد‪.‬‬
‫ﺑﻘﻴﻪ روشﻫﺎ‪ ،‬ﻣﺎﻧﻨﺪ ﺗﻐﻴﻴﺮ ﻓﺸﺎر‪ ،‬ﺗﻐﻴﻴﺮ وﻟﺘﺎژ ﺑﺎﻳﺎس و ﻃﻴﻒ ﻧﮕﺎري ﺟﺮﻣﻲ ‪ 1‬ﻧﻴﺰ ﻣﻲﺗﻮاﻧﻨﺪ ﺑﺮاي‬
‫ﺗﺸﺨﻴﺺ ﻧﻘﻄﻪ ﭘﺎﻳﺎن ﺑﻜﺎر آﻳﻨﺪ‪ .‬اﻣﺎ ﺗﻐﻴﻴﺮ ﻓﺸﺎ ر و ﺗﻐﻴﻴﺮ وﻟﺘﺎژ ﺑﺎﻳﺎس از ﻧﻈﺮ ﻓﺮاﻳﻨﺪ ﻣﻄﻠﻮب ﻧﻴﺴﺘﻨﺪ‬
‫ﭼﻮن ﺗﻜﺮارﭘﺬﻳﺮي ﻓﺮاﻳﻨﺪ را ﺗﺤﺖ ﺗﺄﺛﻴﺮ ﻗﺮار ﻣﻲدﻫﺪ‪ .‬ﻃﻴﻒ ﻧﮕﺎري ﺟﺮﻣﻲ اﺣﺘﻴﺎج ﺑﻪ ﻣﺤﻔﻈﻪ ﺧﻼء‬
‫دارد ﻛﻪ ﻧﺴﺒﺖ ﺑﻪ ﺳﻴﺴﺘﻢ ﻧﻮري ﻫﺰﻳﻨﻪ ﺑﻴﺸﺘﺮي دارد‪ .‬اﻣﺎ ﺑﺮاي ﺳﻴﺴﺘﻢ ﭘﺎﻳﻴﻦ ﺑﺮﻧﺪه ﻛﻪ ﭘﻼﺳﻤﺎ در‬
‫داﺧﻞ ﻣﺤﻔﻈﻪ ﻓﺮاﻳﻨﺪ وﺟﻮد ﻧﺪارد‪ ،‬ﺗﺎﺑﺶ ﻧﻮر ﻧﻴﺰ وﺟﻮد ﻧﺪارد‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ ﻧﻤﻲﺗﻮان از ﺳﻴﺴﺘﻢ ﻧﻮري‬
‫ﺑﺮاي ﭘﺎﻳﺎن ﻓﺮاﻳﻨﺪ اﺳﺘﻔﺎده ﻛﺮد و در ﻧﺘﻴﺠﻪ ﻃﻴﻒ ﻧﮕﺎري ﺟﺮﻣﻲ ﮔﺰﻳﻨﻪ ﻣﻨﺎﺳﺒﻲ ﻣﻲﺑﺎﺷﺪ]‪.[2,14‬‬

‫• ﺗﻜﻨﻴﻚﻫﺎي ﺗﺠﺮﺑﻲ ﺑﺮاي اﻧﺪازه ﮔﻴﺮي ﭘﺎراﻣﺘﺮﻫﺎي ﭘﻼﺳﻤﺎ‬

‫ ﻃﻴﻒ ﺳﻨﺠﻲ‬
‫ﺑﻴﺸﺘﺮ ﭘﻼﺳﻤﺎﻫﺎ ﺗﺸﻌﺸﻌﻲ از ﻣﺎدون ﻗﺮﻣﺰ ﺗﺎ ﻣﺎوراء ﺑﻨﻔﺶ را دارﻧﺪ‪ .‬ﻳﻚ ﺗﻜﻨﻴﻚ ﺳﺎده اﻧﺪازه ﮔﻴﺮي‬
‫ﺷﺪت اﻳﻦ ﺗﺸﻌﺸﻌﺎت ﺑﺮ ﺣﺴﺐ ﻃﻮل ﻣﻮج ﺑﻪ ﻛﻤﻚ ﻃﻴﻒ ﺳﻨﺞ ﻣﻲﺑﺎﺷﺪ ‪ .‬ﺑﺎ اﺳﺘﻔﺎده از ﺣﺪاﻛﺜﺮ ﻃﻴﻒ‬
‫ﺑﺪﺳﺖ آﻣﺪه و ﻣﻘﺎﻳﺴﻪ آن ﺑﺎ ﻳﻚ ﻃﻴﻒ ﻣﺸﺨﺼﻲ ﻛﻪ ﻗﺒﻼً ﺗﺮﺳﻴﻢ ﺷﺪه ﻣﻲﺗﻮان ذرات ﺧﻨﺜﻲ و ﻳﻮﻧﻲ‬
‫درون ﭘﻼﺳﻤﺎ را ﺗﻌﻴﻴﻦ ﻛﺮد‪ .‬ﺗﺮاﻛﻢ ﻧﺴﺒﻲ ذرات را ﻣﻲﺗﻮان ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ ﺗﻐﻴﻴﺮات ﺷﺪت ﻧﺴﺒﺖ ﺑﻪ‬
‫ﺗﻐﻴﻴﺮ ﭘﺎراﻣﺘﺮﻫﺎي ﭘﻼﺳﻤﺎ ﺑﺪﺳﺖ آورد‪ .‬ﺑﺎﻳﺪ ﺗﻮﺟﻪ داﺷﺖ ﻛﻪ ﺑﺎ اﻳﻦ روش ﻧﻤﻲﺗﻮان ﺗﺮاﻛﻢ ﻣﻄﻠﻖ‬
‫ذرات را ﺑﺪﺳﺖ آورد‪[16].‬‬
‫ ﺗﺸﻌﺸﻊ ﺳﻨﺠﻲ‬
‫ﺑﺎ اﺿﺎﻓﻪ ﻛﺮدن ﻣﻘﺪار ﻛﻤﻲ ﮔﺎز ﺑﻲ اﺛﺮ )ﻣﻌﻤﻮﻻً آرﮔﻮن( ﺑﻪ ﭘﻼﺳﻤﺎ و ﻣﻘﺎﻳﺴﻪ ﻃﻴﻒ آن ﺑﺎ ﻃﻴﻒ‬
‫ﭘﻼﺳﻤﺎي ﻣﻮرد ﻧﻈﺮ ﻣﻲﺗﻮان در ﻣﻮرد ﺗﺮاﻛﻢ ﻛﻤﻲ ذرات در ﭘﻼﺳﻤﺎ اﻃﻼﻋﺎﺗﻲ را ﺑﺪﺳﺖ آورد‪ .‬اﻳﻦ‬
‫روش ﺟﺪﻳﺪاً ﺑﺮاي ﺑﺮرﺳﻲ ﺷﻴﻤﻲ ﭘﻼﺳﻤﺎ ﺧﻴﻠﻲ راﻳﺞ ﺷﺪه اﺳﺖ ‪[16].‬‬
‫ ﺗﻜﻨﻴﻚ ﻓﻠﻮرﺳﻨﺲ اﻟﻘﺎء ﻟﻴﺰري‬

‫‪1 mass spectroscopy‬‬


‫‪190‬‬
‫اﻳﻦ ﺗﻜﻨﻴﻚ ﻧﻴﺰ ﺗﺮاﻛﻢ ﻧﺴﺒﻲ ذرات درون ﭘﻼﺳﻤﺎ را ﺑﻪ وﺳﻴﻠﻪ ﻃﻴﻒ ﺗﺸﻌﺸﻌﻲ اﻧﺪازه ﻣﻲﮔﻴﺮد‪ .‬اﻣﺎ در‬
‫اﻳﻦ روش ﻣﺤﺘﻮﻳﺎت درون ﭘﻼﺳﻤﺎ ﺑﻪ وﺳﻴﻠﻪ ﺧﻮد ﭘﻼﺳﻤﺎ ﺗﺤﺮﻳﻚ ﻧﻤﻲﺷﻮد ﺑﻠﻜﻪ ﺑﻪ وﺳﻴﻠﻪ ﻳﻚ ﻟﻴﺰر‬
‫ﻗﺎﺑﻞ ﺗﻨﻈﻴ ﻢ ﺗﺤﺮﻳﻚ ﻣﻲ ﮔﺮدﻧﺪ ‪[16].‬‬
‫ ﺗﻜﻨﻴﻚ ‪Langmuir probe‬‬
‫در اﻳﻦ روش ﻳﻚ ﭘﺮوب ﻫﺎدي ﻛﻪ ﻛﻮﭼﻜﺘﺮ از ﻣﺴﻴﺮﻫﺎي آزاد ذره اﺳﺖ ﻣﺴﺘﻘﻴﻤﺎً در ﭘﻼﺳﻤﺎ ﻗﺮار‬
‫داده ﻣﻲﺷﻮد ﻛﻪ ﺑﺪان ﭘﺮوب ‪ Langmuir‬ﻣﻲﮔﻮﻳﻨﺪ‪ .‬از ﻃﺮﻳﻖ راﺑﻄﻪ ﺑﻴﻦ ﺟﺮﻳﺎن و وﻟﺘﺎژ اﻳﻦ ﭘﺮوب‬
‫ﻣﻲﺗﻮان ﭼﮕﺎﻟﻲ اﻟﻜﺘﺮون ﭘﻼﺳﻤﺎ‪ ،‬درﺟﻪ ﺣﺮارت اﻟﻜﺘﺮون و ﭘﺘﺎﻧﺴﻴﻞ ﭘﻼﺳﻤﺎ را اﻧﺪازه ﮔﺮﻓﺖ‪.‬‬
‫ﻫﻤﭽﻨﻴﻦ ﺑﺎ اﻳﻦ روش ﻣﻲﺗﻮان اﻧﺮژي ﻳﻮنﻫﺎﻳﻲ ﻛﻪ ﺑﻪ ﻛﺎﺗﺪ ﺑﺮﺧﻮرد ﻣﻲﻛﻨﻨﺪ را ﺗﻌﻴﻴﻦ ﻛﺮد‪[16].‬‬
‫ ﺷﺒﻜﻪ ﺳﺪ ﻛﻨﻨﺪه‬
‫روش دﻳﮕﺮي ﻛﻪ ﻣﺴﺘﻘﻴﻤﺎً ﺑﺮاي اﻧﺪازه ﮔﻴﺮي اﻧﺮژي ﻳﻮنﻫﺎ ﺑﻪ ﻛﺎر ﻣﻲرود اﺳﺘﻔﺎده از ﻳﻚ ﺷﺒﻜﻪ ﺳﺪ‬
‫ﻛﻨﻨﺪه در ﻳﻚ اﻟﻜﺘﺮود ‪ rf‬اﺳﺖ‪ .‬وﻟﺘﺎژ ﺷﺒﻜﻪ ﺑﺮ ﺣﺴﺐ ﺟﺮﻳﺎﻧﻲ ﻛﻪ اﻳﻦ ﺷﺒﻜﻪ ﺟﻤﻊ ﻣﻲﻛﻨﺪ اﻃﻼﻋﺎﺗﻲ‬
‫در ﻣﻮرد ﺗﻮزﻳﻊ اﻧﺮژي ﻳﻮن و اﻧﺮژي ﻣﺘﻮﺳﻂ ﻳﻮن ﺑﺪﺳﺖ ﻣﻲدﻫﺪ ‪[16].‬‬

‫‪- 4- 7- 6‬ﻣﻜﺎﻧﻴﺰمﻫﺎي اﺻﻠﻲ زداﻳﺶ در ﭘﻼﺳﻤﺎ‬

‫ﺑﻪ ﻃﻮر ﻛﻠﻲ ﭘﻼﺳﻤﺎ ﺑﻪ ﻛﻤﻚ ﻣﻜﺎﻧﻴﺰم ﻛﻨﺪوﭘﺎش ‪ 1‬ﻛﻪ ﺑﻪ زداﻳﺶ ﻓﻴﺰﻳﻜﻲ ﻣﻌﺮوف اﺳﺖ و ﻳﺎ واﻛﻨﺶ‬
‫ﺷﻴﻤﻴﺎﻳﻲ و ﻣﺴﺎﻋﺪت ﻳﻮنﻫﺎ در زداﻳﺶ ﺷﻴﻤﻴﺎﻳﻲ و ﻳﺎ ﺑﺎ ﺑﻬﺮه ﺑﺮدن از ﻫﺮدو‪ ،‬ﻓﺮآﻳﻨﺪ زداﻳﺶ را ﭘﻴﺶ‬
‫ﻣﻲﺑﺮد‪ .‬در اداﻣﻪ ﺑﻪ ﺑﺮرﺳﻲ ﻫﺮ ﻳﻚ ﻣﻲﭘﺮدازﻳﻢ‪:‬‬

‫• زداﻳﺶ ﻓﻴﺰﻳﻜﻲ‬

‫ﺑﻪ ﻃﻮر ﻛﻠﻲ وﻗﺘﻲ ﻳﻚ ﻳﻮن ﭘﺮ اﻧﺮژي ﺑﻪ ﺳﻄﺢ ﻳﻚ ﺟﺎﻣﺪ ﺑﺮﺧﻮرد ﻣﻲﻛﻨﺪ در اﺛﺮ اﻧﺘﻘﺎل اﻧﺮژي‬
‫ﺟﻨﺒﺸﻲ اﻳﻦ ﻳﻮن ﺑﻪ اﺗﻤﻬﺎي ﺟﺎﻣﺪ ﻓﺮآﻳﻨﺪﻫﺎي زﻳﺮ اﺗﻔﺎق ﻣﻲاﻓﺘﺪ ‪.‬‬
‫‪(1‬ﭘﺲ ﭘﺮاﻛﻨ ﻲ ﺧﻮد ﻳﻮن ‪ (2‬ﺟﺪا ﺷﺪن اﻟﻜﺘﺮون از اﺗﻤﻬﺎي ﺟﺎﻣﺪ‪(3‬ﺗﺎﺑﺶ اﻟﻜﺘﺮوﻣﻐﻨﺎﻃﻴﺴﻲ ‪(4‬ﺟﺪا‬
‫ﺷﺪن اﺗﻤﻬﺎي ﺟﺎﻣﺪ از ﺳﻄﺢ)ﻛﻨﺪوﭘﺎش( ‪(5‬ﺧﺮاﺑﻲ ﺳﺎﺧﺘﺎر ﺟﺎﻣﺪ ‪(6‬ﺗﻮﻟﻴﺪ ﮔﺮﻣﺎ ‪.‬‬

‫‪1 Sputtering‬‬
‫‪191‬‬
‫در زداﻳﺶ ﻓﻴﺰﻳﻜﻲ ﻓﺮآﻳﻨﺪ ﭼﻬﺎرم ﻳﻌﻨﻲ ﻛﻨﺪوﭘﺎش ﻣﻮرد ﺗﻮﺟﻪ اﺳﺖ‪ .‬ﻫﻨﮕﺎم ﻛﻨﺪوﭘﺎش‪ ،‬زداﻳﺶ ﻣﺎده‬
‫ﺑﺴﺘﺮ ﺻﺮﻓﺎً ﺑﺎ ﻓﺮاﻳﻨﺪﻫﺎي ﻓﻴﺰﻳﻜﻲ ﺻﻮرت ﻣﻲﮔﻴﺮد‪ .‬ﻫﻤﺎﻧﻄﻮر ﻛﻪ اﺷﺎره ﻛﺮدﻳﻢ ‪ ،‬ﻳﻮنﻫﺎي ﭘﺮ اﻧﺮژي از‬
‫ﻧﺎﺣﻴﻪ ﻏﻼف ﻋﺒﻮر ﻣﻲﻛﻨﻨﺪ و ﻣﻘﺪار زﻳﺎدي اﻧﺮژي و اﻧﺪازه ﺣﺮﻛﺖ ‪ 1‬ﺑﻪ ﻣﺎده ﺑﺴﺘﺮ ﻣﻨﺘﻘﻞ ﻣﻲﻛﻨﻨﺪ‪ .‬اﻳﻦ‬
‫اﻣﺮ ﺳﺒﺐ ﺟﺪا ﺷﺪن ذرات ﻣﺎده ﺑﺴﺘﺮ از ﺳﻄﺢ آن )ﻛﻨﺪوﭘﺎش( ﻣﻲﺷﻮد‪ .‬در اﻳﻦ ﻓﺮآﻳﻨﺪ ﺑﻪ ﻋﻠﺖ‬
‫ﺟﻬﺖ ﮔﻴﺮي ﻳﻮنﻫﺎ ﺑﺎ ﻣﻴﺪان‪ ،‬زداﻳﺶ ﻛﺎﻣﻼً ﻧﺎﻫﻤﺴﺎﻧﮕﺮد اﻧﺠﺎم ﻣﻲﺷﻮد‪ .‬ﺑﺮاي ﺟﻠﻮﮔﻴﺮي از ﺑﺎزﮔﺸﺖ‬
‫ذرات ﻛﻨﺪوﭘﺎش ﺷﺪه ﺑﻪ ﺳﻄﺢ ﺑﻪ ﻋﻠﺖ ﭘﺮاﻛﻨﺪﮔﻲ ‪ 2‬و در اﺛﺮ ﺑﺮﺧﻮرد ﺑﺎ ذرات ﮔﺎز ‪ ،‬زداﻳﺶ ﺑﺎﻳﺪ در‬
‫ﻓﺸﺎر ﭘﺎﻳﻴﻦ )ﻛﻤﺘﺮ از ‪( 50mTorr‬ﺻﻮرت ﮔﻴﺮد‪ .‬در ﻓﺸﺎر ﻛﻢ ﻛﻪ ﻣﺴﻴﺮ ﻣﺘﻮﺳﻂ آزاد ‪ 3‬ﻧﺴﺒﺘﺎً زﻳﺎد‬
‫اﺳﺖ‪ ،‬ذرات ﻛﻨﺪوﭘﺎش ﺷﺪه از ﺑﺴﺘﺮ ﻋﺮض رآﻛﺘﻮر را ﻃﻲ ﻛﺮده و ﺑﻪ دﻳﻮاره ﻣﻘﺎﺑﻞ ﻣﻲرﺳﻨﺪ )از اﻳﻦ‬
‫ﭘﺪﻳﺪه ﺑﺮاي ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﺑﻪ روش ﻛﻨﺪوﭘﺎش ﻧﻴﺰ اﺳ ﺘﻔﺎده ﻣﻲﺷﻮد ( و ﻳﺎ از ﻟﻮﻟﻪ ﺧﺮوﺟﻲ ﺑﻴﺮون ﻣﻲروﻧﺪ‬
‫‪.‬‬

‫‪Ion Species‬‬

‫‪Mask‬‬

‫‪Layer‬‬

‫ﺷﻜﻞ ‪ 20‬ﺑﻤﺒﺎران ﻳﻮﻧﻲ در زداﻳﺶ‬


‫ﻓﻴﺰﻳﻜﻲ]‪[1‬‬
‫ﻓﺮاﻳﻨﺪ ﻛﻨﺪوﭘﺎش ﺑﻪ ﺻﻮرت اﻧﺘﺨﺎﺑﻲ ﺑﺮاي ﺑﺴﺘﺮ ﻧﺴﺒﺖ ﺑﻪ ﻧﻘﺎب آن ﻋﻤﻞ ﻧﻤﻲﻛﻨﺪ و ﺗﻨﻬﺎ ﺣﺴﺎس ﺑﻪ‬
‫ﻣﻴﺰان ﻧﻴﺮوﻫﺎي ﭘﻴﻮﺳﺘﮕﻲ ﺑﺴﺘﺮ اﺳﺖ‪ .‬ﻣﻮادي ﻛﻪ از ﻧﻈﺮ ﺷﻴﻤﻴﺎﻳﻲ ﺑﺴﻴﺎر ﻣﺘﻔﺎوت ﻫﺴﺘﻨﺪ ﺑﺎ روش‬
‫ﻛﻨﺪوﭘﺎش ﺑﺎ ﺳﺮﻋﺖ ﻧﺴﺒﺘ ﺎً ﻳﻜﺴﺎن زدوده ﻣﻲﺷﻮﻧﺪ ‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ‪ ،‬از آﻧﺠﺎ ﻛﻪ زداﻳﺶ ﺑﻪ روش ﻛﻨﺪوﭘﺎش‬
‫ﻛﺎﻣﻼً ﻓﻴﺰﻳﻜﻲ اﺳﺖ و ﻧﻴﺎز ﺑﻪ اﻧﺮژي ﺑﺴﻴﺎر ﺑﺎﻻ دارد‪ ،‬آﺧﺮﻳﻦ ﮔﺰﻳﻨﻪ ﺑﺮاي زداﻳﺶ ﭘﻼﺳﻤﺎ ﻣﻲﺑﺎﺷﺪ‪.‬‬

‫‪1 Momentum‬‬
‫‪2 Scattering‬‬
‫‪3 Mean free path‬‬
‫‪192‬‬
‫زداﻳﺶ ﺑﻪ روش ﻛﻨﺪوﭘﺎش ﻫﻤﭽﻨﻴﻦ ﻓﺮاﻳﻨﺪي ﺑﺴﻴﺎر ﻛﻨﺪ اﺳﺖ و ﺑﻪ ﺧﺎﻃﺮ ﺑﻤﺒﺎران و ﻛﺎﺷﺖ ﻳﻮﻧﻲ‪،‬‬
‫آﺳﻴﺐ اﻟﻜﺘﺮﻳﻜﻲ ﺑﻪ ﺑﺴﺘﺮ وارد ﻣﻲﻛﻨﺪ ]‪.[6‬‬

‫• زداﻳﺶ ﺷﻴﻤﻴﺎﻳﻲ‬

‫ﻓﺮآﻳﻨﺪ زداﻳﺶ ﺷﻴﻤﻴﺎﻳﻲ ﻣﻮاد اﻏﻠﺐ ﺗﻮﺳﻂ رادﻳﻜﺎلﻫﺎي آزاد اﻧﺠﺎم ﻣﻲ ﺷﻮد‪ .‬رادﻳﻜﺎلﻫﺎي آزاد‬
‫ﻣﻮﻟﻜﻮلﻫﺎ ﻳﺎ اﺗﻢﻫﺎﻳﻲ ﺑﺎ ﭘﻴﻮﻧﺪﻫﺎي آوﻳﺰان ‪ 1‬ﻣﺜﻞ ‪ CF3,CF2,..‬ﻫﺴﺘﻨﺪ و از ﻃﺮﻳﻖ ﺑﺮﺧﻮردﻫﺎي ﺑﻴﻦ‬
‫اﻟﻜﺘﺮونﻫﺎي ﭘﺮ اﻧﺮژي و ذرات ﮔﺎز ﺷﻜﻞ ﻣﻲﮔﻴﺮﻧﺪ ‪.‬‬
‫در اﻳﻦ ﻧﻮع زداﻳﺶ‪ ،‬رادﻳﻜﺎلﻫﺎ ﺑﺎ اﻳﺠﺎد ﭘﻴﻮﻧﺪ ﺑﺎ اﺗﻢﻫﺎي ﺳﻄﺢ و اﻳﺠﺎد ﻣﺤﺼﻮﻻت ﻓﺮار در ﻓﺎز ﮔﺎزي‬
‫ﻋﻤﻞ زداﻳﺶ را اﻧﺠﺎم ﻣﻲدﻫﻨﺪ‪ .‬اﻳﻦ ﻓﺮآﻳﻨﺪ زداﻳﺸﻲ ﺑﻪ دو ﻋﻠﺖ ﻛﺎﻣﻼً ﻫﻤﺴﺎﻧﮕﺮد اﺳﺖ‪ :‬اوﻻً زاوﻳﻪي‬
‫ﻓﺮود و ﺗﺸﻜﻴﻞ ﭘﻴﻮﻧﺪ ﻳﻮنﻫﺎ ﺑﺎ اﺗﻤﻬﺎي ﺳﻄﺢ ﻛﺎﻣﻼً ﺗﺼﺎدﻓﻲ اﺳﺖ‪ .‬ﺑﻨﺎ ﺑﺮ اﻳﻦ ﻫﻴﭻ ﻛﻨﺘﺮﻟﻲ روي‬
‫ﺟﻬﺖ ﮔﻴﺮي زداﻳﺶ وﺟﻮد ﻧﺪارد‪ .‬دوم اﻳﻨﻜﻪ رادﻳﻜﺎلﻫﺎي ﻓﺮود آﻣﺪه ﺑﺮ روي ﺳﻄﺢ ﭘﺲ از ﭼﻨﺪ‬
‫ﺟﻬﺶ ﺗﺸﻜﻴﻞ ﭘﻴﻮﻧﺪ ﻣﻲدﻫﻨﺪ‪ .‬ﺑﻨﺎ ﺑﺮ اﻳﻦ‪ ،‬زداﻳﺶ در ﺟﻬﺖﻫﺎي ﻋﻤﻮدي و اﻓﻘﻲ ﺑﺎ ﺳﺮﻋﺖ ﻳﻜﺴﺎن‬
‫ﺻﻮرت ﻣﻲﮔﻴﺮد و اﻣﻜﺎن اﻳﺠﺎد ﺳﺎﺧﺘﺎرﻫﺎي ﻋﻤﻮدي و ﺻﺎف وﺟﻮد ﻧﺪارد‪ .‬زداﻳﺶ ﺷﻴﻤﻴﺎﻳﻲ ﻛﺎﻣﻼً ﺑﻪ‬
‫ﺻﻮرت اﻧﺘﺨﺎﺑﻲ ﻧﺴﺒﺖ ﺑﻪ ﻣﻮاد ﻣﺨﺘﻠﻒ ﻋﻤﻞ ﻣﻲﻛﻨﺪ زﻳﺮا روﻧﺪ اﻳﻦ زداﻳﺶ ﻛﺎﻣﻼً ﺑﻪ ﺧﺼﻮﺻﻴﺎت‬
‫ﺷﻴﻤﻴﺎﻳﻲ ﺑﺴﺘﺮ واﺑﺴﺘﻪ اﺳﺖ‪ .‬ﺗﻤﺎم اﻳﻦ ﻣﻮارد ﺑﻪ ﺧﻮﺑﻲ در ﺷﻜﻞ ‪21‬ﻗﺎﺑﻞ ﻣﺸﺎﻫﺪه اﺳﺖ ‪.‬‬
‫زداﻳﺶ ﺑﺴﺘﺮ ﺳﻴﻠﻴﻜﻮن ﺗﻮﺳﻂ اﺗﻢﻫﺎي ﻓﻠﻮﺋﻮر ‪ 2‬ﻣﺜﺎل ﺧﻮﺑﻲ ﺑﺮاي اﻳﻦ ﻧﻮع زداﻳﺶ اﺳﺖ ‪ .‬در زداﻳﺶ‬
‫ﺳﻴﻠﻴﻜﻮن ﺑﻪ ﻛﻤﻚ اﺗﻢﻫﺎي ﻓﻠﻮر‪ ،‬واﻛﻨﺶ ﺑﻴﻦ اﺗﻢﻫﺎي ﻓﻠﻮﺋﻮر و ﺑﺴﺘﺮ ﺗﻮﻟﻴﺪ ‪ SiF4‬ﻣﻲﻛﻨﺪ ﻛﻪ ﺑﻪ‬
‫ﺻﻮرت ﮔﺎز اﺳﺖ و ﺑﻼﻓﺎﺻﻠﻪ از ﺳﻄﺢ ﺳﻴﻠﻴﻜﻦ ﺟﺪا ﻣﻲﺷﻮد‪.‬‬

‫‪1 Dangling Bonds‬‬


‫‪2 Fluorine‬‬
‫‪193‬‬
‫ﺷﻜﻞ ‪21‬ﻓﺮآﻳﻨﺪ زداﻳﺶ ﺷﻴﻤﻴﺎﻳﻲ‬
‫• ﻓﺮآﻳﻨﺪ ﺷﻴﻤﻴﺎﻳﻲ ‪-‬ﻳﻮﻧﻲ ﭘﺮ اﻧﺮژي‬

‫در زداﻳﺶ ﺷﻴﻤﻴﺎﻳﻲ ‪-‬ﻳﻮﻧﻲ ﭘﺮ اﻧﺮژي ﻳﻮنﻫﺎي ﻧﺴﺒﺘﺎً ﭘﺮ اﻧﺮژي ﺳﻄﺢ ﺑﺴﺘﺮ را ﺗﺨﺮﻳﺐ ﻣﻲﻛﻨﻨﺪ و‬
‫ﺑﺎﻋﺚ اﻓﺰاﻳﺶ واﻛﻨﺶ ﭘﺬﻳﺮي آن ﻧﺴﺒﺖ ﺑﻪ ﺳﺎﻳﺮ ﺟﺎﻫﺎي ﺑﺴﺘﺮ ﻛﻪ ﻧﻘﺎب ﻣﺤﺎﻓﻆ ‪ 1‬دارﻧﺪ و ﻳﺎ در ﻣﻌﺮض‬
‫ﺑﻤﺒﺎران ﻳﻮﻧﻲ ﻗﺮار ﻧﻤﻲﮔﻴﺮﻧﺪ ‪ ،‬ﻣﻲﺷﻮﻧﺪ ‪ .‬ﻫﻤﺰﻣﺎن زداﻳﺶ ﺷﻴﻤﻴﺎﻳﻲ ﻧﻴﺰ ﺻﻮرت ﻣﻲﮔﻴﺮد و ﺳﺮﻋﺖ‬
‫زداﻳﺶ در ﺟﻬﺖ ﺑﻤﺒﺎران ﻳﻮﻧﻲ ﻳﻌﻨﻲ ﻋﻤﻮد ﺑﺮ ﺳﻄﺢ ﺑﺴﺘﺮ ‪ ،‬ﺑﻴﺸﺘﺮ ﻣﻲﺑﺎﺷﺪ‪ .‬ﺑﻪ ﻋﻨﻮان ﻣﺜﺎل‪،‬‬
‫ﺳﻴﻠﻴﻜﻮن ﺗﻚ ﻛﺮﻳﺴﺘﺎل در دﻣﺎي اﺗﺎق ﺗﻮﺳﻂ ﮔﺎز ‪ Cl2‬ﻳﺎ اﺗﻢﻫﺎي ‪ Cl‬زدوده ﻧﻤﻲﺷﻮد‪ .‬وﻟﻲ ﻫﻨﮕﺎﻣﻲ‬
‫ﻛﻪ ﺳﻄﺢ ﺳﻴﻠﻴﻜﻦ در ﻣﻌﺮض ﺑﻤﺒﺎران ﻳﻮنﻫﺎي ﭘﺮ اﻧﺮژي ﻗﺮار ﻣﻲﮔﻴﺮد‪ ،‬ﺑﺎ ﻛﻠﺮ واﻛﻨﺶ ﻣﻲدﻫﺪ و‬
‫ﺗﺸﻜﻴﻞ ﻛﻠﺮﻳﺪ ﺳﻴﻠﻴﻜﻦ ﻣﻲدﻫﺪ ﻛﻪ از ﺳﻄﺢ ﺑﺴﺘﺮ ﺑﻪ ﺻﻮرت ﮔﺎز ﺟﺪا ﻣﻲﺷﻮد‪ .‬ﺷﻜﻞ ‪ 23‬ﺳﺮﻋﺖ‬
‫زداﻳﺶ ﺷﻴﻤﻴﺎﻳﻲ ‪-‬ﻳﻮﻧﻲ ﭘﺮ اﻧﺮژي و ﺗﻔﺎوت آن ﺑﺎ زداﻳﺶ ﻛﻨﺪوﭘﺎش را ﻧﺸﺎن ﻣﻲدﻫﺪ‪ .‬ﻫﻤﺎﻧﻄﻮر ﻛﻪ در‬
‫ﺷﻜﻞ ﻣﺸﺨﺺ اﺳﺖ زداﻳﺶ ﺷﻴﻤﻴﺎﻳﻲ ‪-‬ﻳﻮﻧﻲ ﭘﺮ اﻧﺮژي ﺑﺴﻴﺎر ﺳﺮﻳﻌﺘﺮ از زداﻳﺶ ﻛﻨﺪوﭘﺎش اﺳﺖ‪.‬‬
‫ﺗﺨﺮﻳﺐ ﺑﺴﺘﺮ ﻧﺎﺷﻲ از ﺑﻤﺒﺎران ﻳﻮﻧﻲ ﻣﻤﻜﻦ اﺳﺖ ﺑﻪ ﺻﻮرتﻫﺎي ﻣﺨﺘﻠﻒ ﺑﺎﺷﺪ‪ .‬در ﺑﻌﻀﻲ از ﺣﺎﻻت‪،‬‬
‫ﺗﺨﺮﻳﺐ ﺑﻪ ﺻﻮرت اﻳﺠﺎد ﻧﻘﺺﻫﺎﻳﻲ درون ﺷﺒﻜﻪ ﺳﻴﻠﻴﻜﻦ ﻣﻲﺑﺎﺷﺪ و در ﺑﻌﻀﻲ ﺣﺎﻻت‪ ،‬ﺗﺨﺮﻳﺐ ﺑﺎﻋﺚ‬

‫‪1 Protective Mask‬‬


‫‪194‬‬
‫اﻳﺠﺎد ﺑﺎﻧﺪﻫﺎي آوﻳﺰان ‪ 1‬در ﺳﻄﺢ ﺑﺴﺘﺮ ﻣﻲﺷﻮد‪ .‬در ﻫﺮ ﻳﻚ از ﺣﺎﻻت‪ ،‬ﺑﻤﺒﺎران ﻳﻮﻧﻲ ﺑﻪ ﻧﺤﻮي‬
‫واﻛﻨﺶﭘﺬﻳﺮي ﺑﺴﺘﺮ را زﻳﺎد ﻣﻲﻛﻨﺪ ]‪.[4,5,7‬‬

‫‪XeF 2‬‬ ‫‪Ar + Ion Beam‬‬ ‫‪Ar+ Ion‬‬


‫‪Gas Only‬‬ ‫‪+ XeF 2 Gas‬‬ ‫‪Beam Only‬‬
‫‪7‬‬
‫‪6‬‬
‫‪5‬‬
‫‪4‬‬
‫‪3‬‬
‫‪2‬‬
‫‪1‬‬
‫‪0‬‬
‫‪100 200 300 400 500 600 700 800 900‬‬
‫)‪Time (sec‬‬

‫ﺷﻜﻞ ‪ 23‬ﻧﻤﻮدار ﺳﻤﺖ ﭼﭗ ‪ :‬زداﻳﺶ ﺻﺮﻓﺎً ﺷﻴﻤﺎﻳﻲ ﺑﺎ ‪ XeF2‬ﺳﺮﻋﺖ ﺑﺴﻴﺎر ﭘﺎﻳﻴﻨﻲ دارد وﻟﻲ ﺣﻀﻮر‬
‫ﻳﻮنﻫﺎي ‪ Ar+‬و ﺑﻤﺒﺎران ﻳﻮﻧﻲ ﺳﺒﺐ اﻓﺰاﻳﺶ ﺳﺮﻋﺖ زداﻳﺶ ﺑﻪ ﻣﻴﺰان ﻗﺎﺑﻞ ﺗﻮﺟﻬﻲ ﻣﻲﺷﻮد )زداﻳﺶ‬
‫ﺷﻴﻤﻴﺎﻳﻲ ‪-‬ﻳﻮﻧﻲ ﭘﺮ اﻧﺮژي(‪ .‬ﻧﻤﻮدار ﺳﻤﺖ راﺳﺖ‪ :‬زداﻳﺶ ﺑﻪ روش ﻛﻨﺪوﭘﺎش )ﺻﺮﻓﺎً ﻳﻮنﻫﺎي ‪( Ar+‬‬
‫داراي ﺳﺮﻋﺖ ﻛﻤﺘﺮي ﻧﺴﺒﺖ ﺑﻪ زداﻳﺶ ﺷﻴﻤﻴﺎﻳﻲ ‪-‬ﻳﻮﻧﻲ ﭘﺮ اﻧﺮژي)ﻳﻮنﻫﺎي ‪ Ar+‬و ﮔﺎز ‪ ( XeF2‬ﻣﻲ‪-‬‬
‫ﺑﺎﺷﺪ ]‪.[3‬‬

‫در ﻣﻜﺎﻧﻴﺰم ﺷﻴﻤﻴﺎﻳﻲ ‪-‬ﻳﻮﻧﻲ ﺑﺮ ﺧﻼف ﻛﻨﺪوﭘﺎش‪ ،‬ﻣﻮاد ﺳﻄﺢ ﺑﺴﺘﺮ اﺑﺘﺪا ﺑﻪ ﺗﺮﻛﻴﺒﺎت ﭘﺮ ﻓﺸﺎر ﮔﺎزي‬
‫ﺗﺒﺪﻳﻞ ﻣﻲﺷﻮﻧﺪ و ﺳﭙﺲ از ﺳﻄﺢ ﺑﺴﺘﺮ ﺟﺪا ﻣﻲﺷﻮﻧﺪ‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ ﺟﺮم ﻛﻢ ﺷﺪه از ﺑﺴﺘﺮ ﻗﺎﺑﻞ ﺗﺒﺨﻴﺮ‬
‫اﺳﺖ و زداﻳﺶ را ﻣﻲﺗﻮان در ﻓﺸﺎر ﺑﺎﻻﺗﺮي ﻧﺴﺒﺖ ﺑﻪ روش ﻛﻨﺪوﭘﺎش اﻧﺠﺎم داد‪.‬‬

‫• زداﻳﺶ ﺷﻴﻤﻴﺎﻳﻲ ‪-‬ﻳﻮﻧﻲ ﻣﺤﺎﻓﻈﺘﻲ‬

‫‪1 Dangling bonds‬‬


‫‪195‬‬
‫در زداﻳﺶ ﺷﻴﻤﻴﺎﻳﻲ ‪-‬ﻳﻮﻧﻲ ﻣﺤﺎﻓﻈﺘﻲ ﻋﻼوه ﺑﺮ زداﻳﻨﺪهﻫﺎ ﻧﻴﺎز ﺑﻪ ﻳﻚ ﻻﻳﻪ ﻣﺤﺎﻓﻆ ﻧﻴﺰ ﻫﺴﺖ‪ .‬ﺑﺴﺘﺮ و‬
‫زداﻳﻨﺪه در اﻳﻦ ﻣﻜﺎﻧﻴﺰم در ﺻﻮرﺗﻲ ﻛﻪ روي ﺑﺴﺘﺮ ﻻﻳﻪ ﻧﺎزك ﻣﺤﺎﻓﻆ ﻧﺒﺎﺷﺪ ﺑﻪ ﺻﻮرت ﺧﻮدﺑﺨﻮدي‬
‫واﻛﻨﺶ ﻣﻲدﻫﻨﺪ‪ .‬در دﻳﻮارهﻫﺎ و ﺳﻄﺢ ﺑﺴﺘﺮ ﻻﻳﻪ ﻣﺤﺎﻓﻆ وﺟﻮد دارد وﻟﻲ ﻫﻤﺰﻣﺎن ﺑﻤﺒﺎران ﻳﻮﻧﻲ ﺑﻪ‬
‫روش ﻛﻨﺪوﭘﺎش ﻻﻳﻪ ﻣﺤﺎﻓﻆ را ﻓﻘﻂ از ﺳﻄﺢ ﻣﻲزداﻳﺪ‪ .‬در ﻧﺘﻴﺠﻪ دﻳﻮارهﻫﺎ ﺑﻪ ﻋﻠﺖ وﺟﻮد ﻻﻳﻪ‬
‫ﻣﺤﺎﻓﻆ از زداﻳﺶ در اﻣﺎن ﻣﻲﻣﺎﻧﻨﺪ و ﺻﺮﻓ ﺎً ﺳﻄﺢ ﺑﺴﺘﺮ زدوده ﻣﻲﺷﻮد و زداﻳﺶ ﻧﺎﻫﻤﺴﺎﻧﮕﺮد ﺣﺎﺻﻞ‬
‫ﻣﻲﺷﻮد‪ .‬اﻳﻦ روش ﭘﺎﻳﻪ روش زداﻳﺶ ﻋﻤﻴﻖ ﻳﻮن ﻓﻌﺎل " ﺑﻮش ‪ "1‬ﻣﻲﺑﺎﺷﺪ ‪.‬‬
‫ﺧﻼﺻﻪاي از ﻓﺮآﻳﻨﺪﻫﺎي ذﻛﺮ ﺷﺪه در ﺷﻜﻞ زﻳﺮ آﻣﺪه اﺳﺖ ‪.‬‬

‫ﺷﻜﻞ ‪ 24‬ﭼﻬﺎر روش اﺻﻠﻲ زداﻳﺶ ﭘﻼﺳﻤﺎ‪ (1 .‬ﻛﻨﺪوﭘﺎش‪ :‬ﻳﻮنﻫﺎي ﭘﺮ اﻧﺮژي ﺑﻪ ﺻﻮرت ﻣﻜﺎﻧﻴﻜﻲ ﻣﻮﻟﻜﻮلﻫﺎي ﺑﺴﺘﺮ را‬

‫از ﺳﻄﺢ آن ﺟﺪا ﻣﻲﻛﻨﻨﺪ‪ (2 .‬ﺷﻴﻤﻴﺎﻳﻲ‪ :‬رادﻳﻜﺎلﻫﺎي ﺧﻨﺜﻲ ﺑﻪ ﺻﻮرت ﺷﻴﻤﻴﺎﻳﻲ ﺑﺎ ﺑﺴﺘﺮ واﻛﻨﺶ ﻣﻲدﻫﻨﺪ و ﺗﻮﻟﻴﺪ ﮔﺎز ﻓﺮّار ﻣﻲ ‪-‬‬

‫ﻛﻨﻨﺪ ﻛﻪ از ﺳﻄﺢ ﻧﻤﻮﻧﻪ ﺧﺎرج ﻣﻲﺷﻮد‪ (3 .‬ﺷﻴﻤﻴﺎﻳﻲ ‪-‬ﻳﻮﻧﻲ ﭘﺮ اﻧﺮژي‪ :‬رادﻳﻜﺎلﻫﺎي ﺧﻨﺜﻲ ﺑﻪ ﺗﻨﻬﺎﻳﻲ ﻧﻤﻲﺗﻮاﻧﻨﺪ ﺑﺎ ﺑﺴﺘﺮ واﻛﻨﺶ‬

‫دﻫﻨﺪ‪ .‬ﻳﻮنﻫﺎي ﻧﺴﺒﺘﺎً ﭘﺮ اﻧﺮژي ﺗﻐﻴﻴﺮاﺗﻲ در ﺳﻄﺢ ﺑﺴﺘﺮ اﻳﺠﺎد ﻣﻲﻧﻤﺎﻳﻨﺪ و آن را واﻛﻨﺶ ﭘﺬﻳﺮ ﺑﺎ رادﻳﻜﺎلﻫﺎي ﻓﻌﺎل ﻣﻲﻛﻨﻨﺪ‪.‬‬

‫ﺑﻨﺎﺑﺮاﻳﻦ زداﻳﺶ ﺗﺎ ﺣﺪي ﺟﻬﺖ دار ﻣﻲﺷﻮد‪ (4 .‬ﺷﻴﻤﻴﺎﻳﻲ ‪-‬ﻳﻮﻧﻲ ﻣﺤﺎﻓﻈﺘﻲ‪ :‬ﻳﻚ ﻻﻳﻪ اﺑﺘﺪا روي ﺑﺴﺘﺮ و دﻳﻮارهﻫﺎ ﻻﻳﻪ ﻧﺸﺎﻧﻲ‬

‫‪1 Bosch‬‬
‫‪196‬‬
‫ﻣﻲﺷﻮد و ﺳﭙﺲ ﻳﻮنﻫﺎي ﻓﻌﺎل ﺑﺎ ﺑﻤﺒﺎران ﻛﺮدن ﺳﻄﺢ ﻻﻳﻪ ﻣﺤﺎﻓﻆ ﻛﻒ را ﻣﻲزداﻳﻨﺪ در ﺻﻮرﺗﻲ ﻛﻪ ﺑﻪ دﻳﻮارهﻫﺎ آﺳﻴﺒﻲ ﻧﻤﻲ ‪-‬‬

‫رﺳﺎﻧﻨﺪ‪ .‬ﻻﻳﻪ ﻣﺤﺎﻓﻆ در ﺑﺮاﺑﺮ رادﻳﻜﺎلﻫﺎي واﻛﻨﺶ دﻫﻨﺪه ﻣﻘﺎوم اﺳﺖ و اﻳﻦ ﺳﺒﺐ ﻣﻲﺷﻮد ﻛﻪ زداﻳﺶ ﺗﺎ ﺣﺪ زﻳﺎدي ﻫﻤﺴﺎﻧﮕﺮد‬

‫ﺷﻮد‪.‬‬

‫‪ - 5- 7- 6‬رآﻛﺘﻮر ﭘﻼﺳﻤﺎ‬

‫زداﻳﺶ ﭘﻼﺳﻤﺎ در اﺑﺘﺪا در رآﻛﺘﻮرﻫﺎي ﺣﺠﻤﻲ ‪ 1‬ﻫﻤﺎﻧﻨﺪ آﻧﭽﻪ در ﺷﻜﻞ ‪ 25‬ﻧﺸﺎن داده ﺷﺪه‬
‫اﺳﺖ اﻧﺠﺎم ﻣﻲﮔﺮﻓﺖ‪ .‬در اﻳﻦ ﻧﻮع رآﻛﺘﻮر‪ ،‬ﻗﺮصﻫﺎي ﻧﻴﻤﻪ ﻫﺎدي روي ﭘﺎﻳﻪﻫﺎﻳﻲ از ﺟﻨﺲ ﻛﻮارﺗﺰ ‪2‬‬
‫ﺳﻮار ﻣﻲﺷﻮﻧﺪ و ﺑﻌﺪ از آن درون رآﻛﺘﻮر ﻗﺮار ﻣﻲﮔﻴﺮﻧﺪ ‪ .‬ﭘﻼﺳﻤﺎ ﺗﻮﺳﻂ اﻟﻜﺘﺮودﻫﺎي "ﻛﻮﭘﻼژ‬
‫ﺧﺎزﻧﻲ ‪ "3‬و ﻳﺎ اﻟﻜﺘﺮودﻫﺎي "ﻛﻮﭘﻼژ اﻟﻘﺎﻳﻲ ‪ "4‬درون رآﻛﺘﻮر اﻳﺠﺎد ﻣﻲﺷﻮد‪.‬‬

‫‪Gas inlet‬‬
‫‪Quartz tube‬‬ ‫‪Gas inlet‬‬
‫‪Electrode‬‬
‫‪Plasma‬‬
‫‪Plasma‬‬
‫‪Shield‬‬ ‫‪Wafers‬‬
‫‪To pump‬‬
‫‪Wafers‬‬

‫‪RF‬‬
‫‪End view‬‬ ‫‪Side view‬‬

‫ﺷﻜﻞ ‪ 25‬ﭘﻼﺳﻤﺎي ‪ Barrel‬ﻛﻪ ﻣﻌﻤﻮﻻً ﺑﺮاي زداﻳﺶ ﻫﻤﺴﺎﻧﮕﺮد ﻣﺎﻧﻨﺪ زداﻳﺶ ﻣﺎده ﺣﺴﺎس ﺑﻪ ﻧﻮر از آن اﺳﺘﻔﺎده‬

‫ﻣﻲﺷﻮد‪.‬‬

‫در اﻳﻦ ﻧﻮع ﻃﺮاﺣﻲ ﺑﻪ دﻟﻴﻞ ﻋﺪم ﺟﻬﺖ ﮔﻴﺮي ﻳﻮنﻫﺎ‪ ،‬ﺑﻤﺒﺎران ﺑﻪ ﺻﻮرت ﻫﻤﺴﺎﻧﮕﺮد و از‬
‫ﺗﻤﺎم ﺟﻬﺎت ﺻﻮرت ﻣﻲﮔﻴﺮد ﻛﻪ در ﻧﺘﻴﺠﻪ دﻳﻮارهﻫﺎﻳﻲ ﺷﻴﺐ دار ﺣﺎﺻﻞ ﻣﻲ ﺷﻮد‪ .‬ﻋﻼوه ﺑﺮ اﻳﻦ‬
‫ﻳﻜﻨﻮاﺧﺘﻲ در ﻧﺮخ زداﻳﺶ ﻧﻴﺰ ﻣﺸﻜﻠﻲ دﻳﮕﺮ اﺳﺖ‪ .‬در ﺣﻘﻴﻘﺖ ﻓﺮاﻫﻢ ﻛﺮدن ﻏﻠﻈﺖ ﻳﻜﺴﺎﻧﻲ از ﮔﺎز‬

‫‪1 Volume loaded reactors‬‬


‫‪2 Quartz‬‬
‫‪3 Capacitively coupled‬‬
‫‪4 Inducltively coupled‬‬
‫‪197‬‬
‫زداﻳﻨﺪه ﺑﻪ ﻋﻠﺖ ﻋﺪم ﺟﻬﺖ ﮔﻴﺮي ﻳﻮنﻫﺎ دﺳﺖ ﻧﻴﺎﻓﺘﻨﻲ اﺳﺖ‪ .‬ﻣﺸﻜﻞ دﻳﮕﺮ در اﻳﻦ ﺳﻴﺴﺘﻢ‪،‬‬
‫ﺻﺪﻣﺎت ﻧﺎﺷﻲ از ﻣﻴﺪان ﻗﻮي ﭘﻼﺳﻤﺎ اﺳﺖ‪ .‬ﺑﺮ اﺛﺮ اﻳﻦ ﻣﻴﺪان ﺑﺎرﻫﺎ در ﺳﻄﺢ وﻳﻔﺮ ﺟﻤﻊ ﺷﺪه و‬
‫ﻋﻤﻠﻜﺮد اﻟﻜﺘﺮﻳﻜﻲ ﻣﺮاد را ﺗﺤﺖ ﺗﺄﺛﻴﺮ ﻗﺮار ﻣﻲدﻫﻨﺪ‪ .‬ﺑﺮاي رﻓﻊ اﻳﻦ ﻣﻮرد از ﻣﺤﺎﻓﻆﻫﺎي ﻓﻠﺰي‬
‫ﺷﺒﻜﻪاي اﺳﺘﻔﺎده ﻣﻲﺷﻮد]‪ .[2‬ﺑﻪ ﻋﻠﺖ ﻣﺸﻜﻼت ﻣﺬﻛﻮر اﻳﻦ ﮔﻮﻧﻪ رآﻛﺘﻮر اﻣﺮوزه ﻛﻤﺘﺮ ﻣﻮرد اﺳﺘﻔﺎده‬
‫ﻗﺮار ﻣﻲﮔﻴﺮﻧﺪ و زداﻳﺶ ﭘﻼﺳﻤﺎﻳﻲ ﺑﻪ ﻃﻮر ﻛﻠﻲ ﺑﻪ ﻛﻤﻚ رآﻛﺘﻮرﻫﺎي ﺻﻔﺤﻪاي ﺻﻮرت ﻣﻲﮔﻴﺮد‪.‬‬
‫رآﻛﺘﻮرﻫﺎي ﺻﻔﺤﻪاي ﺑﺮاي اوﻟﻴﻦ ﺑﺎر در اواﻳﻞ دﻫﻪ ‪ 1970‬ﺑﺮاي ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﺑﺨﺎر ﺷﻴﻤﻴﺎﻳﻲ ‪ 1‬ﺑﻪ‬
‫ﻛﺎر ﮔﺮﻓﺘﻪ ﺷﺪ‪ .‬ﺷﻤﺎي ﺳﺎده اﻳﻦ رآﻛﺘﻮر ﻛﻪ از دو ﺻﻔﺤﻪ اﻟﻜﺘﺮود ﻣﻮازي ﺗﺸﻜﻴﻞ ﺷﺪه اﺳﺖ در ﺷﻜﻞ‬
‫‪ 26‬ﻧﺸﺎن داده ﺷﺪه اﺳﺖ‪ .‬ﻗﺮصﻫﺎي ﻧﻴﻤﻪ ﻫﺎدي روي اﻟﻜﺘﺮودي ﻛﻪ ﻗﺎﺑﻠﻴﺖ ﺳﺮد ﺷﺪن و ﮔﺮم ﺷﺪن‬
‫دارد‪ ،‬ﻗﺮار ﻣﻲﮔﻴﺮﻧﺪ و ﺟﺮﻳﺎن ﮔﺎز در ﻃﻮل ﻣﺤﻔﻈﻪ ﺑﻪ ﺻﻮرت ﺷﻌﺎﻋﻲ ‪ 2‬اﻳﺠﺎد ﻣﻲﺷﻮد ﺗﺎ ﭘﻼﺳﻤﺎي‬
‫ﻛﺎﻣﻼً ﻳﻜﻨﻮاﺧﺖ اﻳﺠﺎد ﺷﻮد‪ .‬ﺗﻮزﻳﻊ ﺟﺮﻳﺎن ﮔﺎز در ﺑﻌﻀﻲ اﻧﻮاع ﻧﻴﺰ ﺑﻪ اﻳﻦ ﺻﻮرت اﺳﺖ ﻛﻪ ﺻﻔﺤﻪ‬
‫ﺑﺎﻻﻳﻲ داراي ﻣﺠﺮاﻫﺎي ﺷﺒﻜﻪاي ﻣﻲﺑﺎﺷﺪ ﺗﺎ ﮔﺎز ﺑﻪ ﺻﻮرت ﺑﺎرﺷﻲ ‪ 3‬وارد دو ﺻﻔﺤﻪ ﺷﻮﻧﺪ ‪.‬‬

‫ﺷﻜﻞ‪ 26‬رآﻛﺘﻮر ﺻﻔﺤﻪاي ﺑﺎ دو ﺻﻔﺤﻪ ﻣﻮازي‪ .‬ﻧﻤﻮﻧﻪﻫﺎ روي ﺻﻔﺤﻪ ﭘﺎﻳﻴﻨﻲ ﻛﻪ زﻣﻴﻦ ﺷﺪه اﺳﺖ ﻗﺮار ﻣﻲﮔﻴﺮﻧﺪ و وﻟﺘﺎژ‬

‫‪ RF‬ﺑﻪ ﺻﻔﺤﻪ ﺑﺎﻻﻳﻲ اﻋﻤﺎل ﻣﻲﺷﻮد‪ .‬ﺑﻪ اﻳﻦ ﺣﺎﻟﺖ ﻛﺎري زداﻳﺶ ﭘﻼﺳﻤﺎ ﮔﻔﺘﻪ ﻣﻲﺷﻮد و در ﺻﻮرﺗﻲ ﻛﻪ ﺗﻮان ‪ RF‬ﺑﻪ ﺻﻔﺤﻪ‬

‫اﻟﻜﺘﺮود ﭘﺎﻳﻴﻨﻲ ‪-‬ﻛﻪ ﺟﺎﻳﮕﺎه ﻗﺮار ﮔﺮﻓﺘﻦ ﻧﻤﻮﻧﻪ اﺳﺖ ‪ -‬اﻋﻤﺎل ﺷﻮد ﻧﺎم "زداﻳﺶ ﻳﻮن ﻓﻌﺎل" ﺑﻪ ﺟﺎي زداﻳﺶ ﭘﻼﺳﻤﺎ اﺳﺘﻔﺎده ﻣﻲ ‪-‬‬

‫ﺷﻮد ]‪.[18‬‬

‫ﻣﻌﻤﻮﻻً اﻟﻜﺘﺮودﻫﺎي رآﻛﺘﻮرﻫﺎ ي ﺻﻔﺤﻪاي ﻫﻢاﻧﺪازه ﻧﻤﻲﺑﺎﺷﺪ و ﻗﺮصﻫﺎي ﻧﻴﻤﻪ ﻫﺎدي ﻣﻌﻤﻮﻻً‬
‫روي اﻟﻜﺘﺮود ﻛﻮﭼﻜﺘﺮ ﻗﺮار ﻣﻲﮔﻴﺮد )ﺷﻜﻞ ‪ .(27‬اﻓﺖ ﭘﺘﺎﻧﺴﻴﻞ ﻏﻼف روي اﻟﻜﺘﺮود ﻛﻮﭼﻜﺘﺮ‪ ،‬ﺑﻴﺸﺘﺮ‬

‫‪1 Chemical vapor deposition‬‬


‫‪2 Radial‬‬
‫‪3 Shower head‬‬
‫‪198‬‬
‫اﺳﺖ و اﻳﻦ اﻣﺮ ﺑﺎﻋﺚ اﻓﺰاﻳﺶ اﻧﺮژي ﻳﻮنﻫﺎ و ﺷﺘﺎب آنﻫﺎ ﺑﺮاي ﺑﻤﺒﺎران ﻗﺮصﻫﺎي ﻧﻴﻤﻪ ﻫﺎدي ﺑﺎ‬
‫ﺟﻬﺖ دﻫﻲ ﺑﻴﺸﺘﺮ ﻣﻴﺸﻮد‪ .‬ﺗﻮان ‪ RF‬ﺑﺎ وﻟﺘﺎژ ﺑﺎﻻ ﻣﻌﻤﻮﻻً ﺑﻪ ﺻﻔﺤﻪ ﻛﻮﭼﻜﺘﺮ اﻋﻤﺎل و ﺻﻔﺤﻪ ﺑﺰرﮔﺘﺮ‬
‫زﻣﻴﻦ ﻣﻲﺷﻮد‪ .‬ﺑﻪ ﻋﻠﺖ وﺟﻮد ﻳﻚ ﺧﺎزن ﺳﺮي در ﻣﺪار ﺧﺎرﺟﻲ رآﻛﺘﻮر‪ ،‬روي ﺻﻔﺤﻪ اﻟﻜﺘﺮودي ﻛﻪ‬
‫ﺗﻮان ‪ RF‬ﺑﻪ آن اﻋﻤﺎل ﻣﻲﺷﻮد‪ ،‬ﻳﻚ وﻟﺘﺎژ ‪ DC‬ﻣﻨﻔﻲ ﻧﺴﺒﺖ ﺑﻪ زﻣﻴﻦ اﻳﺠﺎد ﻣﻲﺷﻮد‪ .‬اﻳﻦ اﻓﺖ وﻟﺘﺎژ و‬
‫ﻫﻤﭽﻨﻴﻦ اﻓﺖ وﻟﺘﺎژ روي ﻏﻼف ﺑﺎ ﻛﺎﻫﺶ ﻓﺸﺎر درون ﻣﺤﻔﻈﻪ اﻓﺰاﻳﺶ ﻣﻲﻳﺎﺑﺪ‪ .‬از آﻧﺠﺎ ﻛﻪ ﺑﺪﻧﻪ‬
‫ﻣﺤﻔﻈﻪ ﻧﻴﺰ زﻣﻴﻦ ﻣﻲﺑﺎﺷﺪ ﻧﺴﺒﺖ ﺑﺰرﮔﻲ ﺻﻔﺤﻪ زﻣﻴﻦ ﻧﺴﺒﺖ ﺑﻪ ﺻﻔﺤﻪ ﻛﻮﭼﻜﺘﺮ اﻓﺰاﻳﺶ ﻣﻲﻳﺎﺑﺪ ﺗﺎ‬
‫اﻓﺖ وﻟﺘﺎژ روي ﺻﻔﺤﻪ ﻛﻮﭼﻜﺘﺮ ﺑﻴﺸﺘﺮ ﺷﻮد‪ .‬ﻣﻌﻤﻮ ﻻً اﻳﻦ ﺳﻴﺴﺘﻢ ﻧﺎﻣﺘﻘﺎرن ﺻﻔﺤﻪاي‪ ،‬ﻛﻪ در ﻓﺸﺎر‬
‫ﭘﺎﻳﻴﻦ ﻛﺎر ﻣﻲﻛﻨﺪ "زداﻳﻨﺪه ﻳﻮن ﻓﻌﺎل ‪ "1‬ﻧﺎﻣﮕﺬاري ﻣﻲﺷﻮد و در ﺻﻮرﺗﻲ ﻛﻪ ﺳﻴﺴﺘﻢ در ﻓﺸﺎر ﺑﺎﻻﺗﺮ‬
‫)ﺑﻴﺸﺘﺮ از ‪ (0/1Torr‬ﻛﺎر ﻛﻨﺪ‪ ،‬آنرا " زداﻳﻨﺪه ﭘﻼﺳﻤﺎﻳﻲ ‪ "2‬ﻣﻲﻧﺎﻣﻨﺪ ‪.‬‬

‫ﺷﻜﻞ ‪ 27‬ﺳﺎﺧﺘﺎر ﻣﺘﻘﺎرن اﻟﻜﺘﺮودﻫﺎ )‪ (a‬در ﻣﻘﺎﻳﺴﻪ ﺑﺎ ﺳﺎﺧﺘﺎر ﻧﺎ ﻣﺘﻘﺎرن اﻟﻜﺘﺮودﻫﺎ )‪ .(b‬در ﺳﺎﺧﺘﺎر ﻧﺎﻣﺘﻘﺎرن ﻏﻼف اﻟﻜﺘﺮود‬

‫ﻛﻮﭼﻜﺘﺮ ﺧﺎزن ﻛﻮﭼﻜﺘﺮي را ﻧﺴﺒﺖ ﺑﻪ ﻏﻼف اﻟﻜﺘﺮود ﺑﺰرﮔﺘﺮ اﻳﺠﺎد ﻣﻲﻛﻨﺪ‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ اﻓﺖ وﻟﺘﺎژ روي ﻏﻼف اﻟﻜﺘﺮود ﻛﻮﭼﻜﺘﺮ‬

‫ﺑﻴﺸﺘﺮ ﻣﻲﺷﻮد و اﻳﻦ اﻣﺮ ﺳﺒﺐ ﺷﺘﺎب ﮔﺮﻓﺘﻦ ﺑﻴﺸﺘﺮ ﻳﻮنﻫﺎي ﻣﺜﺒﺖ روي اﻳﻦ ﻏﻼف ﻣﻲﺷﻮد‪.‬‬

‫ﻣﺸﺨﺺ اﺳﺖ ﻛﻪ در زداﻳﺶ ﭘﻼﺳﻤﺎﻳﻲ ﺑﺮ ﺧﻼف زداﻳﺶ ﻳﻮن ﻓﻌﺎل‪ ،‬ﺑﻤﺒﺎران ﻳﻮنﻫﺎ ﺗﺄﺛﻴ ﺮ ﻛﻤﻲ در‬
‫زداﻳﺶ دارﻧﺪ‪ .‬ﻫﻤﺎﻧﻄﻮر ﻛﻪ ﮔﻔﺘﻪ ﺷﺪ ﺗﻮان ‪ RF‬ﺑﻪ ﻃﻮر ﻣﻌﻤﻮل ﺑﻪ اﻟﻜﺘﺮود ﻛﻮﭼﻜﺘﺮ )اﻟﻜﺘﺮود ﻛﺎﺗﺪ ‪(3‬‬

‫)‪1 Reactive Ion Etcher (RIE‬‬


‫)‪2 Plasma Etcher (PE‬‬
‫‪3 Cathode‬‬
‫‪199‬‬
‫ﻛﻪ داراي وﻟﺘﺎژ ﻣﻨﻔﻲ ﻧﺴﺒﺖ ﺑﻪ اﻟﻜﺘﺮود دﻳﮕﺮ ﻣﻲﺑﺎﺷﺪ اﻋﻤﺎل ﻣﻲﺷﻮد‪ .‬اﮔﺮﭼﻪ اﻟﻜﺘﺮود ﻣﻘﺎﺑﻞ‬
‫اﻟﻜﺘﺮود آﻧﺪ ‪ 1‬ﻣﻲﺑﺎﺷﺪ‪ ،‬وﻟﺘﺎژ آن ﻧﺴﺒﺖ ﺑﻪ ﭘﻼﺳﻤﺎ ﻫﻤﭽﻨﺎن ﻣﻨﻔﻲ اﺳﺖ‪ .‬در زداﻳﺶ ﭘﻼﺳﻤﺎﻳﻲ ﻗﺮص‪-‬‬
‫ﻗﺮصﻫﺎي ﻧﻴﻤﻪ ﻫﺎدي روي اﻟﻜﺘﺮود آﻧﺪ ﻗﺮار ﻣﻲﮔﻴﺮد‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ ﺑﻤﺒﺎران ﻳﻮﻧﻲ ﺗﺄﺛﻴﺮ ﻛﻤﺘﺮي در زداﻳﺶ‬
‫ﺧﻮاﻫﺪ داﺷﺖ‪.‬‬
‫در زداﻳﺶ ﻳﻮن ﻓﻌﺎل‪ ،‬ﻗﺮصﻫﺎي ﻧﻴﻤﻪ ﻫﺎدي روي اﻟﻜﺘﺮودي ﻛﻪ ﺑﻪ آن ﺗﻮان ‪ RF‬ﺑﺎ ﻓﺮﻛﺎﻧﺲ‬
‫‪ 13/56MHz‬اﻋﻤﺎل ﺷﺪه )اﻟﻜﺘﺮود ﻛﻮﭼﻜﺘﺮ و ﻳﺎ ﻛﺎﺗﺪ ( ﻗﺮار ﻣﻲﮔﻴﺮﻧﺪ ‪ .‬اﻳﻦ روش زداﻳﺶ ﻛﺎﻣ ﻼً ﺷﺒﻴﻪ‬
‫ﺳﻴﺴﺘﻢﻫﺎي ﻛﻨﺪوﭘﺎش ﻣﻲﺑﺎﺷﺪ‪ .‬ﺑﻪ ﻋﻠﺖ اﻓﺖ وﻟﺘﺎژ ﺑﻴﺸﺘﺮ روي اﻟﻜﺘﺮود ﻛﺎﺗﺪ‪ ،‬ﺗﺄﺛ ﻴﺮ ﺑﻤﺒﺎران ﻳﻮﻧﻲ و‬
‫در ﻧﺘﻴﺠﻪ ﻧﺎﻫﻤﺴﺎﻧﮕﺮدي اﻓﺰاﻳﺶ ﻣﻲﻳﺎﺑﺪ ]‪.[1,3‬‬

‫‪ - 6- 7- 6‬زداﻳﺶ ﻋﻤﻴﻖ ﻳﻮن ﻓﻌﺎل‬

‫اﻣﻜﺎن زداﻳﺶ ﺳﺎﺧﺘﺎرﻫﺎي ﻋﻤﻴﻖ ﺑﻪ ﺻﻮرت ﻧﺎﻫﻤﺴﺎﻧﮕﺮد در ﻣﺤﻴﻂ ﭘﻼﺳﻤﺎ )ﺧﺼﻮﺻﺎً ﺑﺎ‬
‫دﻳﻮارﻫﺎي ﻋﻤﻮدي( در ﻓﻦآوري رﻳﺰﻣﺎﺷﻴﻦﻛﺎري ﺑﻪ ﭼﻨﺪ دﻟﻴﻞ ﻣﻮرد ﺗﻮﺟﻪ اﺳﺖ‪ .‬اﻳﺠﺎد ﻛﺎﻧﺎلﻫﺎي‬
‫ﻋﺒﻮر ﺳﻴﺎل ‪ 2‬ﻋﻤﻴﻖﺗﺮ و ﺳﺎﺧﺘﺎرﻫﺎي ﻳﻜﻨﻮاﺧﺖ ﺑﺎ ﻧﺴﺒﺖ اﺑﻌﺎد زﻳﺎد‪ ،‬ﺑﺎ اﺳﺘﻔﺎده از ﺧﺼﻮﺻﻴﺎت‬
‫ﻣﻜﺎﻧﻴﻜﻲ ﺳﻴﻠﻴﻜﻮن‪ ،‬از آن ﺟﻤﻠﻪ ﻫﺴﺘﻨﺪ‪ .‬ﺳﻪ روش در ﺣﺎل ﺣﺎﺿﺮ ﺑﺮاي اﻧﺠﺎم زداﻳﺶ ﻋﻤﻴﻖ و‬
‫ﻧﺎﻫﻤﺴﺎﻧﮕﺮد در دﺳﺘﺮس ﻣﻲ ﺑﺎﺷﻨﺪ‪.‬‬
‫زداﻳﺶ ﺑﺮودﺗﻲ ‪ 3‬ﻗﺮص‪ ،‬زداﻳﺶ ﻧﺎﻫﻤﺴﺎﻧﮕﺮد را ﺑﻬﺒﻮد ﻗﺎﺑﻞ ﺗﻮﺟﻬﻲ ﻣﻲﺑﺨﺸﺪ‪ .‬دﺳﺘﮕﺎهﻫﺎي‬
‫ﺗﺠﺎري ﻛﻪ از اﻳﻦ روش اﺳﺘﻔﺎده ﻣﻲ ﻛﻨﻨﺪ در ﺻﻨﻌ ﺖ ﻣﻮﺟﻮدﻧﺪ )ﻣﺎﻧﻨﺪ ‪ Alcatel‬در ‪،San Jose‬‬
‫‪ .( California‬ﺑﺎ اﺳﺘﻔﺎده از ﻧﻴﺘﺮوژن ﻣﺎﻳﻊ و ﺷﺎ رِ ﮔﺎز ﻫﻠﻴﻮم‪ ،‬دﻣﺎي ﻗﺮص در ﻃﻮل زداﻳﺶ در ﺣﺪود‬
‫‪ Cryogenic‬ﺑﺎﻗﻲ ﻣﻲﻣﺎﻧﺪ‪ .‬واﺿﺢ اﺳﺖ ﻛﻪ ﺳﺎزوﻛﺎر اﻳﻦ روش‪ ،‬ﺗﻘﻄﻴﺮ ﮔﺎزﻫﺎي واﻛﻨﺶ دﻫﻨﺪه در‬
‫دﻳﻮارهﻫﺎي ﺳﺎﺧﺘﺎرﻫﺎي زدوده ﺷﺪه اﺳﺖ )ذرات ﺗﻘﻄﻴﺮ ﺷﺪه در ﻛﻒ ﺳﺎﺧﺘﺎرﻫﺎ ﺑﻪ ﻋﻠﺖ ﺑﻤﺒﺎران‬
‫ﻳﻮﻧﻲ از ﺑﻴﻦ ﻣﻲروﻧﺪ(‪ .‬ﻳﻚ ﻣﺴﺌﻠﻪ ﻣﻬﻢ در ارﺗﺒﺎط ﺑﺎ اﻳﻦ ﻧﻮع زداﻳﺶ‪ ،‬اﻳﺰوﻟﻪ ﺷﺪن ﺳﺎﺧﺘﺎرﻫﺎ از‬
‫ﻟﺤﺎظ دﻣﺎﻳﻲ اﺳﺖ ﻛﻪ ﺑﻪ ﻋﻠﺖ زداﻳﺶ و ﻃﺮاﺣﻲ ﺧﺎص آ نﻫﺎ ﻣﻨﺠﺮ ﺑﻪ از دﺳﺖ رﻓﺘﻦ ﺷﺮاﻳﻂ ﺑﻬ ﻴﻨﻪ در‬

‫‪1 Anode‬‬
‫‪2 Fluidic‬‬
‫‪3 Cryogenic‬‬
‫‪200‬‬
‫ﺑﺮﺧﻲ ﻧﻘﺎط ﻣﻲﮔﺮدد‪ .‬ﺑﺎ اﺳﺘﻔﺎده از ‪ SF6‬ﺧﺎﻟﺺ ﻣﺎﺷﻴﻦﻫﺎي ‪ Alcatel‬ﺑﻪ ﻧﺴﺒﺖ اﺑﻌﺎد ‪ 30:1‬در زداﻳﺶ‬
‫ﺧﺸﻚ و ﻧﺎﻫﻤﺴﺎﻧﮕﺮد ﺳﻴﻠﻴﻜﻦ دﺳﺖ ﻳﺎﻓﺘﻪاﻧﺪ و ﻣﻲﺗﻮاﻧﻨﺪ ﻳﻚ ﻗﺮص را ﺑﻪ ﺻﻮرت ﻛﺎﻣﻞ ﺑﺰداﻳﻨﺪ ‪.‬‬

‫زداﻳﺶ ﻋﻤﻴﻖ ﺑﺎ اﺳﺘﻔﺎده از ﻓﻦآوري ‪[9] Bosch‬‬ ‫ﺷﻜﻞ ‪28‬‬

‫در روﺷ ﻲ دﻳﮕﺮ ﻛﻪ ﺑﺎ ﻧﺎم زداﻳﺶ ﻓﻌﺎل ﻋﻤﻴﻖ ﻳﻮﻧﻲ ) ‪ (DRIE‬ﺷﻨﺎﺧﺘﻪ ﻣﻲ ﺷﻮد از ﭘﻼﺳﻤﺎي‬
‫ﺗﺰوﻳﺞ اﻟﻘﺎﻳﻲ و ﻓﺮآﻳﻨﺪﻫﺎي ﭘﺸﺖ ﺳﺮﻫﻢِ زداﻳﺶ و ﻻﻳﻪ ﻧﺸﺎﻧ ﻲ ﭘﻠﻴﻤﺮ ﺑﺮاي دﺳﺘﻴﺎﺑﻲ ﺑﻪ ﻧﺴﺒﺖ اﺑﻌﺎد‬
‫ﺑﻴﺶ از ‪) 100:1‬زاوﻳﻪ دﻳﻮارهﻫﺎ ‪ (90±2°‬و ﻗﺪرت اﻧﺘﺨﺎب ‪ 50~100:1 1‬ﻧﺴﺒﺖ ﺑﻪ ﻣﺤﺎﻓﻆ ﺣﺴﺎس ﺑﻪ‬
‫ﻧﻮر‪ 120~200:1 ،‬ﻧﺴﺒﺖ ﺑﻪ اﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻦ و ﻧﺮخ زداﻳﺶ ‪ 2-3µm/min‬اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد ]‪.[10, 11‬‬
‫اﻳﻦ روش ﻫﻤﺎﻧﮕﻮﻧﻪ ﻛﻪ ﭘﻴﺶ از اﻳﻦ در ﺑﺨﺶ ‪ 3- 2‬ﺗﻮﺿﻴﺢ داده ﺷﺪ ﺑﺮﮔﺮﻓﺘﻪ از روش زداﻳﺶ‬
‫ﺷﻴﻤﻴﺎﻳﻲ ‪-‬ﻳﻮﻧﻲ ﻣﺤﺎﻓﻈﺘﻲ ﻣﻲﺑﺎﺷﺪ‪ .‬ﺣﺪاﻛﺜﺮ ﻋﻤﻖ ﻋﻤﻠﻲِ ﻗﺎﺑﻞ دﺳﺘﻴﺎﺑﻲ ﺑﺎ اﻳﻦ روش در ﺣﺪود ‪1mm‬‬

‫‪1 Selectivity‬‬
‫‪201‬‬
‫اﺳﺖ و ﺑﺮاي اﻳﺠﺎد ﻛﺎﻧﺎلﻫﺎي ﺑﺎ ﻋﻤﻖ دﻗﻴﻖ ﻣﻲﺗﻮان از ﻻﻳﻪ اﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻦ اﺳﺘﻔﺎده ﻧﻤﻮد )ﻣﺜﻼً ﺑﺎ‬
‫اﺗﺼﺎل ﻳﻚ ﻗﺮص اﻛﺴﻴﺪ ﺷﺪه ﺑﻪ دﻳﮕﺮي(‪.‬‬
‫اﻳﺪه ﻓﺮآﻳﻨﺪﻫﺎي زداﻳﺶ و ﻻﻳﻪﻧﺸﺎﻧﻲ ﭘﻠﻴﻤﺮ ﺑﺮاي اوﻟﻴﻦ ﺑﺎر ﺗﻮﺳﻂ ‪ Lärmer‬و ‪ Schilp‬ﺑﻴﺎن ﺷﺪ‬
‫]‪ .[12‬در ﻓﺮآﻳﻨﺪ زداﻳﺶ از ﻣﺨﻠﻮط ‪ SF6‬و آرﮔﻮن و ﺑﺎﻳﺎس ‪ - 5‬ﺗﺎ ‪ - 30‬وﻟﺖ ﻗﺮص ﺑﺮاي ﺷﺘﺎب دادن ﺑﻪ‬
‫ذرات ﺗﻮﻟﻴﺪ ﺷﺪه ﺑﻪ ﺻﻮرت ﻋﻤﻮدي ﺑﻪ ﺳﻤﺖ ﻗﺮص اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ .‬ﭘﺲ از اﻧﺠﺎم ﻳﻚ ﻣﺮﺣﻠﻪ‬
‫زداﻳﺶ در ﻣﺪت زﻣﺎن ﻛﻢ‪ ،‬ﻓﺮآﻳﻨﺪ ﻻﻳﻪﻧﺸﺎﻧﻲ ﭘﻠﻴﻤﺮ آﻏﺎز ﻣﻲ ﺷﻮد‪ :‬ﻣﺨﻠﻮﻃﻲ از ﺗﺘﺮاﻓﻠﻮﺋﻮروﻣﺘﺎن‬
‫)‪ (CHF3‬و آرﮔﻮن ﺑﺮاي اﻳﺠﺎد ﻳﻚ ﻻﻳﻪ ﺑﻪ ﺿﺨﺎﻣﺖ ﺗﻘﺮﻳﺒﻲ ‪ 50nm‬ﺷﺒﻴﻪ ﺑﻪ ﺗﻔﻠﻮن ) ‪ CF2‬ﺑﻪ ﺻﻮرت‬
‫ﭘﻠﻴﻤﺮي( در ﺗﻤﺎم ﺳﻄﻮح ﻣﻮﺟﻮد اﺳﺘﻔﺎده ﻣﻲﺷﻮد )ﻣﻲﺗﻮان از ﺳﺎﻳﺮ ﮔﺎزﻫﺎي ﻓﻠﻮﺋﻮروﻛﺮﺑﻦدار ﻧﻴﺰ‬
‫اﺳﺘﻔﺎده ﻧﻤﻮد( ‪ .‬ﺑﺎ اﺳﺘﻔﺎده از ﺑﺎﻳﺎسِ ﻛﻮﭼﻚ‪ ‬ﻗﺮص و اﻳﺠﺎد ﺑﻤﺒﺎران ﻳﻮﻧﻲ ﺳﻄﺢ در ﻃﻲ ﻓﺮآﻳﻨﺪ ﻻﻳﻪ‪-‬‬
‫ﻧﺸﺎﻧﻲ از ﺗﺸﻜﻴﻞ ﻻﻳﻪ ﭘﻠﻴﻤﺮي در ﺳﻄﻮح اﻓﻘﻲ ﺟﻠﻮﮔﻴﺮي ﻣﻲ ﺷﻮد‪ .‬آﻧﮕﺎه ﻓﺮآﻳﻨﺪ زداﻳﺶ دوﺑﺎره‬
‫ﺗﻜﺮار ﻣﻲﺷﻮد و ﻻﻳﻪي ﻧﺎزك ﭘﻠﻴﻤﺮي ﻛﻪ در ﺳﻄﻮح اﻓﻘﻲ ﺗﺸﻜﻴﻞ ﺷﺪه اﺳﺖ ﺑﻪ ﻋﻠﺖ ﺑﻤ ﺒﺎران ﻳﻮﻧﻲ‬
‫و وﺟﻮد رادﻳﻜﺎلﻫﺎي ﻓﻌﺎل ﻓﻠﻮﺋﻮ ر ﺑﻪ ﺳﺮﻋﺖ از ﺑﻴﻦ ﻣﻲ رود ﺗﺎ زداﻳﺶ ﻗﺮص اداﻣﻪ ﻳﺎﺑﺪ )ﺷﻜﻞ ‪ 28‬را‬
‫ﺑﺒﻴﻨﻴﺪ(‪ .‬درﺟﻪ ﭘﻠﻪاي ﺷﺪن ‪) 1‬اﻟﮕﻮي اﻳﺠﺎد ﺷﺪه در دﻳﻮارهﻫﺎ ﺑﻪ ﻋﻠﺖ ﻫﻤﺴﺎﻧﮕﺮدي زداﻳﺶ در ﻫﺮ‬
‫ﭼﺮﺧﻪ( و ﻧﻴﻤﺮخ زداﻳﺶ ﻧﻴﺰ ﺑﻪ ﺗﺮﻛﻴﺒﺎت ﺷﻴﻤﻴﺎﻳﻲ ﻣﻮرد اﺳﺘﻔﺎده ﺑﺴﺘﮕﻲ دارد‪.‬‬

‫‪[9] DRIE‬‬ ‫ﺷﻜﻞ‪ 29‬اﺛﺮات ﺗﻐﻴﻴﺮ ﻧﺴﺒﺖ اﺑﻌﺎد در ﻧﻴﻤﺮخ زداﻳﺶ ﺑﻪ روش‬

‫‪1 Scalloping‬‬
‫‪202‬‬
‫وات ]‪[9‬‬ ‫ﺷﻜﻞ‪ 30‬واﺑﺴﺘﮕﻲ ﻧﺮخ زداﻳﺶ ﺑﻪ اﺑﻌﺎد ﺷﻜﻞ در ﻳﻚ ﻓﺮآﻳﻨﺪ ﻣﻌﻤﻮل ‪ DRIE‬ﺑﺎ ﺗﻮان ‪600‬‬

‫ﻣﺤﺪودﻳﺖ اﺻﻠﻲ ‪ DRIE‬واﺑﺴﺘﮕﻲ ﻧﺮخ زداﻳﺶ ﺑﻪ ﻧﺴﺒﺖ اﺑﻌﺎد )ﻧﺴﺒﺖ ارﺗﻔﺎع ﺑﻪ ﻋﺮض‬
‫اﻟﮕﻮﻫﺎ( ﻛﺎﻧﺎلﻫﺎي ﻣﻮرد ﻧﻈﺮ اﺳﺖ‪) .‬ﺷﻜﻞﻫﺎي ‪29‬و‪ 30‬را ﺑﺒﻴﻨﻴﺪ(‪ .‬اﻳﻦ اﺛﺮ زداﻳﺶ واﺑﺴﺘﻪ ﺑﻪ ﻧﺴﺒﺖ‬
‫اﺑﻌﺎد ‪ (ARDE) 1‬ﺧﻮاﻧﺪه ﻣﻲ ﺷﻮد و ﻧﺎﺷﻲ از ﻣﺤﺪود ﺷﺪن آﻫﻨﮓ زداﻳﺶ ﺑﻪ ﻋﻠﺖ ﻛﺎﻫﺶ ﺷﺎر ذرات‬
‫ﻓﻌﺎل )رادﻳﻜﺎلﻫﺎي ﻓﻠﻮراﻳﻦ( در ﻧﻮاﺣﻲ ﺑﺴﻴﺎر ﺑﺎرﻳﻚ اﺳﺖ‪ .‬دﺳﺘﮕﺎهﻫﺎي ﺗﺠﺎري ﻣﺒﺘﻨﻲ ﺑﺮ اﻳﻦ روش‬
‫ﺗﻮﺳﻂ ‪ Surface Technology Systems‬در ﻛﺎﻟﻴﻔﺮﻧﻴﺎ و ‪ Plasma Therm Inc.‬در ﻓﻠﻮرﻳﺪا ﺗﻮﻟﻴﺪ‬
‫ﻣﻲﺷﻮﻧﺪ‪.‬‬
‫ﻣﻲﺗﻮان از ﻫﺮ ﻳﻚ از اﻳﻦ دو روش زداﻳﺶ )‪ DRIE‬ﻳﺎ زداﻳﺶ ‪ (Cryogenic‬ﻫﻤﺮاه ﺑﺎ اﺗﺼﺎل‬
‫ﻗﺮصﻫﺎ ﺑﻪ روش ﮔﺪاﺧﺖ ‪ 2‬ﺑﺮاي ﺳﺎﺧﺖ اﻓﺰا رهﻫﺎي ﻣﻜﺎﻧﻴﻜﻲ زﻳﺎدي ﺑﻬﺮه ﺟﺴﺖ ‪ .‬ﻫﻤـﺎﻧﮕﻮﻧﻪ ﻛﻪ در‬
‫ﺷﻜﻞ ‪ 31‬ﻣﻲﺑﻴﻨﻴﺪ‪ ،‬از ﻳﻚ ﻗﺮص ﺑﺮاي اﻳﺠﺎد ﭼﺎﻟﻪﻫﺎﻳﻲ اﺳﺘﻔﺎده ﺷﺪه اﺳﺖ ﻛﻪ روي آنﻫﺎ ﺗﻮﺳﻂ‬
‫ﻗﺮص دوﻣﻲ ﻛﻪ ﺑﺎ روش ﮔﺪاﺧﺖ ﺑﻪ اوﻟﻲ ﻣﺘﺼﻞ ﮔﺮدﻳﺪه ﭘﻮﺷﺎﻧﺪه ﺷﺪه ﺗﺎ ﻓﻀﺎﻫﺎي ﺑﺴﺘﻪاي ﺗﺸﻜﻴﻞ‬
‫ﺷﻮد‪ .‬زداﻳﺶ ﺗﺎ ﻓﺼﻞ ﻣﺸﺘﺮك دو ﻗﺮص )اﺳﺘﻔﺎده از ﻳﻚ ﻻﻳﻪ ﻧﺎزك و ﻣﺪﻓﻮ نِ ‪ (SiO2‬ﺑﺎﻋﺚ آزاد ﺷﺪن‬

‫)‪1 Aspect Ratio Dependant Etching (or Lag‬‬


‫‪2 Fusion‬‬
‫‪203‬‬
‫ﺳﺎﺧﺘﺎرﻫﺎي ﺑﺎﻻي ﺣﻔﺮه ﻣﻲ ﺷﻮد ﻛﻪ ﺗﻮﺳﻂ ﺳﺎﺧﺘﺎرﻫﺎي ﻣﺘﺼﻞ ﺑﻪ اﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻦ ﻧﮕﺎه داﺷﺘﻪ‬
‫ﻣﻲﺷﻮﻧﺪ‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ اﻳﻦ روشﻫﺎ اﻧﻌﻄﺎفﭘﺬﻳﺮي ﺑﺎﻻﻳﻲ در اﺧﺘﻴﺎر ﻣﻬﻨﺪﺳﺎن ﻃﺮاح ﻗﺮار ﻣﻲدﻫﺪ‪.‬‬

‫ﺷﻜﻞ‪ 31‬ﻧﻤﻮﻧﻪﻫﺎﻳﻲ از ﺳﺎﺧﺘﺎرﻫﺎي ﺳﻴﻠﻴﻜﻮﻧﻲ زدوده ﺷﺪه ﺗﻮﺳﻂ روش ‪ (a .DRIE‬ﻃﺮح واره ﻓﺮآﻳﻨﺪ ﺑﻪﻫﻢﭼﺴﺒﺎﻧﺪن ﺑﻪ روش‬

‫ﮔﺪاﺧﺖ‪ .‬ﻗﺮص ﭘﺎﻳﻴﻨﻲ داراي ﭼﺎﻟﻪﻫﺎي از ﭘﻴﺶ اﻳﺠﺎد ﺷﺪه ﻣﻲﺑﺎﺷﺪ و ﺳﺎﺧﺘﺎرﻫﺎي ﻣﺘﺤﺮك و ﺛﺎﺑﺖ ﺑﻪ روش ‪ DRIE‬در ﺳﺎﺧﺘﺎر‬

‫اﻳﺠﺎد ﺷﺪه اﺳﺖ‪ (b .‬ﺗﺼﻮﻳﺮ ‪ SEM‬ﻳﻚ ﻓﻨﺮ ﻛﻪ از ﺳﻴﻠﻴﻜﻦ ﺗﻚ ﺑﻠﻮر ﺑﺎﻻﻳﻲ )در ﺳﺎﺧﺘﺎر ﺑﻪﻫﻢﭼﺴﺒﻴﺪه( ﺑﻪ روش ‪ DRIE‬ﺑﺪﺳﺖ‬

‫آﻣﺪه اﺳﺖ‪ .‬ﭼﺎﻟﻪﻫﺎي ﭘﻴﺶﺳﺎﺧﺘﻪ در ﻗﺮص ﭘﺎﻳﻴﻨﻲ اﻣﻜﺎن ﺟﺎﺑﻪﺟﺎﻳﻲ را ﻓﺮاﻫﻢ ﻣﻲﺳﺎزد‪ (c .‬ﺗﺼﻮﻳﺮ ﻳﻚ ﺷﺘﺎب ﺳﻨﺞ ﺳﻴﻠﻴﻜﻮﻧﻲ‬

‫ﻫﻤﺮاه ﺑﺎ ﻣﺪارات ﭘﺮدازش ‪ CMOS‬ﻣﺮﺑﻮﻃﻪ‪ .‬ﺗﺼﻮﻳﺮ داﺧﻞ ﻛﺎدر ﻳﻜﻲ از اﻧﮕﺸﺖﻫﺎي ﺳﺎﺧﺘﺎر را ﻧﺸﺎن ﻣﻲدﻫﺪ ﻛﻪ ‪ 4µ m‬ﻋﺮض و‬

‫‪ 60µ m‬ارﺗﻔﺎع دارد ]‪.[9‬‬

‫‪204‬‬
‫ﻗﺪرت اﻧﺘﺨﺎب ﺑﺎﻻ ﻧﺴﺒﺖ ﺑﻪ ﻻﻳﻪﻫﺎي دياﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻦ اﻣﻜﺎن زداﻳﺶ ﻛﺎﻧﺎلﻫﺎي ﻋﻤﻴﻖ و‬
‫ﻣﺘﻮﻗﻒ ﺷﺪن در ﻻﻳﻪاي ﻣﺪﻓﻮن از ‪ SiO2‬را ﻓﺮاﻫﻢ ﻣﻲ ﺳﺎزد )ﻣﺜﻼً در ﻗﺮﺻﻬﺎي ﺳﻴﻠﻴﻜﻦ روي ﻋﺎﻳﻖ ‪ (1‬ﺑﺎ‬
‫اﻳﻦ وﺟﻮد ﺑﺎ رﺳﻴﺪن زداﻳﺶ ﺑﻪ ﻻﻳﻪ دياﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻦ ﻣﺪﻓﻮن ﻳﻮنﻫﺎي ﻣﺜﺒﺖ ﺑﺎﻋﺚ ﺑﺎردار ﺷﺪن‬
‫اﻳﻦ ﻻﻳﻪ و اﻧﺤﺮاف ﻳﻮنﻫﺎي ﺑﻌﺪي ﺑﻪ ﻛﻨﺎرهﻫﺎ ﻣﻲﺷﻮﻧﺪ‪ .‬ﺑﻤﺒﺎران ﻳﻮﻧﻲ ﻛﻨﺎرهﻫﺎ‪ ،‬ﻻﻳﻪ ﻣﺤﺎﻓﻆ را در ﭘﺎﻳﻪ‬
‫دﻳﻮارهﻫﺎ ﺗﺨﺮﻳﺐ ﻣﻲﻛﻨﺪ و زﻳﺮ زداﻳﺶ ﺟﺎﻧﺒﻲ ﻧﺎﻣﻄﻠﻮﺑﻲ در اﻣﺘﺪاد ﻓﺼﻞ ﻣﺸﺘﺮك ﺑﻪ وﺟﻮد ﻣﻲآﻳﺪ‬
‫ﻛﻪ ﺷﻜﺎف ‪ 2‬ﻳﺎ ﻓﺎق ‪ 3‬ﺧﻮاﻧﺪه ﻣﻲﺷﻮد ) ﺷﻜﻞ ‪ 32‬را ﺑﺒﻴﻨﻴﺪ(‪ .‬اﻳﻦ ﻣﺴﺄﻟﻪ ﺑﺎ ﻛﺎﻫﺶ ﻓﺮﻛﺎﻧﺲ ‪ RF‬از ‪MHz‬‬

‫‪ 13/56‬ﺑﻪ ‪ 380KHz‬ﻛﻪ اﻧﺮژي ﻳﻮنﻫﺎ را ﻛﺎﻫﺶ ﻣﻲدﻫﺪ ﻣﺮﺗﻔﻊ ﮔﺮدﻳﺪه اﺳﺖ ‪.‬‬
‫روش ‪ DRIE‬روﺷﻲ ﻗﺪرﺗﻤﻨﺪ ﺑﺮاي اﻳﺠﺎد ﻛﺎﻧﺎلﻫﺎي ﻋﻤﻴﻖ ﺑﺎ دﻳﻮارهﻫﺎي ﺗﻘﺮﻳﺒﺎً ﻋﻤﻮدي اﺳﺖ‪.‬‬
‫ﺑﺎ اﻳﻦ ﺣﺎل ﻓﺮآﻳﻨﺪ ﺑﺎﻳﺪ ﺑﺮاي ﻫﺮ اﻟﮕﻮ و ﻋﻤﻖ ﻣﻄﻠﻮب ﺑﻬﻴﻨﻪ ﮔﺮدد‪ ،‬ﻳﻜﻨﻮاﺧﺘﻲ ﻣﻨﺎﺳﺒﻲ ﻫﻤﺮاه ﺑﺎ‬
‫ﺳﺮﻋﺖ ﺑﺎﻻ‪ ،‬ﻧﺎﻫﻤﺴﺎﻧﮕﺮدي ﻣﻄﻠﻮب‪ ،‬درﺟﻪ ﺣﻠﺰوﻧﻲ ﺷﺪن و ﻓﺎق ﻛﻢ ﺣﺎﺻﻞ ﮔﺮدد و ﻣﻌﻤﻮﻻً ﻫﻤﻪ اﻳﻦ‬
‫ﻣﻮارد ﺑﻪ ﺻﻮرت ﻫﻤﺰﻣﺎن ﻗﺎﺑﻞ ﺑﻬﻴﻨﻪ ﺳﺎزي ﻧﻴﺴﺘﻨﺪ ‪.‬‬

‫ﺷﻜﻞ‪ (a 32‬زداﻳﺶ ﺟﺎﻧﺒﻲ در ﻓﺼﻞ ﻣﺸﺘﺮك اﻛﺴﻴﺪ و ﺳﻴﻠﻴﻜﻦ ‪ (b‬از ﺑﻴﻦ رﻓﺘﻦ زﻳﺮ زداﻳﺶ ﺑﺎ اﺳﺘﻔﺎده از ﺗﻐﻴﻴﺮ ﺷﺮاﻳﻂ‬

‫]‪[Surface Technology Systems, Ltd., Newport, United Kingdom‬‬

‫‪1 Silicon On Insulator (SOI) wafers‬‬


‫‪2 Footing‬‬
‫‪3 Notching‬‬
‫‪205‬‬
‫ﺳﻮال( ﭼﻘﺪر اﻟﻜﺘﺮونﻫﺎ ﺳﺮﻳﻊﺗﺮ از ﻳﻮنﻫﺎي ‪ F+‬و ‪ H+‬ﻣﻲﺗﻮاﻧﻨﺪ ﺣﺮﻛﺖ ﻛﻨﻨﺪ؟‬
‫ݍ‪ሬറ‬ܧ = ‪റ‬ܨ‬
‫ﻛﻪ اﻳﻦ ﻣﻴﺪان ﻳﻚ ﺷﺘﺎب اﻳﺠﺎد ﻣﻲﻛﻨﺪ ‪:‬‬
‫‪ிറ‬‬ ‫‪ாሬറ ௤‬‬
‫=‪A‬‬ ‫=‬
‫‪௠‬‬ ‫‪௠‬‬

‫‪ଵ‬‬
‫‪Uniform acceleration ⟹ v = at and y = at2‬‬
‫‪ଶ‬‬

‫‪ଶ௬‬‬ ‫‪ଶ௬௠‬‬ ‫‪ଶ௬௠ ଵ/ଶ‬‬


‫= ‪⇒ t2‬‬ ‫=‬ ‫‪ =ቀ‬ݐ⟹‬ ‫‪ቁ‬‬ ‫‪.‬‬
‫‪௔‬‬ ‫‪௤ா‬‬ ‫‪௤ா‬‬

‫ﺑﺮاي ﻳﻚ ﻣﻴﺪان اﻟﻜﺘﺮﻳﻜﻲ و ﻓﺎﺻﻠﻪي ﻣﺸﺨﺺ )‪ (y‬دارﻳﻢ ‪:‬‬

‫‪௧ಹశ‬‬ ‫‪௠ಹశ ଵ/ଶ ଵ.଺଻∗ଵ଴షమళ ௞௚ ଵ/ଶ‬‬


‫‪=ቀ‬‬ ‫‪ቁ‬‬ ‫‪ቀ‬‬ ‫‪ቁ‬‬ ‫‪= 43‬‬
‫‪௧೐ష‬‬ ‫‪௠೐ష‬‬ ‫‪ଽ.ଵଵ∗ଵ଴షయభ ௞௚‬‬

‫در ﻧﺘﻴﺠﻪ اﻟﻜﺘﺮون ‪ 43‬ﺑﺮاﺑﺮ ﺳﺮﻳﻊﺗﺮ از ﻳﻮن ‪ H+‬ﺣﺮﻛﺖ ﻣﻲﻛﻨﺪ ‪.‬‬


‫ﺑﺮاي ﻳﻮن ‪ F-‬دارﻳﻢ‪:‬‬

‫‪௧ಷశ‬‬ ‫‪௠ಷశ ଵ/ଶ ଵଽ∗ଵ.଺଻∗ଵ଴షమళ ௞௚ ଵ/ଶ‬‬


‫‪=ቀ‬‬ ‫‪ቁ‬‬ ‫‪ቀ‬‬ ‫‪ቁ‬‬ ‫‪= 186‬‬
‫‪௧೐ష‬‬ ‫‪௠೐ష‬‬ ‫‪ଽ.ଵଵ∗ଵ଴షయభ ௞௚‬‬

‫ﻛﻪ ﺑﻴﺎن ﻣﻲ ﻛﻨﺪ ﺳﺮﻋﺖ ﺣﺮﻛﺖ اﻟﻜﺘﺮون ‪ 186‬ﺑﺮاﺑﺮ ﻳﻮن ‪ F-‬ﻣﻲﺑﺎﺷﺪ‪.‬‬

‫ﺳﻮال ( ﭼﻪ ﻣﺴﺎﻓﺘﻲ را ﻳﻚ اﻟﻜﺘﺮون ﻳﺎ ﻳﻚ ﻳﻮن ﻣﻲﺗﻮاﻧﺪ در ﻣﺤﻴﻂ ﭘﻼﺳﻤﺎ ﻃﻲ ﻛﻨﺪ؟ ﺑﺎ ﻓﺮض اﻳﻦ ﻛﻪ‬
‫ﺑﺪاﻧﻴﻢ ﻓﺮﻛﺎﻧﺲ ﻣﻨﺒﻊ ‪ 13.56MHz‬و ﻣﻴﺪان اﻟﻜﺘﺮﻳﻜﻲ ﭘﻼﺳﻤﺎ ‪ 1000ev‬و ﻓﺎﺻﻠﻪ ﺻﻔﺤﺎت ﭘﻼﺳﻤﺎ‬
‫‪ 10cm‬ﻣﻲﺑﺎﺷﺪ ؟‬
‫‪మ‬‬
‫‪ଵ‬‬ ‫‪2‬‬ ‫‪௤ா௧ ᇲ‬‬ ‫‪ଵ‬‬ ‫‪ଵ‬‬
‫= ‪{distance} = y = at′‬‬ ‫= ‪Where t′ = t‬‬
‫‪ଶ‬‬ ‫‪ଶ‬‬ ‫‪ଶ‬‬ ‫‪ଶ௙‬‬

‫‪206‬‬
‫‪௤ா‬‬ ‫‪௤ா‬‬
‫=‪→y‬‬ ‫=‬
‫‪ଶ௠(ଶ௙)^ଶ‬‬ ‫‪଼௠௩^ଶ‬‬

‫ﺑﺮاي ﻳﻚ اﻟﻜﺘﺮون در ﻣﻴﺪان ‪ 13.56MHz rf‬و ‪ 1000eV‬دارﻳﻢ ‪:‬‬

‫‪ሺభబబబሻ೐ೡ‬‬ ‫಻‬
‫‪ቀ ሺబ.భሻ೘ ൫ଵ.଺∗ଵ଴షభవ ൯೐ೇቁ‬‬
‫=‪y‬‬ ‫‪ሺ଼ሻሺଽ.ଵଵ∗ଵ଴షయభ ሻ௞௚ሺଵଷ.ହ଺∗ଵ଴ల ሻమ௦షమ‬‬
‫‪= 1.2 m.‬‬

‫اﻟﻜﺘﺮون در ﻫﺮ ﻧﻴﻢ ﺳﻴﻜﻞ ﺑﻪ ﺻﻔﺤﺎت ﭘﻼﺳﻤﺎ ﻣﻲرﺳﺪ ‪.‬‬


‫ﺑﺮاي ﻳﻚ ﻳﻮن ‪ H+‬در ﻫﻤﺎن ﺷﺮاﻳﻂ دارﻳﻢ ‪:‬‬

‫‪௠೐ష‬‬ ‫‪൫ଽ.ଵଵ×ଵ଴షయభ ൯௞௚‬‬


‫‪yH+‬‬ ‫‪= ye-‬‬ ‫‪= (1.2)m‬‬ ‫‪ሺଵ.଺଻×ଵ଴షమళሻ௞௚‬‬
‫‪= 6.5×10-4 m ≈ 1mm‬‬
‫‪௠ಹశ‬‬

‫در ﻧﺘﻴﺠﻪ ﺑﺮاي ﻳﻮن ‪ H+‬ﻛﻼك ﺳ ﻴﻜﻞﻫﺎي زﻳﺎدي ﻃﻮل ﻣﻲﻛﺸﺪ ﺗﺎ ﺑﻪ ﺻﻔﺤﺎت ﭘﻼﺳﻤﺎ ﺑﺮﺳﺪ ‪.‬‬
‫ﻣﻮﺑﻴﻠﻴﺘﻲ ﺑﺎﻻي اﻟﻜﺘﺮونﻫﺎ ﺑﺎﻋﺚ ﻣﻲﺷﻮد ﻛﻪ ﭘﺘﺎﻧﺴﻴﻞ ﭘﻼﺳﻤﺎ ﻣﺜﺒﺖﺗﺮ از اﻟﻜﺘﺮودﻫﺎ ﺑﺎﺷﺪ‪[17].‬‬

‫‪- 7- 7- 6‬ﭘﻼﺳﻤﺎي ﺑﺎ ﭼﮕﺎﻟﻲ ﺑﺎﻻ‬

‫ﻣﻨﺎﺑﻊ ﭘﻼﺳﻤﺎﻳﻲ ﻛﻪ ﺑﺘﻮاﻧﻨﺪ ﭘﻼﺳﻤﺎي ﺑﺎ ﭼﮕﺎﻟﻲ زﻳﺎد در ﻓﺸﺎر ﻛﻢ ﺗﻮﻟﻴﺪ ﻛﻨﻨﺪ ﺑـﺮاي ﻓﺮاﻳﻨـﺪ زداﻳـﺶ‬
‫ﻣﻨﺎﺳﺐ ﻣﻲﺑﺎﺷﻨﺪ‪ .‬ﺑﺮاي ﻓﺮاﻳﻨﺪ زداﻳﺶ‪ ،‬ﻓﺸﺎر ﻛـﻢ ﻣﺘﻮﺳـﻂ ﻣﺴـﻴﺮ آزاد ﻳﻮﻧﻬـﺎ را زﻳـﺎد ﻣـﻲﻛﻨـﺪ و‬
‫ﺑﺮﺧﻮردﻫﺎي ﭘﺮاﻛﻨﺪﮔﻲ ﻳﻮن ﻫﺎ ﻛﻤﺘﺮ ﺷﺪه ﻛﻪ ﺑﺎﻋﺚ ﻛﻨﺘﺮل ﺑﻴﺸﺘﺮ ﭘﺮوﻓﺎﻳﻞ زداﻳﺶ ﻣﻲﺷﻮد‪ .‬ﭘﻼﺳﻤﺎي‬
‫ﺑﺎ ﭼﮕﺎﻟﻲ زﻳﺎد رادﻳﻜﺎل ﻫﺎي آزاد ﺑﻴﺸﺘﺮي ﺗﻮﻟﻴﺪ ﻣﻲﻛﻨﺪ ﻛﻪ ﻓﺮاﻳﻨﺪ زداﻳﺶ را ﺗﺴﺮﻳﻊ ﻣﻲﻛﻨﺪ‪ .‬دو ﻧﻮع‬
‫ﭘﻼﺳﻤﺎي ﺑﺎ ﭼﮕﺎﻟﻲ ﺑﺎﻻ ﺑﻪ ﻃﻮر ﻣﻌﻤﻮل در ﺻﻨﻌﺖ ﻧﻴﻤﻪ ﻫﺎدي اﺳﺘﻔﺎده ﻣﻲﺷـﻮﻧﺪ‪ICP (inductively :‬‬
‫)‪ coupled plasma‬و ‪ECR (electron cyclotron‬‬
‫در ‪ ICP‬ﭼﻮن ﻣﻴﺪان اﻟﻜﺘﺮﻳﻜﻲ در ﺟﻬﺖ زاوﻳﻪاي ﻣﻲﺑﺎﺷﺪ‪ ،‬اﻟﻜﺘﺮوﻧﻬﺎ ﻫﻢ در ﺟﻬﺘﻲ ﺷﺘﺎب ﻣﻲﮔﻴﺮﻧـﺪ‬
‫ﻛﻪ در ﻧﺘﻴﺠﻪ اﻟﻜﺘﺮوﻧﻬﺎ ﺑﺪون ﺑﺮﺧﻮرد ﺑﺎ دﻳﻮاره ﻣﺤﻔﻈﻪ و ﻳﺎ اﻟﻜﺘﺮود ﻣﺴﺎﻓﺖ ﻃﻮﻻﻧﻲ را ﻃﻲ ﻣﻲﻛﻨﻨﺪ‪.‬‬

‫‪207‬‬
‫در روش دوم‪،‬ذرات ﺑﺎردار در ﻣﻴﺪان ﻣﻐﻨﺎﻃﻴﺴﻲ ﻣﻲﭼﺮﺧﻨـﺪ‪ .‬ﻓﺮﻛـﺎﻧﺲ اﻳـﻦ ﭼـﺮﺧﺶ ‪cyclotron‬‬
‫‪ frequency‬ﻧﺎﻣﻴﺪه ﻣﻲﺷﻮد و ﺑﺎ ﻗﺪرت ﻣﻴﺪان ﻣﻐﻨﺎﻃﻴﺴﻲ ﺗﻌﻴﻴﻦ ﻣﻲﺷﻮد‪ .‬در ﻣﻴﺪان ﻣﻐﻨﺎﻃﻴﺴﻲ‪ ،‬ﺑـﺎ‬
‫ﻓﺮﻛﺎﻧﺲ ﻣﺎﻳﻜﺮوﻳﻮ‪ ،‬ﺗﻮان ﺑﺮاﺑـﺮ ﺑـﺎ ﻓﺮﻛـﺎﻧﺲ ﭼـﺮﺧﺶ اﻟﻜﺘـﺮون‪ cyclotron resonance ،‬اﺳـﺖ و‬
‫اﻟﻜﺘﺮون از ﻣﺎﻳﻜﺮوﻳﻮ اﻧﺮژي ﺟﺬب ﻣﻲﻛﻨﺪ‪ .‬ﺑﺪﻳﻦ ﺻﻮرت ‪ ECR‬ﻣﻲﺗﻮاﻧﺪ در ﻓﺸﺎر ﻛﻢ ﭼﮕﺎﻟﻲ ﺑـﺎﻻ ﺑـﻪ‬
‫وﺟﻮد آورد‪.‬‬

‫ﺷﻜﻞ ‪33‬ﺷﻤﺎﺗﻴﻚ ﺳﻴﺴﺘﻢ زداﻳﺶ ﭘﻼﺳﻤﺎي ﭼﮕﺎﻟﻲ ﺑﺎﻻ ‪ ECR‬و‪ICP‬‬

‫در اداﻣﻪ ﻣﺮاﺣﻞ ﺳﺎﺧﺖ ﻳﻚ ﻣﺎﺳﻔﺖ را ﺑﺮرﺳﻲ ﻛﺮده و ﻧﻜﺎت ﻣﺮﺑﻮط ﺑـﻪ زداﻳـﺶ ﻫـﺮ ﻣﺮﺣﻠـﻪ را در‬
‫دﻧﻴﺎي واﻗﻌﻲ ﺑﺮ ﻣﻲ ﺷﻤﺎرﻳﻢ‪.‬‬

‫‪208‬‬
‫‪(1‬آﻣﺎده ﺳﺎزي زﻳﺮ ﻻﻳﻪي ﺳﻴﻠﻴﻜﻮﻧﻲ‬

‫‪(2‬ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﺿﺨﻴﻢ اﻛﺴﻴﺪ‬

‫‪ (3‬ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻣﺎدهي ﺣﺴﺎس ﺑﻪ ﻧﻮر ﺑﻪ ﻣﻨﻈﻮر ﻣﺸﺨﺺ ﻛﺮدن ﻣﻜﺎن ﻣﺎﺳﻔﺖ‬

‫‪209‬‬
‫‪ (4‬ﻧﻮردﻫﻲ ﺑﻪ ﻣﺎدهي ﺣﺴﺎس ﺑﻪ ﻧﻮر و ﻇﻬﻮر آن‬

‫‪ (5‬زداﻳﺶ ﻻﻳﻪي اﻛﺴﻴﺪ‬

‫‪ (6‬زداﻳﺶ و ﺑﺮداﺷﺘﻦ ﻻﻳﻪي ﺣﺴﺎس ﺑﻪ ﻧﻮر‬

‫‪210‬‬
‫‪ (7‬رﺷﺪ اﻛﺴﻴﺪ ﺑﺴﻴﺎر ﻧﺎزك ﮔﻴﺖ ) ﻛﻤﺘﺮ از ‪ 10nm‬ﺿﺨﺎﻣﺖ (‬

‫‪ (8‬اﻟﮕﻮدﻫﻲ و زداﻳﺶ اﻛﺴﻴﺪ ﮔﻴﺖ ﺑﺮاي اﻳﺠﺎد ﻧﺎﺣﻴﻪي ﮔﻴﺖ‬

‫‪(9‬ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﭘﻠﻲ ﺳﻴﻠﻴﻜﻮن ﺑﺮاي اﻳﺠﺎد اﺗﺼﺎل ﮔﻴﺖ‬

‫‪211‬‬
‫‪ (10‬اﻟﮕﻮدﻫﻲ و زداﻳﺶ ﻻﻳﻪي ﭘﻠﻲ ﺳﻴﻠﻴﻜﻮن‬

‫‪ (11‬ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻣﺎدهي ﺣﺴﺎس ﺑﻪ ﻧﻮر و اﻳﺠﺎد ﻧﻮاﺣﻲ ﺳﻮ رس و درﻳﻦ ﺑﺎ آﻻﻳﺶ‬

‫ﻧﺸﺎﻧﻲ‬ ‫‪(12‬ﻻﻳﻪ‬
‫ﻧﻴﺘﺮﻳﺪ ﺳﻴﻠﻴﻜﻮن ﺑﺮاي ﻣﺤﺎﻓﻈﺖ‬

‫‪212‬‬
‫‪(13‬زداﻳﺶ ﻻﻳﻪي ﻧﻴﺘﺮﻳﺪ ﺳﻴﻠﻴﻜﻮن ﺑﺮاي اﻳﺠﺎد اﺗﺼﺎﻻت‬

‫‪(14‬ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻓﻠﺰ اﺗﺼﺎﻻت‬

‫و ﻃﺮح ﻧﻬﺎﻳﻲ ‪:‬‬

‫‪213‬‬
‫زداﻳﺶ ﻫﺮ ﻳﻚ از ﻣﺎد ﻓﻮق داراي ﻣﻼﺣﻈﺎﺗﻲ ﻣﻲﺑﺎﺷﺪ ﻛﻪ در اداﻣﻪ ﺑﻪ آن ﻣﻲﭘﺮدازﻳﻢ‪:‬‬

‫‪- 8- 7- 6‬زداﻳﺶ دي اﻟﻜﺘﺮﻳﻚ‬

‫ﺑﻴﺸﺘﺮ ﻓﺮاﻳﻨﺪﻫﺎي زداﻳﺶ دي اﻟﻜﺘﺮﻳﻚ از ﺑﻤﺒﺎران ﻳﻮﻧﻲ ﻓﻠﻮﺋﻮر اﺳﺘﻔﺎده ﻣﻲﻛﻨﻨـﺪ‪ .‬ﮔﺎزﻫـﺎي ﻣـﻮرد‬
‫اﺳﺘﻔﺎده ﺑﺮاي اﻳﻦ زداﻳﺶ ﮔﺎزﻫـﺎي ﻓﻠﻮﺋـﻮروﻛﺮﺑﻦ ﻣﺎﻧﻨـﺪ ‪ C2F6 ،CHF3 ،CF4‬و ‪ C3F8‬ﻣـﻲﺑﺎﺷـﻨﺪ‪ .‬در‬
‫ﺷﺮاﻳﻂ ﻋﺎدي ﺗﺮﻛﻴﺒﺎت ﻓﻠﻮﺋﻮر ﺑﺴﻴﺎر ﭘﺎﻳﺪار ﻫﺴﺘﻨﺪ و ﺑﺎ دي اﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻮن و ﻳﺎ ﻧﻴﺘﺮﻳﺪ ﺳـﻴﻠﻴﻜﻮن‬
‫واﻛﻨﺶ ﻧﻤﻲدﻫﻨﺪ‪ .‬در ﭘﻼﺳﻤﺎ ﻛﺮﺑﻦ ﻓﻠﻮﺋﻮراﻳﺪ ﺗﻔﻜﻴﻚ ﻣـﻲﺷـﻮد و رادﻳﻜﺎﻟﻬـﺎي آزاد ﻓﻌـﺎل ﺗﻮﻟﻴـﺪ‬
‫ﻣﻲﻛﻨﺪ‪ .‬اﻳﻦ رادﻳﻜﺎﻟﻬﺎ ﺑﺎ دي اﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻮن و ﻳﺎ ﻧﻴﺘﺮﻳﺪ ﺳﻴﻠﻴﻜﻮن واﻛﻨﺶ ﺷﻴﻤﻴﺎﻳﻲ ﻣﻲدﻫﻨـﺪ ﺗـﺎ‬
‫ﺗﺘﺮا ﻓﻠﻮﺋﻮراﻳﺪ ﺳﻴﻠﻴﻜﻮن ﻓﺮار ﺗﺸﻜﻴﻞ ﺷﻮد و ﺳﻄﺢ وﻳﻔﺮ را ﺗﺮك ﻛﻨﺪ‪ .‬آرﮔﻮن ﻧﻴﺰ ﺑﺮاي زداﻳـﺶ دي‬
‫اﻟﻜﺘﺮﻳﻚ اﺳﺘﻔﺎده ﻣﻲﺷﻮد ﺗﺎ ﺑﻤﺒﺎران ﻳﻮﻧﻲ را اﻓﺰاﻳﺶ دﻫﺪ و اﻳﻦ‪ ،‬ﺑﻪ اﻓﺰاﻳﺶ ﻧـﺮخ زداﻳـﺶ ﻛﻤـﻚ‬
‫ﻣﻲﻛﻨﺪ و ﺑﺎ ﺷﻜﺴﺘﻦ ﭘﻴﻮﻧﺪﻫﺎي ﻗﻮي ‪ Si-O‬و ‪ Si-N‬ﺑﻪ ﻳﻚ زداﻳﺶ ﻧﺎ ﻫﻤﺴـﺎﻧﮕﺮد دﺳـﺖ ﻣـﻲﻳـﺎﺑﻴﻢ‪.‬‬
‫ﻣﻲﺗﻮان ﺑﺎ اﻓﺰودن اﻛﺴﻴﮋن و واﻛﻨﺶ آن ﺑﺎ ﻛﺮﺑﻦ رادﻳﻜﺎل ﻫﺎي آزاد ﺑﻴﺸـﺘﺮي ﺗﻮﻟﻴـﺪ ﻧﻤـﻮد و ﻧـﺮخ‬
‫زداﻳﺶ را ﺑﺎﻻ ﺑﺮد‪ .‬اﻣﺎ ﺑﻪ ﻫﺮ ﺣﺎل‪ ،‬اﺿﺎﻓﻪ ﻛﺮدن اﻛﺴﻴﮋن اﻧﺘﺨﺎب ﭘـﺬﻳﺮي ﺑـﻴﻦ ﺳـﻴﻠﻴﻜﻦ و ﻣـﺎدهي‬
‫ﺣﺴﺎس ﺑﻪ ﻧﻮر را ﺗﺤﺖ ﺗﺄﺛﻴﺮ ﻗﺮار ﻣﻲدﻫﺪ‪.‬ﺑﺮاي رﻓﻊ اﻳﻦ ﻣﺸﻜﻞ اﺿﺎﻓﻪ ﻛـﺮدن ﻫﻴـﺪروژن ﭘﻴﺸـﻨﻬﺎد‬
‫ﺷﺪه اﺳﺖ‪.‬‬
‫‪214‬‬
‫ﺑﺮاي زداﻳﺶ دي اﻟﻜﺘﺮﻳﻚ ‪ ،‬ﻧﺴﺒﺖ ‪ F/C‬اﻫﻤﻴﺖ زﻳﺎدي در اﻧﺘﺨﺎب ﭘﺬﻳﺮي دارد‪ .‬ﻫﻨﮕﺎﻣﻲ ﻛﻪ ‪F/C<2‬‬
‫ﺑﺎﺷﺪ ‪ ،‬ﻓﺮاﻳﻨﺪ ﭘﻠﻴﻤﺮﻳﺰاﺳﻴﻮن ﺻﻮرت ﻣﻲﮔﻴﺮد و ﻳﻚ ﭘﻠﻴﻤﺮ ﺷﺒﻴﻪ ﺗﻔﻠﻮن در ﻣﺤﻔﻈﻪ ﻣـﻲﻧﺸـﻴﻨﺪ‪ .‬در‬
‫ﭘﻼﺳﻤﺎي ‪ ،CF4‬ﻧﺴﺒﺖ ‪ F/C‬در اﺑﺘﺪا ‪ 4:1‬ﻣﻲﺑﺎﺷﺪ‪.‬‬

‫ﺷﻜﻞ ‪ 34‬راﺑﻄﻪ ﺑﻴﻦ ﻧﺴﺒﺖ ‪ DC Bias ،F/C‬و ﭘﻠﻴﻤﺮﻳﺰاﺳﻴﻮن‬

‫در ﭘﻼﺳﻤﺎ ‪ CF4‬ﺑﻪ ‪ CF3‬و ‪ F‬ﺗﻔﻜﻴﻚ ﻣﻲﺷﻮد‪ .‬از آﻧﺠﺎ ﻛﻪ ‪ F‬در ﻓﺮاﻳﻨﺪ زداﻳﺶ ﻣﺼﺮف ﻣﻲﺷﻮد‪ CF3 ،‬ﺑﻪ‬
‫ﺗﻔﻜﻴﻚ ﺷﺪن ﺑﻪ ‪ CF2‬اداﻣﻪ ﻣﻲدﻫﺪ‪ .‬اﻳﻦ دﻧﺒﺎﻟﻪ ﻧﺴﺒﺖ ‪ F/C‬را در داﺧﻞ ﻣﺤﻔﻈﻪ ﻛـﺎﻫﺶ ﻣـﻲدﻫـﺪ‪.‬‬
‫ﻫﻨﮕﺎﻣﻲ ﻣﻘﺪار زﻳﺎدي از ‪ CF2‬در ﻳﻚ زﻧﺠﻴﺮه ﺑﻪ ﻫﻢ ﻣﺘﺼﻞ ﺷﺪﻧﺪ‪ ،‬ﻣﻮﺟﺐ ﭘﻠﻴﻤﺮﻳﺰاﺳﻴﻮن ﻣـﻲﺷـﻮد‪.‬‬
‫ﺑﻤﺒﺎران ﻳﻮﻧﻲ ﻣﻲﺗﻮاﻧﺪ ﺑﺎ ﺟﺪا ﻛﺮدن ﭘﻠﻴﻤﺮ ﻗﺒﻞ از آﻧﻜﻪ ﺗﺸﻜﻴﻞ ﻳﻚ ﻓـﻴﻠﻢ ﭘﻴﻮﺳـﺘﻪ را ﺑﺪﻫـﺪ ﻣـﺎﻧﻊ‬
‫ﭘﻠﻴﻤﺮﻳﺰاﺳﻴﻮن ﺷﻮد‪.‬‬
‫ﺑﺮاي زداﻳﺶ اﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻮن‪ ،‬ﻧﺴﺒﺖ ‪ F/C‬ﻣﻌﻤﻮﻻً در ﻧﺎﺣﻴﻪ زداﻳﺶ در ﻧﺰدﻳﻜﻲ ﻣﺮز ﭘﻠﻴﻤﺮﻳﺰاﺳﻴﻮن‬
‫اﻧﺘﺨﺎب ﻣﻲﺷﻮد‪ .‬ﻓﻠﻮﺋﻮر ﺳﻴﻠﻴﻜﻮن را ﻣﻲزداﻳﺪ و اﻛﺴـﻴﮋن ﻣﺤﺼـﻮل ﺑـﺎ ﻛـﺮﺑﻦ ﺗﺸـﻜﻴﻞ ‪ CO‬و ‪CO2‬‬
‫ﻣﻲدﻫﺪ و ﻧﺴﺒﺖ ‪ F/C‬را در رژﻳﻢ زداﻳﺶ ﻧﮕﻪ ﻣـﻲدارد‪ .‬ﻫﻨﮕـﺎﻣﻲ ﻛـﻪ ﻓﺮاﻳﻨـﺪ زداﻳـﺶ ﺑـﻪ ﺳـﻄﺢ‬
‫ﺳﻴﻠﻴﻜﻮن ﻳﺎ ﺳﻴﻠﻴﺴﺎﻳﺪ)ﺗﺮﻛﻴﺒﺎت ﻓﻠﺰي ﺳﻴﻠﻴﻜﻮن( ﺑﺮﺳﺪ‪ ،‬ﻓﻠﻮﺋﻮر ﻣﺼﺮف ﻣﻲﺷﻮد وﻟـﻲ ﻛـﺮﺑﻦ ﺑـﺎﻗﻲ‬
‫ﻣﻲﻣﺎﻧﺪ ﭼﻮن اﻛﺴﻴﮋن در اﻳﻦ ﻻﻳﻪ وﺟﻮد ﻧﺪارد‪ ، .‬ﻧﺴﺒﺖ ‪ F/C‬ﻛﺎﻫﺶ ﻣﻲﻳﺎﺑﺪ و داﺧﻞ ﻣﺤﻔﻈﻪ ﻓﺮآﻳﻨﺪ‬
‫ﭘﻠﻴﻤﺮﻳﺰاﺳﻴﻮن ﺑﻪ وﻗﻮع ﻣﻲﭘﻴﻮﻧﺪد و ﭘﻠﻴﻤﺮ ﺑﺮ روي ﺳﻄﺢ ﻣـﻲﻧﺸـﻴﻨﺪ ﻛـﻪ ﻣﻮﺟـﺐ ﺗﻮﻗـﻒ زداﻳـﺶ‬
‫ﻣﻲﺷﻮد ‪ .‬ﺑﺎ اﻳﻦ ﻣﻜﺎﻧﻴﺴﻢ اﻧﺘﺨﺎب ﭘﺬﻳﺮي زﻳﺎد اﻛﺴﻴﺪ ﻧﺴﺒﺖ ﺑﻪ ﺳﻴﻠﻴﻜﻦ ﻳﺎ ﺳﻴﻠﻴﺴﺎﻳﺪ ﻗﺎﺑﻞ ﺣﺼـﻮل‬
‫اﺳﺖ‪.‬‬

‫‪215‬‬
‫‪ - 9- 7- 6‬زداﻳﺶ ‪Photoresist‬‬

‫زداﻳﺶ ‪ Photoresist‬ﻣﺎﻧﻨﺪ زداﻳﺶ ﻻﻳﻪﻫﺎي زﻳﺮﻳﻦ ﻓﻮﺗﻮرزﻳﺴﺖ ﻣﻲﺑﺎﺷﺪ ﻛﻪ ﻗﺒﻼً ﺑﺤﺚ ﺷﺪ‪ .‬ﺑﺮاي‬
‫اﻳﻦ ﻛﺎر اﺑﺘﺪا وﻳﻔﺮﻫﺎ را داﺧﻞ اﺗﺎﻗﻚ ‪ 1‬ﭘﻼﺳﻤﺎ ﻗﺮار ﻣﻲدﻫﻨﺪ و ﺑﻌﺪ اﻛﺴﻴﮋن را وارد ﻣﻲﻛﻨﻨﺪ‪ .‬ﻛﻪ‬
‫اﻛﺴﻴﮋن ﺑﺎ ﻓﻮﺗﻮرزﻳﺴﺖ ﺗﺮﻛﻴﺐ ﺷﺪه و ﮔﺎزﻫﺎﻳﻲ ﺗﻮﻟﻴﺪ ﻣﻲ ﺷﻮد ﻛﻪ از ﺳﻄﺢ وﻳﻔﺮ ﺟﺪا ﻣﻲ ﺷﻮﻧﺪ‪.‬‬

‫‪CxHy (resist) + O2 (plasma energized) → CO (gas) + CO2 (gas) + H2O‬‬

‫ﻣﺰﻳﺖ زداﻳﺶ ﭘﻼﺳﻤﺎي رزﻳﺴﺖ اﻳﻦ اﺳﺖ ﻛﻪ دﻳﮕﺮ ﻣـﻮاد ﻣﻀـﺮ ﻛـﻪ در زداﻳـﺶ ﺷـﻴﻤﻴﺎﻳﻲ ﺑـﺮاي‬
‫ﺑﺮداﺷﺘﻦ رزﻳﺴﺖ اﺳﺘﻔﺎده ﻣﻲﺷﺪﻧﺪ وﺟﻮد ﻧﺪارﻧﺪ‪ .‬ﻋﻴﺐ ﻋﻤﺪه اﻳﻦ روش ﻫﻢ اﻳﻦ اﺳﺖ ﻛﻪ ﻳﻮنﻫـﺎي‬
‫ﻓﻠﺰي را ﻧﻤﻲﺗﻮان ﺑﺮداﺷﺖ ﻳﺎ ﺑﻪ ﺳﺨﺘﻲ اﻳﻦ ﻛﺎر اﻧﺠﺎم ﻣﻲﺷﻮد‪ .‬اﻧﺮژي ﻛﺎﻓﻲ در ﻣﻴﺪان ﭘﻼﺳـﻤﺎ ﺑـﺮاي‬
‫ﺑﺮداﺷﺘﻦ ﻳﻮنﻫﺎي ﻓﻠﺰي وﺟﻮد ﻧﺪارد‪.‬‬
‫ﻳﻜﻲ دﻳﮕﺮ از ﻣﻮاردي ﻛﻪ ﺑﺎﻳﺪ ﻣﺪ ﻧﻈﺮ داﺷﺖ اﻳﻦ اﺳﺖ ﻛﻪ ﺗﺸﻌﺸﻊ رادﻳﻮي ﻛﻪ در ﻣﻴﺪان ﭘﻼﺳـﻤﺎ ﺑـﺎ‬
‫اﻧﺮژي ﺑﺎﻻ وﺟﻮد دارد ﺑﻪ ﻣﺪارات ﻣﺎ آﺳﻴﺐ ﻣﻲزﻧﻨﺪ‪.‬‬
‫ﺟﺎﻳﮕﺰﻳﻦ ﻛﺮدن روش زداﻳﺶ ﻣﺮﻃﻮب رزﻳﺴﺖ ﺑﺎ روش ﻫﺎي ﭘﻼﺳﻤﺎ در ﺻﻨﻌﺖ ﻣﻄﻠﻮب ﻣﻲﺑﺎﺷﺪ‪ .‬ﻫـﺮ‬
‫ﭼﻨﺪ‪ ،‬ﻧﺎﺗﻮاﻧﻲ زداﻳﺶ ﭘﻼﺳﻤﺎ در ﺑﺮداﺷﺘﻦ ﻳﻮنﻫﺎي ﻓﻠﺰي و ﺗﺸﻌﺸﻊ رادﻳﻮﻳﻲ ﭘﻼﺳﻤﺎ ﻋﻴﺐ ﻣﻲﺑﺎﺷﻨﺪ‪،‬‬
‫از ﻫﺮ دو روش زداﻳﺶ ﭘﻼﺳﻤﺎ و ﻣﺮﻃﻮب ﻣﻌﻤﻮﻻً ﺑﺮاي اﻳﻦ ﻛﺎر اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ .‬ﺑـﺪﻳﻦ ﺗﺮﺗﻴـﺐ ﻛـﻪ‬
‫اﺑﺘﺪا ﺑﺎ زداﻳﺶ ﭘﻼﺳﻤﺎ ﻻﻳﻪﻫﺎي ﻣﺤﻜﻢ رزﻳﺴﺖ ﺑﺮداﺷﺘﻪ ﻣﻲﺷﻮد ﺳﭙﺲ ﺑﻪ وﺳﻴﻠﻪي زداﻳﺶ ﻣﺮﻃـﻮب‬
‫ﭘﺲ ﻣﺎﻧﺪهﻫﺎﻳﻲ ﻛﻪ ﺑﺮداﺷﺘﻪ ﻧﺸﺪه اﻧﺪ ﺑﺮداﺷﺘﻪ ﻣﻲﺷﻮﻧﺪ‪.‬‬

‫‪ - 10- 7- 6‬زداﻳﺶ ﺳﻴﻠﻴﻜﻮن ‪single crystal‬‬

‫زداﻳﺶ ﺳﻴﻠﻴﻜﻮن ﺗﻚ ﻛﺮﻳﺴﺘﺎل ﺑﺮاي ﺗﺸﻜﻴﻞ )‪ shallow trench isolation(STI‬ﻛﻪ در‪IC‬ﻫﺎي زﻳﺮ‬
‫ﻧﻴﻢ ﻣﺎﻳﻜﺮون اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ ،‬ﻻزم اﺳﺖ‪ STI .‬ﺟﺎﻳﮕﺰﻳﻦ )‪،local oxidation of silicon (LOCOS‬‬
‫ﺑﺮاي اﻳﺰوﻟﻪ ﻛﺮدن ﻗﻄﻌﺎت ﻛﻨﺎر ﻫﻢ ﻣﻲﺑﺎﺷﺪ‪ ،‬ﭼﻮن در ‪ STI‬ﺳﻄﺢ ﺻﺎفﺗﺮ از ‪ LOCOS‬ﻣﻲﺑﺎﺷـﺪ و اﺛـﺮ‬
‫‪ Bird’s Beak‬وﺟﻮد ﻧﺪارد‪ .‬زداﻳﺶ ﺳﻴﻠﻴﻜﻦ ﺗﻚ ﻛﺮﻳﺴﺘﺎل ﻫﻤﭽﻨـﻴﻦ ﺑـﺮاي اﻳﺠـﺎد ﺧـﺎزن ‪deep‬‬
‫‪ trench‬در ﭼﻴﭙﻬﺎي ‪ DRAM‬اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪.‬‬

‫‪1 Chamber‬‬
‫‪216‬‬
‫ﺷﻜﻞ ‪ 35‬زداﻳﺶ ﺳﻴﻠﻴﻜﻦ ﺗﻚ ﻛﺮﻳﺴﺘﺎل ﺑﺮاي ﺗﺸﻜﻴﻞ ﺣﻔﺮه ﮔﻮد ﺧﺎزن‬

‫ﺑﺮاي زداﻳﺶ ﺳﻴﻠﻴﻜﻮن ﺗﻚ ﻛﺮﻳﺴﺘﺎل ﻣﻌﻤﻮﻻً ﺑﺮاي ﺟﻠـﻮﮔﻴﺮي از آﻟـﻮدﮔﻲ‪ ،‬از ﭘﻮﺷـﺶ دي اﻛﺴـﻴﺪ‬
‫ﺳﻴﻠﻴﻜﻮن و ﻳﺎ ﻧﻴﺘﺮﻳﺪ ﺳﻴﻠﻴﻜﻮن ﺑﺠﺎي ﻓﺘﻮرزﻳﺴﺖ اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ .‬روش زداﻳﺶ ﺷﺒﻴﻪ ﺑـﻪ ﺣﺎﻟـﺖ‬
‫زداﻳﺶ ﺷﻴﻤﻴﺎﻳﻲ ‪ -‬ﻣﺤﺎﻓﻈﺘﻲ اﺳﺖ‪.‬زداﻳﻨﺪه اﺻﻠﻲ ‪ HBr‬ﻣﻲﺑﺎﺷﺪ و ﺑﺮاي ﺣﻔﺎﻇﺖ از دﻳﻮارهﻫﺎ ‪ O2‬ﺑﻜﺎر‬
‫ﻣﻲرود‪ .‬در ﭘﻼﺳﻤﺎ‪ ،‬ﮔﺎز ‪ HBr‬ﺗﻔﻜﻴﻚ ﺷﺪه و رادﻳﻜﺎل ﻫﺎي ﺑﺮم آزاد ﻣـﻲﺷـﻮﻧﺪ ﻛـﻪ ﻣـﻲﺗﻮاﻧﻨـﺪ ﺑـﺎ‬
‫ﺳﻴﻠﻴﻜﻮن واﻛﻨﺶ داده و ﺳﻴﻠﻴﻜﻮن ﺗﺘﺮا ﺑﺮﻣﺎﻳﺪ ﺗﻮﻟﻴﺪ ﻛﻨﻨﺪ‪ .‬اﻛﺴﻴﮋن دﻳﻮارهﻫﺎ را اﻛﺴﻴﺪ ﻣﻲﻛﻨﺪ ﺗـﺎ‬
‫ﺳﻴﻠﻴﻜﻮن را در ﻣﻘﺎﺑﻞ رادﻳﻜﺎلﻫﺎي ﺑﺮم ﻣﺤﺎﻓﻈﺖ ﻛﻨﺪ و از زداﻳﺶ دﻳـﻮارهﻫـﺎ ﺟﻠـﻮﮔﻴﺮي ﺷـﻮد‪ .‬در‬
‫اﻧﺘﻬﺎي ﭼﺎﻟﻪ ﻫﺎ ‪ 1‬ﺑﻤﺒﺎران ﻳﻮﻧﻲ از رﺷﺪ اﻛﺴﻴﺪ ﺟﻠﻮﮔﻴﺮي ﻣﻲﻛﻨﺪ‪ .‬ﺑﻨـﺎﺑﺮاﻳﻦ زداﻳـﺶ ﻓﻘـﻂ در ﺟﻬـﺖ‬
‫ﻋﻤﻮدي اداﻣﻪ ﻣﻲﻳﺎﺑﺪ‪ .‬زداﻳﺶ ﺳﻴﻠﻴﻜﻦ ﺗﻚ ﻛﺮﻳﺴﺘﺎل دو ﺑﺨﺶ دارد‪ :‬اﺑﺘﺪا دي اﻛﺴﻴﺪ ﺳـﻴﻠﻴﻜﻮن از‬
‫روي ﺳﻄﺢ ﺗﻮﺳﻂ ﺗﺮﻛﻴﺒﺎت ﻓﻠﻮﺋﻮر و ﺑﻤﺒﺎران ﻳﻮﻧﻲ ﺳﻨﮕﻴﻦ ﺑﺮداﺷﺘﻪ ﻣﻲﺷﻮد‪ ،‬ﺳﭙﺲ زداﻳﺶ اﺻﻠﻲ ﺑﺎ‬
‫‪ HBr‬و ‪ O2‬ﻛﻪ در ﻫﻠﻴﻮم ﺗﺎ ‪ 30%‬رﻗﻴﻖ ﺷﺪه اﺳﺖ آﻏﺎز ﻣﻲﺷﻮد‪ .‬ﺑﻌﺪ از اﺗﻤﺎم ﻓﺮاﻳﻨﺪ وﻳﻔﺮ اﺣﺘﻴﺎج ﺑـﻪ‬
‫ﭘﺎﻛﺴﺎزي ﺗﺮ ﺑﺮاي ﺑﺮداﺷﺘﻦ رﺳﻮب روي دﻳﻮاره ﻫﺎ دارد‪ .‬ﺗﻔﺎوت زداﻳﺶ ﺳﻴﻠﻴﻜﻦ ﺗـﻚ ﻛﺮﻳﺴـﺘﺎل از‬
‫ﺑﻘﻴﻪ ﻓﺮاﻳﻨﺪﻫﺎي زداﻳﺶ ﺧﺸﻚ آن اﺳﺖ ﻛﻪ در اﻳﻦ ﻣﺮﺣﻠﻪ زﻳﺮ ﻻﻳﻪ ﺧﻮد ﺳﻴﻠﻴﻜﻮن اﺳﺖ ‪ ،‬ﺑﻨـﺎﺑﺮاﻳﻦ‬
‫ﻧﻤﻲﺗﻮان ﺑﺎ ﺗﺸﺨﻴﺺ از ﻃﺮﻳﻖ ﺳﻴﮕﻨﺎل ﻫﺎي ﻧﻮري ﻓﺮآﻳﻨﺪ را ﺧﺎﺗﻤﻪ داد و ﻣﻌﻤﻮﻻً ﺑﺎ زﻣﺎن‪ ،‬ﺗﺸـﺨﻴﺺ‬
‫ﻧﻘﻄﻪ ﭘﺎﻳﺎن اﻣﻜﺎن ﭘﺬﻳﺮ اﺳﺖ‪.‬‬

‫‪1 Trench‬‬
‫‪217‬‬
‫‪ - 11- 7- 6‬زداﻳﺶ ﭘﻠﻲ ﻛﺮﻳﺴﺘﺎل ‪1‬‬

‫زداﻳﺶ ﭘﻠﻲ ﻛﺮﻳﺴﺘﺎل ﻳﻜﻲ از ﻣﻬﻤﺘﺮﻳﻦ ﻓﺮاﻳﻨﺪﻫﺎي زداﻳﺶ ﻣﻲﺑﺎﺷـﺪ ﭼـﻮن ﻫﻤـﺎﻧﻄﻮر ﻛـﻪ دﻳـﺪﻳﻢ‬
‫ﻧﺎﺣﻴﻪي ﮔﻴﺖ را در ﺗﺮاﻧﺰﻳﺴﺘﻮر ﻣﺸﺨﺺ ﻣﻲﻛﻨﺪ‪ .‬ﻣﻌﻤﻮﻻً زداﻳﺶ ﭘﻠﻲ ﺳـﻴﻠﻴﻜﻦ ﮔﻴـﺖ ﻛـﻮﭼﻜﺘﺮﻳﻦ‬
‫اﺑﻌﺎد ﺑﺤﺮاﻧﻲ را در ﺑﻴﻦ ﺑﻘﻴﻪ ﻓﺮاﻳﻨﺪﻫﺎي زداﻳﺶ دارد‪.‬‬
‫‪ Cl2‬ﺑﻪ ﻋﻨﻮان زداﻳﻨﺪه اﺻﻠﻲ ﺑﺮاي زداﻳﺶ ﭘﻠﻲ ﺳﻴﻠﻴﻜﻮن ﻣﻄﺮح ﻣﻲﺷﻮد‪ .‬در ﭘﻼﺳﻤﺎ ﻣﻮﻟﻜﻮﻟﻬـﺎي ‪Cl2‬‬
‫ﺗﻔﻜﻴﻚ ﻣﻲﺷﻮﻧﺪ ﺗﺎ رادﻳﻜﺎﻟﻬﺎي آزاد ﻛﻠﺮ ﺗﻮﻟﻴﺪ ﻛﻨﻨﺪ ﻛـﻪ ﺑﺴـﻴﺎر ﻓﻌـﺎل ﻫﺴـﺘﻨﺪ و ﻣـﻲﺗﻮاﻧﻨـﺪ ﺑـﺎ‬
‫ﺳﻴﻠﻴﻜﻮن واﻛﻨﺶ داده و ﺗﺘﺮاﻛﻠﺮﻳﺪﺳﻴﻠﻴﻜﻦ ﻛﻪ ﺑﻪ ﺻـﻮرت ﮔـﺎزي اﺳـﺖ را ﺗﻮﻟﻴـﺪ ﻛﻨﻨـﺪ‪ .‬ﻛﻠـﺮ ﺑـﺎ‬
‫ﻓﺘﻮرزﻳﺴﺖ ﺗﺮﻛﻴﺐ ﺷﺪه و ﻻﻳﻪ ﻧﺎزﻛﻲ از ﭘﻠﻴﻤﺮ ﺑﺮ روي دﻳﻮارهﻫﺎ ﻣﻲﻧﺸـﻴﻨﺪ ﻛـﻪ ﺑـﻪ ﺗﺸـﻜﻴﻞ ﻳـﻚ‬
‫زداﻳﺶ ﻧﺎ ﻫﻤﺴﺎﻧﮕﺮد ﻛﻤﻚ ﻣﻲﻛﻨﺪ ﺗﺎ اﻧﺪازهي ﺑﺤﺮاﻧﻲ از ﺑﻴﻦ ﻧﺮود‪ HBr .‬ﻧﻴﺰ ﻣـﻲﺗﻮاﻧـﺪ ﺑـﻪ ﻋﻨـﻮان‬
‫زداﻳﻨﺪه دوم اﺳﺘﻔﺎده ﺷﻮد‪ .‬ﻫﻤﭽﻨﻴﻦ‪،‬از ‪ O2‬ﺑﺮاي اﻓﺰاﻳﺶ اﻧﺘﺨﺎب ﭘﺬﻳﺮي ﻧﺴﺒﺖ ﺑﻪ اﻛﺴﻴﺪ اﺳـﺘﻔﺎده‬
‫ﻣﻲﺷﻮد‪.‬‬

‫ﺷﻜﻞ ‪ 36‬زداﻳﺶ ﭘﻠﻲ ﺳﻴﻠﻴﻜﻦ ﮔﻴﺖ و اﺗﺼﺎﻻت ﻣﺤﻠﻲ‬

‫ﻳﻜﻲ از ﺑﺰرﮔﺘﺮﻳﻦ ﭼﺎﻟﺶﻫﺎ ﺑﺮ ﺳﺮ زداﻳﺶ ﭘﻠﻲ ﺳﻴﻠﻴﻜﻦ ﮔﻴﺖ‪ ،‬اﻳﺠﺎد اﻧﺘﺨﺎب ﭘﺬﻳﺮي زﻳﺎد ﻧﺴـﺒﺖ ﺑـﻪ‬
‫دي اﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻮن ﻣﻲﺑﺎﺷﺪ‪ .‬ﭼﺮا ﻛﻪ زﻳﺮ ﭘﻠﻲ ﺳﻴﻠﻴﻜﻮن ﻻﻳﻪي ﺑﺴﻴﺎر ﻧـﺎزك اﻛﺴـﻴﺪﮔﻴﺖ ﻗـﺮار‬
‫دارد‪ .‬ﺑﺮاي ﻳﻚ ﻗﻄﻌﻪ ‪ ، 0.13 µm‬ﺿﺨﺎﻣﺖ اﻛﺴﻴﺪﮔﻴﺖ در ﺣﺪود ‪ 25Å‬ﺗﺎ ‪ 30Å‬ﻗﺮار دارد‪ ،‬ﻛﻪ ﻣﻌﺎدل‬
‫ﭼﻬﺎر ﻻﻳﻪ از ﻣﻮﻟﻜﻮﻟﻬﺎي دي اﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻮن ﻣﻲﺑﺎﺷﺪ‪.‬‬
‫ﻣﻲﺗﻮان از ﻓﻠﻮﺋﻮر ﻧﻴﺰ ﺑﺮاي زداﻳﺶ ﭘﻠﻲ ﺳﻴﻠﻴﻜﻦ اﺳﺘﻔﺎده ﻛﺮد اﻣﺎ ﭼﻮن ﻓﻠﻮﺋﻮر اﻛﺴـﻴﺪ را زودﺗـﺮ از‬
‫ﻛﻠﺮ ﻣﻲزداﻳﺪ‪ ،‬اﻧﺘﺨﺎب ﭘﺬﻳﺮي آن ﻧﺴﺒﺖ ﺑﻪ اﻛﺴﻴﺪ ﻛﻤﺘﺮ اﺳﺖ‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ اﺳﺘﻔﺎده از ﻛﻠﺮ ﺗﺮﺟﻴﺢ داده‬
‫ﻣﻲﺷﻮد‪.‬‬

‫‪1 Polycrystal‬‬
‫‪218‬‬
‫ﺷﻜﻞ‪ 37‬ﻟﺰوم ﻓﺮا زداﻳﺶ ﭘﻠﻲ ﺳﻴﻠﻴﻜﻦ‬

‫‪ - 12- 7- 6‬زداﻳﺶ ﻓﻠﺰ‬

‫زداﻳﺶ ﻓﻠﺰ ﺑﺮاي ﻓﺮم دادن ﺑﻪ ﺧﻄﻮط اﺗﺼﺎﻻت در ﻣﺪار ﻣﺠﺘﻤﻊ ﺑﺮاي اﺗﺼﺎل ﺗﺮاﻧﺰﻳﺴـﺘﻮرﻫﺎ و اﺟـﺰاء‬
‫دﻳﮕﺮ ﻣﺪار ﻣﻲﺑﺎﺷﺪ‪ .‬ﺑﺮاي ﻳﻚ ﺗﺮاﺷﻪ ‪ 1‬ي ﭘﻴﺸﺮﻓﺘﻪ‪ ،‬ﻣﻌﻤﻮﻻً ﻻﻳﻪﻫﺎي ﻓﻠﺰي از ﺳﻪ ﺑﺨﺶ ﺗﺸﻜﻴﻞ ﺷﺪه‬
‫اﺳﺖ‪:‬ﻧﻴﺘﺮﻳﺪ ﺗﻴﺘﺎﻧﻴﻮم ‪ ،TiN‬آﻟﻴﺎژ ﻣﺲ و آﻟﻮﻣﻴﻨﻴﻮم و ﻻﻳﻪ ﺟﻮش از ﺗﻴﺘﺎﻧﻴﻮم ﻳﺎ ﺗﻴﺘـﺎﻧﻴﻮم ﺗﻨﮕﺴـﺘﻦ‪.‬‬
‫ﻻﻳﻪ ‪ TiN‬ﻣﺎﻧﻊ ﺑﺎزﺗﺎب ﻧﻮر از ﺳﻄﺢ آﻟﻮﻣﻴﻨﻴﻮم در ﻟﻴﺘﻮﮔﺮاﻓﻲ ﻣﻲﺷﻮد و دﻗـﺖ ﻟﻴﺘـﻮﮔﺮاﻓﻲ را اﻓـﺰاﻳﺶ‬
‫ﻣﻲدﻫﺪ‪ .‬آﻟﻴﺎژ ﻣﺲ و آﻟﻮﻣﻴﻨﻴﻮم ﻓﻠﺰ اﺻﻠﻲ ﺑـﺮاي اﻧﺘﻘـﺎل ﺟﺮﻳـﺎن اﻟﻜﺘﺮﻳﻜـﻲ و ﺗﺸـﻜﻴﻞ اﺗﺼـﺎﻻت‬
‫ﻣﻲﺑﺎﺷﺪ‪ .‬ﻻﻳﻪ ‪ Ti‬ﻳﺎ ‪ TiW‬ﺑﺎﻋﺚ ﻛﺎﻫﺶ ﻣﻘﺎوﻣﺖ ﺑﻴﻦ ‪ Al-Cu‬و ‪ W-plug‬ﻣﻲﺷﻮد‪ .‬ﻫﻤﭽﻨﻴﻦ اﻳﻦ ﻻﻳﻪ از‬
‫ﻧﻔﻮذ ﻣﺲ در ﺳﻴﻠﻴﻜﻦ و از ﺑﻴﻦ ﺑﺮدن ﻗﻄﻌﻪ ﺟﻠﻮﮔﻴﺮي ﻣﻲﻛﻨﺪ‪ .‬ﻋﻠﺖ اﻳﻦ اﺳﺘﻔﺎده از اﻳﻦ ﻻﻳـﻪﻫـﺎ در‬
‫ﺑﺨﺶ ﻓﻠﺰ ﻛﺎري ﺑﻴﺸﺘﺮ روﺷﻦ ﻣﻲﺷﻮد‪.‬‬
‫زداﻳﻨﺪه اﺻﻠﻲ در زداﻳﺶ ﻓﻠﺰ ‪ Cl2‬ﻣﻲﺑﺎﺷﺪ‪ .‬رادﻳﻜﺎﻟﻬﺎي ﻛﻠﺮ ﺑﺎ آﻟﻮﻣﻴﻨﻴﻮم‪ Ti ،‬و ‪ TiN‬واﻛﻨﺶ ﻣﻲدﻫﻨﺪ‬
‫و ﻣﺤﺼﻮل آن ‪ AlCl3‬و ‪ TiCl4‬ﻛﻪ ﻓﺮار ﻣﻲﺑﺎﺷﻨﺪ ﺗﺸﻜﻴﻞ ﻣﻲﺷﻮد‪ .‬واﻛﻨﺶ ﻓﻠﻮﺋﻮر ﺑﺎ آﻟﻮﻣﻴﻨﻴـﻮم ﺑﺎﻋـﺚ‬
‫ﺗﺸﻜﻴﻞ ‪ AlF3‬ﻣﻲﺷﻮد ﻛﻪ ﻏﻴﺮ ﻓﺮار اﺳﺖ و در ﺷـﺮاﻳﻂ ﻋـﺎدي زداﻳـﺶ )‪ (100mTorr, <60°C‬ﺑـﻪ‬
‫ﺻﻮرت ﺟﺎﻣﺪ اﺳﺖ‪ BCl3 .‬ﻧﻴﺰ ﻣﻌﻤﻮﻻً ﺑﺮاي ﻣﺤﺎﻓﻈﺖ از دﻳﻮاره ﻫﺎ و ﻣﻨﺒﻊ دوم ﻛﻠﺮ اﺳﺘﻔﺎده ﻣﻲﺷـﻮد‪،‬‬
‫ﻫﻤﭽﻨﻴﻦ ﺑﻤﺒﺎران ﻳﻮﻧﻲ ﺗﻮﺳﻂ ﻳﻮﻧﻬﺎي ‪ BCl3+‬ﺻﻮرت ﻣﻲﮔﻴﺮد‪ .‬اﻟﺒﺘﻪ از آرﮔـﻮن ﻧﻴـﺰ ﺑـﺮاي ﺑﻤﺒـﺎران‬
‫ﻳﻮﻧﻲ ﻣﻲﺗﻮان اﺳﺘﻔﺎده ﻛﺮد‪ N2 .‬و ‪ CF4‬ﻫﻢ ﺑﺮاي ﻣﺤﺎﻓﻈﺖ از دﻳﻮارهﻫﺎ ﻛﺎرﺑﺮد دارﻧﺪ‪.‬‬
‫در زداﻳﺶ ﻓﻠﺰ ﻛﻨﺘﺮل ﭘﺲ ﻣﺎﻧﺪهﻫﺎ و ﭘﺮوﻓﺎﻳﻞ زداﻳﺶ و ﻫﻤﭽﻨﻴﻦ ﺟﻠﻮﮔﻴﺮي از ﺧﻮردﮔﻲ ﻓﻠﺰات ﻣﻬـﻢ‬
‫اﺳﺖ‪ .‬در ﺣﻴﻦ زداﻳﺶ‪ ،‬ﻣﻘﺪار ﻛﻤﻲ ﻣﺲ در آﻟﻮﻣﻴﻨﻴﻮم ﺑﺎﻋﺚ ﺑﻪ وﺟﻮد آﻣﺪن ﭘﺲ ﻣﺎﻧﺪه ﻣﻲﺷﻮد زﻳـﺮا‬
‫‪ CuCl2‬ﻏﻴﺮ ﻓﺮار اﺳﺖ و ﺑﺮ روي ﺳﻄﺢ وﻳﻔﺮ ﺑﺎﻗﻲ ﻣﻲﻣﺎﻧﺪ‪ .‬ﻣﻲﺗﻮان آﻧﺮا ﺗﻮﺳـﻂ ﺑﻤﺒـﺎران ﻳـﻮﻧﻲ و ﺑـﻪ‬

‫‪1 Chip,Die‬‬
‫‪219‬‬
‫ﺻﻮرت ﻓﻴﺰﻳﻜﻲ از ﺳﻄﺢ ﺟﺪا ﻛﺮد و ﻳﺎ اﻳﻨﻜﻪ ﺑﺎ ﻣﻮاد ﺷﻴﻤﻴﺎﻳﻲ آﻧﺮا زدود‪ .‬روش دﻳﮕﺮ آﻧﺴﺖ ﻛﻪ ﭼﻮن‬
‫ﻫﻢ ﺳﻄﺢ وﻳﻔﺮ و ﻫﻢ ‪ CuCl2‬ﺗﻮﺳـﻂ ﭘﻼﺳـﻤﺎ ﺑـﺎر ﻣﻨﻔـﻲ ﻣـﻲﮔﻴﺮﻧـﺪ ﻣـﻲﺗﻮاﻧـﺪ ﺗﻮﺳـﻂ ﻧﻴﺮوﻫـﺎي‬
‫اﻟﻜﺘﺮواﺳﺘﺎﺗﻴﻚ از ﺳﻄﺢ راﻧﺪه ﺷﻮد‪ .‬در زداﻳﺶ ﻓﻠﺰ ﺑﺎﻳﺪ دﻗﺖ ﺷـﻮد ﻛـﻪ ﭘـﺲ از زداﻳـﺶ ﺑﺎﻳﺴـﺘﻲ‬
‫ﻓﺘﻮرزﻳﺴﺖ ﻛﻨﺪه ﺷﻮد و ﮔﺮ ﻧﻪ در ﻫﻮاي آزاد ﺑﺎﻗﻴﻤﺎﻧﺪه ﻛﻠﺮ در ﻓﺘﻮرزﻳﺴﺖ و دﻳﻮارهﻫﺎ ﺑﺎ رﻃﻮﺑﺖ ﻫـﻮا‬
‫واﻛﻨﺶ ﻣﻲدﻫﺪ و ﺗﻮﻟﻴﺪ ‪ HCl‬ﻣﻲﻛﻨﺪ ﻛﻪ ﺑﺎﻋﺚ ﺧﻮردﮔﻲ ﻓﻠﺰ ﻣﻲﺷﻮد‪.‬‬

‫‪ -8-6‬ﺧﻼﺻﻪ‬
‫رﺷﺪ ﺻﻨﻌﺖ ﻧﻴﻤﻪ ﻫﺎدي ﻧﺘﻴﺠﻪ ﻣﺴﺘﻘﻴﻢ ﺗﻮاﻧﺎﻳﻲ اﻧﺘﻘﺎل اﻟﮕﻮﻫﺎي ﻛﻮﭼﻜﺘﺮ و ﻛـﻮﭼﻜﺘﺮ ﻣـﺪار ﺑـﺮ روي‬
‫وﻳﻔﺮ ﻣﻲﺑﺎﺷﺪ و دو ﻓﺮاﻳﻨﺪ ﻣﻬﻢ در اﻧﺘﻘﺎل اﻟﮕﻮﻫﺎ ﻟﻴﺘﻮﮔﺮاﻓﻲ و زداﻳﺶ ﻣﻲﺑﺎﺷﺪ‪ .‬در اﻳﻦ ﻓﺼـﻞ اﻧـﻮاع‬
‫ﻣﺘﺪﻫﺎي زداﻳﺶ‪ ،‬ﻣﺮاﺣﻞ ﻫﺮ ﻛﺪام‪ ،‬ﻣﻌﺎﻳﺐ و ﻣﺰاﻳﺎي ﻫﺮ روش و راهﻫﺎﻳﻲ ﺑﺮاي ﺑﻬﺒﻮد ﻫﺮ ﭼﻪ ﺑﻬﺘﺮ اﻳﻦ‬
‫ﭘﺮوﺳﻪ ﻣﻮرد ﺑﺮرﺳﻲ ﻗﺮار ﮔﺮﻓﺖ‪.‬‬
‫زداﻳﺶ ﻣﺮﻃﻮب ﺑﻪ ﻃﻮر ﮔﺴﺘﺮده در ﺻﻨﻌﺖ ﻧﻴﻤﻪ ﻫﺎدي اﺳﺘﻔﺎده ﻣﻲﺷﻮد و ﻣﺨﺼﻮﺻﺎً ﺑﺮاي ‪blanket‬‬
‫‪ etching‬ﻛﻪ ﺗﻤﺎم ﺻﻔﺤﻪ را ﺑﺪون وﺟﻮد اﻟﮕﻮ ﻣﻲزداﻳﺪ ﻣﻨﺎﺳﺐ اﺳﺖ‪ .‬زداﻳﺶ ﻣﺮﻃﻮب ﺑﺮاي زداﻳـﺶ‬
‫اﻟﮕﻮدار ﻧﻴﺰ ﺑﻜﺎر ﻣﻲرود وﻟﻲ ﺑﺮشﻫﺎي زﻳﺮﻳﻦ در ﻻﻳﻪ زﻳﺮﻳﻦ ﻣﺎﺳـﻚ دﻗـﺖ اﻟﮕـﻮي زدوده ﺷـﺪه را‬
‫ﭘﺎﻳﻴﻦ ﻣﻲآورد‪.‬‬
‫روﺷﻬﺎي زداﻳﺶ ﺧﺸﻚ ﺑﺮاي اﻧﺘﻘﺎل اﻟﮕﻮ ﺑﺎ دﻗﺖ زﻳﺎد اﺳـﺘﻔﺎده ﻣـﻲﺷـﻮد‪ .‬اﺻـﻮل ﻛﻠـﻲ ﭘﻼﺳـﻤﺎ و‬
‫ﺳﻴﺴﺘﻤﻬﺎي ﻣﺨﺘﻠﻒ زداﻳﺶ ﺧﺸﻚ ﻣﻮرد ﺑﺤﺚ ﻗﺮار ﮔﺮﻓﺖ‪ .‬اﻳﻦ ﺳﻴﺴﺘﻤﻬﺎ از ﺳـﺎﺧﺘﺎر ﺳـﺎده ﺑـﺎ دو‬
‫ﺻﻔﺤﻪ ﻣﻮازي ﺗﺎ ﺳﺎﺧﺘﺎرﻫﺎي ﭘﻴﭽﻴﺪه ﻣﺤﻔﻈﻪ و ﺳﻨﺴﻮرﻫﺎي ﻣﺨﺘﻠﻒ ﻛﻨﺘﺮل ﭘﺮوﺳﻪ ﺑﺮرﺳﻲ ﺷﺪ‪.‬‬
‫ﭼﺎﻟﺸﻬﺎي ﭘﻴﺶ رو ﺑﺮاي ﺗﻜﻨﻮﻟﻮژي زداﻳﺶ ﻋﺒﺎرﺗﻨﺪ از‪ :‬اﻧﺘﺨـﺎب ﭘـﺬﻳﺮي ﺑـﺎﻻ‪ ،‬ﻛﻨﺘـﺮل ﺑﻬﺘـﺮ اﺑﻌـﺎد‬
‫ﺑﺤﺮاﻧﻲ‪ ،‬زداﻳﺶ ﻣﺴﺘﻘﻞ از ﻧﺴﺒﺖ ﺗﺼﻮﻳﺮ و ﻛﻢ ﻛﺮدن آﺳﻴﺐ ﻧﺎﺷﻲ از ﭘﻼﺳﻤﺎ‪ .‬رآﻛﺘﻮرﻫﺎي ﻓﺸﺎر ﻛﻢ و‬
‫ﭼﮕﺎﻟﻲ ﺑﺎﻻي ﭘﻼﺳﻤﺎ ﺑﺮاي اﻳﻦ ﻧﻴﺎزﻫﺎ ﻻزم اﺳﺖ‪ .‬از آﻧﺠﺎﻳﻲ ﻛﻪ ﻗﻄﺮ وﻳﻔـﺮ از ‪ 200‬ﻣﻴﻠـﻲﻣﺘـﺮ ﺑـﻪ ‪300‬‬
‫ﻣﻴﻠﻴﻤﺘﺮ و ﺑﺎﻻﺗﺮ در ﺣﺎل اﻓﺰاﻳﺶ اﺳﺖ‪ ،‬ﺑﻬﺒﻮد ﻳﻜﻨﻮاﺧﺘﻲ زداﻳﺶ در ﻳﻚ وﻳﻔﺮ ﻻزم ﻣﻲﺑﺎﺷﺪ‪.‬‬

‫‪220‬‬
: ‫ﻓﻬﺮﺳﺖ ﻣﺮاﺟﻊ‬

[1] James D. Plummer,Michael D.Deal, Peter B. Grifin “ Silicon VLSI Technology” Prentice Hall
2000, ISBN: 0-13-085037-3

[2] Peter Van Zant,”Microchip Fabrication” McGraw-Hill 1997, ISBN:0-07-067250-4

[3] 13Manos, Dennis M., Flamm, Daniel L., “Plasma Etching, an Introduction”, Academic
Press, Inc., ISBN 012-469370-9.

[4] 14J. W. Coburn and H. F. Winters, “Plasma Assisted Etching: Ion-Assisted Surface
Chemistry”, J. Appl, Phys. VOLs. 22-23, NO. 1, May 1985.

[5] [15] U. Gerlach-Meyer and J. W. Coburn, “Ion-enhanced gas-surface chemistry: The


influence of the mass of the incident ion”, Surf. Sci., VOL.1 , ISSUE. 1, February 1981, pp 177-
188.
[6] [16] A. W. Kolfschoten, R. A. Haring, A. Haring and A. E. De Vries, “Chemical sputtering by
keV ions”, J. Appl, Phys., VOl. 2, NO. 1, March 1984.
[7] [17] T. Mizutani, C. J. Dale, W. K. Chu, and T. M. Mayer, “Surface modification in
plasma-assisted etching of silicon”, Nucl. Instr. Methods, VOLs. 7-8 , NO. 2, March 1985, pp
825-830.
[8] [18] A. R. Reinberg, U.S. Patent3,757,733, 1975.
[9] [19] Nadim Maluf and Kirt Williams, “An Introduction to Microelectromechanical Systems
Engineering”, Artech House Inc., ISBN: 1-58053-590-9.
[10] [20] E. H. Klaassen, K. Petersen, J. M. Noworolski, J. Logan, N. I. Maluf, J. Brown, C.
Storment, W. McCulley, and G. T. A. Kovacs, “Silicon Fusion Bonding and Deep Reactive Ion
Etching; A New Technology for Microstructures”, in Dig. Tech. Papers Transducers
’95/Eurosensors IX, Stockholm, Sweden, June 25–29, 1995, vol. 1, pp. 556–559.
[11] [21] J. Bhardwaj, H. Ashraf, and A. McQuarrie, “Dry Silicon Etching for MEMS”, in Proc.
191st Meeting Electrochemical Society, Microstructures and Microfabricated Systems III
Symposium, Montr´eal, P.Q., May 4–9, 1997, vol. 97-5, pp. 118–130.
[12] [22] F. L¨armer and P. Schilp, “Method of Anisotropically Etching Silicon”, German
Patent DE 4 241 045, 1994.

221
[13] [9] Gregory T. A. Kovacs, Nadim I. Maluf and Kurt E. Petersen, “Bulk Micromachining of
Silicon”, PROCEEDINGS OF THE IEEE, VOL. 86, NO. 8, AUGUST 1998.
[14] Rainer waser,”Nanoelectronics and Information Technology”Wiley-VCH 2005, ISBN:3-
527-40542-9
[15] Michael A. Lieberman, Allan J. Lichtenberg” Principles of Plasma Discharges and
Materials Processing”2005 ISBN: 0-471-72001-1
[16] Akbar Adibi,”Physical Electronis and Semiconductor Technologi”

222
‫ﻓﺼﻞ ‪ -7‬ﻓﺮآﻳﻨﺪ ﻧﻔﻮذ‬

‫‪ -1-7‬ﻣﻘﺪﻣﻪ‬
‫ﻧﻔﻮذ را ﻣﻲﺗﻮان ﺑﻪ ﺻﻮرت ﻣﻬﺎﺟﺮت ﺧﻮد ﺑﻪ ﺧﻮدي ﻣﻮﻟﻜﻮلﻫﺎ و اﺗﻢﻫﺎ از ﺳﻄﺢ داﻧﺴﺖ‪ .‬از ﻧﺎﺣﻴﻪاي‬
‫ﻛﻪ تراکم بااليی دارد ﺑﻪ ﻧﺎﺣﻴﻪاي ﻛﻪ ﺗﺮاﻛﻢ ﭘﺎﻳﻴﻨﻲ دارد‪ .‬ﻧﻔﻮذ‪،‬اﺳﺎﺳ ﺎً ورود ﻧﺎﺧﺎﻟﺼﻲ ﺑﻪ ﺻﻮرت ﻋﻤﺪي‬
‫درون وﻳﻔﺮ اﺳﺖ‪.‬‬

‫ﺑﻪ ﻋﻨﻮان ﻣﺜﺎل ﻫﻨﮕﺎﻣﻲ ﻛﻪ ﻳﻚ ﻣﺎده ﺑﻮدار در ﻓﻀﺎ ﭘﺮاﻛﻨﺪه ﻣﻲ ﺷﻮد)ﻣﺜﻞ ﻋﻄﺮ( اﺗﻢﻫﺎ ﻳﺎ‬
‫ﻣﻮﻟﻜﻮلﻫﺎي ﻣﺎده ﺑﻮدار ﺑﻪ ﻃﻮرﺧﻮدﺑﺨﻮد ا ز اﻃﺮاف ﻧﺎﺣﻴﻪ ﺑﺎ ﺗﺮاﻛﻢ ﺑﺎﻻ ﺑﻪ ﺳﺎﻳ ﺮ ﻧﻘﺎط ﻓﻀﺎ ﻣﻬﺎﺟﺮت‬
‫ﻣﻲﻛﻨﻨﺪ‪ .‬در اﻳﻦ ﺣﺎﻟﺖ ﺑﻪ دﻟﻴﻞ اﻳﻨﻜﻪ اﻧﺘﺸﺎر در ﻣﺤﻴﻂ ﮔﺎزي اﺳﺖ ﺑﻪ آن ‪gas-state diffusion‬‬

‫ﻣﻲﮔﻮﻳﻨﺪ ‪.‬‬
‫ﺑﻪ ﻫﻤﻴﻦ ﺻﻮرت زﻣﺎﻧﻲ ﻛﻪ ﻳﻚ ﻗﻄﺮه ﺟﻮﻫﺮ ﻳﺎ ﻣﺎده رﻧﮕﻲ درون آب اﻧﺪاﺧﺘﻪ ﻣﻲ ﺷﻮد ﻣﻮﻟﻜﻮلﻫﺎي‬
‫ﻣﺎده رﻧﮕﻲ از ﻧﺎﺣﻴﻪ ﭘﺮرﻧﮓ‪ ،‬ﺟﺎﻳﻲ ﻛﻪ ﺗﺮاﻛﻢ ﺑﺎﻻ اﺳﺖ ﺑﻪ ﺗﻤﺎم ﻗﺴﻤﺖﻫﺎي ﻣﺎﻳﻊ ﭘﺨﺶ ﻣﻲ ﺷﻮﻧﺪ‪ ،‬ﺑﻪ‬
‫ﻃﻮري ﻛﻪ ﻣﺎﻳﻊ ﺑﻪ ﺻﻮرت ﻳﻜﻨﻮاﺧﺖ درآﻳﺪ ﻛﻪ د ر اﻳﻦ ﺣﺎﻟﺖ ﭼﻮن ﻣﺤﻴﻂ ﭘﺨﺶ ﻣﺎﻳﻊ اﺳﺖ ﺑﻪ آن‬
‫‪ liquid-state diffusion‬ﻣﻲﮔﻮﻳﻨﺪ‪.‬‬
‫ﺣﺎﻟﺖ دﻳﮕﺮ‪ ،‬ﻧﻔﻮذ اﺗﻢﻫﺎي ﻧﺎﺧﺎﻟﺼﻲ در درون ﺳﻴﻠﻴﻜﻮن‪ ،‬ﻛﻪ در اﻳﻨﺠﺎ ﻣﺤﻴﻂ ﻧﻔﻮذ ﺟﺎﻣﺪ ﻣﻲ ﺑﺎﺷﺪ و‬
‫ﺑﻪ اﻳﻦ ﺣﺎﻟﺖ ‪ solid-state diffusion‬ﻣﻲ ﮔﻮﻳﻨﺪ‪.‬‬
‫ﺑﻪ ﻃﻮر ﻛﻠﻲ دو ﺷﺮط ﺑﺮاي اﻳﻨﻜﻪ ﭘﺪﻳﺪه ﻧﻔﻮذ اﺗﻔﺎق ﺑﻴﻔﺘﺪ ﻻزم اﺳﺖ‪:‬‬
‫‪ .1‬ﻳﻜﻲ از ﻣﻮاد در ﺗﺮاﻛﻢ ﺑﺎﻻﺗﺮي ﺑﺎﺷﺪ‪.‬‬
‫‪ .2‬در ﺳﻴﺴﺘﻢ اﻧﺮژي ﻛﺎﻓﻲ وﺟﻮد داﺷﺘﻪ ﺑﺎﺷﺪ ‪.‬‬

‫‪223‬‬
‫‪ - 2- 7‬اﻫﺪاف ﻧﻔﻮذ در ﻓﻨﺎوري ﺳﺎﺧﺖ ‪:‬‬

‫‪ .1‬اﻳﺠﺎد ﻳﻚ ﭘﻴﻮﻧﺪ ‪ P-N‬در ﻓﺎﺻﻠﻪ ﻣﺸﺨﺼﻲ در زﻳﺮ ﺳﻄﺢ وﻳﻔﺮ‪.‬‬


‫‪ .2‬اﻳﺠﺎد ﻳﻚ ﺗﺮاﻛﻢ و ﺗﻮزﻳﻊ ﻣﺸﺨﺼﻲ از اﺗﻢﻫﺎي ﻧﺎﺧﺎﻟﺼﻲ در ﺳﻄﺢ وﻳﻔﺮ‪.‬‬
‫ﺑﺮاي ﻧﻤﻮﻧﻪ ﻧﺤﻮه ﺗﺸﻜﻴﻞ ﻳﻚ ﭘﻴﻮﻧﺪ ‪ P-N‬را ﻧﺸﺎن ﻣﻲدﻫﻴﻢ‪ .‬ﻓﺮض ﻣﻲ ﻛﻨﻴﻢ وﻳﻔﺮي ﻛﻪ ﻣﻲﺧﻮاﻫﻴﻢ‬
‫در آن ﭘﻴﻮﻧﺪ اﻳﺠﺎد ﻛﻨﻴ ﻢ ﻧﻮع ‪ P‬ﺑﺎﺷﺪ ﻛﻪ ﻧﺎﺧﺎﻟﺼﻲ ﻧﻮع ‪ P‬در آن ﺑﻪ ﻃﻮر ﻳﻜﻨﻮاﺧﺖ ﺗﻮزﻳﻊ ﺷﺪه‬
‫اﺳﺖ و ﺑﺎﻋﻼﻣﺖ ‪ +‬ﻧﺸﺎن دادهاﻳﻢ‪.‬‬

‫ﺑﻌﺪ از ﮔﺬراﻧﺪن ﻣﺮاﺣﻞ اﻛﺴﻴﺪاﺳﻴﻮن و اﻳﺠﺎد اﻟﮕﻮ ﺑﺮ روي آن‪ ،‬وﻳﻔﺮ در ﻛﻮره ﻧﻔﻮذ رﻓﺘﻪ و در‬
‫ﻣﻌﺮض ﺑﺨﺎرﻫﺎي اﺗﻢﻫﺎي ﻧﺎﺧﺎﻟﺼﻲ ﻧﻮع ‪ n‬ﻗﺮارﻣﻲ ﮔﻴﺮد‪ .‬ﺷﺮاﻳﻂ ﺑﺎﻳﺪ ﺑﻪ ﮔﻮﻧﻪاي ﺑﺎﺷﺪ ﻛﻪ ﺗﺮاﻛﻢ‬
‫اﺗﻢﻫﺎي ﻧﻮع ‪ n‬ﻛﻪ از ﺳﻄﺢ وﻳﻔﺮ ﻧﻔﻮذ ﻣﻲﻛﻨﻨﺪ‪ ،‬ﺑﻴﺸﺘﺮ از ﻧﻮع ‪ p‬ﺑﺎﺷﺪ‪ ،‬ﺗﺎ آن ﻧﺎﺣﻴﻪ ﺑﻪ ﻧﻴﻤﻪ ﻫﺎدي‬
‫ﻧﻮع ‪ n‬ﺗﺒﺪﻳﻞ ﺷﻮد‪ .‬اﻳﻦ اﺗﻢﻫﺎ ﺑﺎ ﮔﺬﺷﺖ زﻣﺎن ﺑﻪ ﺳﻄﻮح ﭘﺎﻳﻴﻦﺗﺮ وﻳﻔﺮ ﻧﻔﻮذ ﻣﻲﻛﻨﻨﺪ و از ﺗﺮاﻛﻢ آنﻫﺎ‬
‫در ﺳﻄﻮح ﭘﺎﻳﻴﻦﺗﺮ ﻛﺎﺳﺘﻪ ﻣﻲﺷﻮد ﺗﺎ اﻳﻨﻜﻪ در ﻳﻚ ﺳﻄﺢ ﺧﺎص ﺗﻌﺪاد اﺗﻢﻫﺎي ﻧﻮع ‪ n‬و ‪ p‬ﻳﻜﺴﺎن‬
‫ﺑﺸﻮﻧﺪ‪ .‬اﻳﻦ ﻧﻘﻄﻪ ﻣﺤﻞ ﺗﺸﻜﻴﻞ ﭘﻴﻮﻧﺪ ﻣﻲﺑﺎﺷﺪ‪ .‬در ﺳﻄﻮح ﭘﺎﻳﻴﻦﺗﺮ از آن ﺗﺮاﻛﻢ ﻧﻮع ‪ n‬از ﻧﻮع ‪ p‬ﻛﻤﺘﺮ‬
‫ﻣﻲﺑﺎﺷﺪ و ﻧﻴﻤﻪ ﻫﺎدي ﻧﻮع ‪ p‬ﺑﺎﻗﻲ ﺧﻮاﻫﺪ ﻣﺎﻧﺪ‪ .‬ﺑﻪ اﻳﻦ ﺻﻮرت ﻳﻚ ﭘﻴﻮﻧﺪ ‪ P-N‬ﺷﻜﻞ ﻣﻲﮔ ﻴﺮد‪.‬‬
‫ﻧﻔﻮذ ﺟﺎﻧﺒﻲ ‪:‬‬
‫ﻧﻔﻮذ ﻓﻘﻂ در ﻳﻚ ﺟﻬﺖ ﺧﺎص اﺗﻔﺎق ﻧﻤﻲاﻓﺘﺪ ‪ .‬ﻣﺜﻼً در ﺷﻜﻞ زﻳﺮ ﻓﻘﻂ در راﺳﺘﺎي ‪ z‬ﻧﻤﻲﺑﺎﺷﺪ‪ ،‬ﺑﻠﻜﻪ‬
‫در ﺻﻔﺤﻪ ‪ X-Y‬ﻧﻴﺰ اﺗﻔﺎق ﻣﻲاﻓﺘﺪ‪ ،‬ﻛﻪ ﺑﻪ آن ﻧﻔﻮذ اﻓﻘﻲ ﻫﻢ ﻣﻲﮔﻮﻳﻨﺪ‪ .‬در واﻗﻌﻴﺖ اﺗﻢﻫﺎي ﻧﺎﺧﺎﻟﺼﻲ‬
‫در ﺗﻤﺎم ﺟﻬﺎت ﺣﺮﻛﺖ ﻣﻲﻛﻨﻨﺪ ‪ .‬ﺑﻌﻀﻲ از اﺗﻢﻫﺎ در ﺟﻬﺖ اﻓﻖ ﺣﺮﻛﺖ ﻣﻲﻛﻨﻨﺪ‪.‬‬

‫‪224‬‬
‫ﺑﻪ ﻧﻮﻋﻲ ﻣﻲﺗﻮان ﮔﻔﺖ ﻛﻪ ﺧﺎزنﻫﺎي ‪ overlap‬در ﺗﺮاﻧﺰﻳﺴﺘﻮرﻫﺎي ﻣﺎﺳﻔﺖ از اﻳﻦ ﻗﻀﻴﻪ ﻧﺎﺷﻲ‬
‫ﺷﺪهاﻧﺪ‪.‬‬

‫‪ -3-7‬ﻗﻮاﻧﻴﻦ ﻓﻴﻚ ‪:‬‬


‫ﺑﺮاي ﺗﻌﻴﻴﻦ ﻣﻴﺰان دﻗﻴﻖ ﺗﺮاﻛﻢ و ﻣﺤﻞ ﭘﻴﻮﻧﺪ‪ ،‬ﺑﺎﻳﺪ ﺑﺪاﻧﻴﻢ ﺗﺮاﻛﻢ ﺑﺮ ﺣﺴﺐ ﭼﻪ ﭘﺎراﻣﺘﺮﻫﺎﻳﻲ اﺳﺖ و‬
‫ﭼﮕﻮﻧﻪ ﺑﺎ ﻣﻜﺎن و زﻣﺎن در اﻳﻦ ﻓﺮآﻳﻨﺪ ﺗﻐﻴﻴﺮ ﻣﻲﻛﻨﺪ‪ .‬ﻗﻮاﻧﻴﻦ ﻓﻴﻚ ﻧﺤﻮه اﻳﻦ ﺗﻐﻴﻴﺮات را ﺑﻴﺎن‬
‫ﻣﻲﻛﻨﺪ‪.‬‬
‫ﻗﻮاﻧﻴﻦ ﻓﻴﻚ ﺗﻮﺳﻂ "آدوﻟﻒ ﻓﻴﻚ" در ﺳﺎل ‪ 1855‬ﺑﻴﺎن ﺷﺪ‪.‬‬

‫‪ - 1- 3- 7‬ﻗﺎﻧﻮن اول ﻓﻴﻚ‪:‬‬

‫در ﺣﺎﻟﺖ ﭘﺎﻳﺎ ﻛﺎرﺑﺮد دارد و ﺑﻴﺎن ﻣﻲﻛﻨﺪ ﻛﻪ ﺷﺎر ﻋﺒﻮري ذرات از ﻳﻚ ﺳﻄﺢ ﻣﺘﻨﺎﺳﺐ اﺳﺖ ﺑﺎ‬
‫ﮔﺮادﻳﺎن ﺗﺮاﻛﻢ ذرات در دو ﻃﺮف ﺳﻄﺢ‪.‬‬

‫‪∂C‬‬
‫‪J = − D∇C → J = − D‬‬
‫‪parts‬‬ ‫‪parts ∂x‬‬
‫) ‪J : Diffusion flux ( 2‬‬ ‫( ‪C : Concentration‬‬ ‫)‬
‫‪cm s‬‬ ‫‪cm 3‬‬
‫‪cm 2‬‬
‫( ‪D : Diffusion coefficien t or Diffusivit y‬‬ ‫)‬
‫‪s‬‬
‫ﺿﺮﻳﺐ ﻧﻔﻮذ ﺑﺎ ﺳﺮﻋﺖ ﻣﺘﻮﺳﻂ ذرات راﺑﻄﻪ ﻣﺴﺘﻘﻴﻢ دارد ﻛﻪ ﺳﺮﻋﺖ ﺧﻮد ﺑﻪ دﻣﺎ ‪،‬ﮔﺮاﻧﺮوي ﺳﻴﺎل و‬
‫اﻧﺪازه ذرات ﺑﺴﺘﮕﻲ دارد‪ .‬ﺿﺮﻳﺐ ﻧﻔﻮذ ﺑﻴﺎﻧﮕﺮ ﺳﺮﻋﺖ ﻧﻔﻮذ اﺳﺖ‪.‬ﻋﻼﻣﺖ ﻣﻨﻔﻲ ﺑﻴﺎﻧﮕﺮ اﻳﻦ اﺳﺖ ﻛﻪ‬
‫ﺟﻬﺖ ﺣﺮﻛﺖ ذرات در ﺟﻬﺘﻲ ﻣﻲ ﺑﺎﺷﺪ ﻛﻪ ﺗﺮاﻛﻢ ﻛﻤﺘﺮ اﺳﺖ‪.‬‬

‫‪225‬‬
‫ﻗﺎﻧﻮن دوم ﻓﻴﻚ ‪:‬‬ ‫‪- 2- 3- 7‬‬

‫ﺑﺮاي ﺣﺎﻟﺖ ﻏﻴﺮ ﭘﺎﻳﺎ ﻛﺎرﺑﺮد دارد ﻛﻪ ﺗﻐﻴﻴﺮات زﻣﺎﻧﻲ ﺗﺮاﻛﻢ را در ﻧﻈﺮ ﻣﻲ ﮔﻴﺮد‪ .‬در اﻳﻨﺠﺎ ﻧﻴﺰ ﻳﻚ‬
‫ﺑﻌﺪي در ﻧﻈﺮ ﻣﻲﮔﻴﺮﻳ ﻢ و ﻣﺤﻴﻂ را ﻫﻤﮕﻦ‪ ،‬ﻳﻌﻨﻲ ‪ D‬ﺛﺎﺑﺖ اﺳﺖ ‪ .‬اﻳﻦ راﺑﻄﻪ ﻧﺸﺎن ﻣﻲدﻫﺪ ﻛﻪ ﻣﺸﺘﻖ‬
‫ﻣﻜﺎﻧﻲ ﺗﺮاﻛﻢ ﺑﺎ ﮔﺬﺷﺖ زﻣﺎن ﺗﻐﻴﻴﺮ ﻣﻲﻛﻨﺪ‪.‬‬

‫ﺑﺮاي ﻛﻨﺘﺮل ﻓﺮاﻳﻨﺪ ﻧﻔﻮذ و ﺗﻌﻴﻴﻦ ﻣﻴﺰان ﻧﺎﺧﺎﻟﺼﻲ و ﻋﻤﻖ ﭘﻴﻮﻧﺪ ﺑﺎﻳﺪ اﻳﻦ ﻣﻌﺎدﻟﻪ ﺣﻞ ﺷﻮد‪ .‬ﺑﺮاي ﺣﻞ‬
‫اﻳﻦ ﻣﻌﺎدﻟﻪ ﺑﺎﻳﺪ دو ﺷﺮط ﻣﺮزي و ﻳﻚ ﺷﺮط اوﻟﻴﻪ ﺗﻌﺮﻳﻒ ﻛﻨﻴﻢ‪.‬‬

‫‪ - 3- 3- 7‬اﻧﻮاع ﻧﻔﻮذ‪:‬‬

‫‪ .1‬ﻧﻔﻮذ ﺑﺎ ﺗﺮاﻛﻢ ﺳﻄﺤﻲ ﺛﺎﺑﺖ )ﻣﻨﺒﻊ ﻧﻔﻮذ ﻧﺎﻣﺤﺪود (‬


‫‪ .2‬ﻧﻔﻮذ ﺑﺎ ﺗﻌﺪاد اﺗﻢﻫﺎي ﺛﺎﺑﺖ )ﻣﻨﺒﻊ ﻧﻔﻮذ ﻣﺤﺪود (‬

‫‪ - 1- 3- 3- 7‬ﻧﻔﻮذ ﺑﺎ ﺗﺮاﻛﻢ ﺛﺎﺑﺖ ‪:‬‬

‫در اﻳﻦ ﺣﺎﻟﺖ ﻫﺮ ﭼﻘﺪر ﻧﺎﺧﺎﻟﺼﻲ داﺧﻞ وﻳﻔﺮ ﻧﻔﻮذ ﻛﻨﺪ‪ ،‬از ﻣﻴﺰان ﺗﺮاﻛﻢ در ﺳﻄﺢ ﻛﺎﺳﺘﻪ ﻧﻤﻲﺷﻮد‪.‬‬

‫ﺷﺮط اوﻟﻴﻪ‪:‬‬
‫ﺗﺮاﻛﻢ در ﻣﺎده ﻣﻴﺰﺑﺎن در اﺑﺘﺪا ﺻﻔﺮ اﺳﺖ‪.‬‬

‫ﺷﺮاﻳﻂ ﻣﺮزي ‪:‬‬


‫‪ Cs‬ﺗﺮاﻛﻢ ﺳﻄﺢ اﺳﺖ ﻛﻪ ﻣﺴﺘﻘﻞ از زﻣﺎن اﺳﺖ‪.‬‬
‫اﻳﻦ راﺑﻄﻪ ﻧﺸﺎن ﻣﻲدﻫﺪ ﻛﻪ در ﻓﻮاﺻﻞ دور از ﺳﻄﺢ ﻫﻴﭻ اﺗﻢ ﻧﺎﺧﺎﻟﺼﻲ وﺟﻮد ﻧﺪارد‪.‬‬
‫ﺟﻮاب ﻣﻌﺎدﻟﻪ ﺑﻪ ﺻﻮرت ‪:‬‬

‫‪ √Dt‬ﻃﻮل ﭘﺨﺶ اﺳﺖ و ‪ erfc‬ﺗﺎﺑﻊ ﺧﻄﺎي ﻣﻜﻤﻞ ﻣﻲ ﺑﺎﺷﺪ ‪.‬‬


‫ﻳﻜﻲ از ﺧﺎﺻﻴﺖﻫﺎي ﺗﺎﺑﻊ ﺧﻄﺎي ﻣﻜﻤﻞ ‪:‬‬

‫‪226‬‬
‫ﺗﻌﺪاد ﻛﻞ اﺗﻢﻫﺎي ﻧﻔﻮذ ﻛﺮده ﺑﻪ داﺧﻞ وﻳﻔﺮ را ﺑﺎ )‪ Q(t‬ﻧﻤﺎﻳﺶ ﻣﻲدﻫﻨﺪ‪ ،‬ﻛﻪ در واﻗﻊ ﺑﺮاﺑﺮ ﺑﺎ ﻣﺴﺎﺣﺖ‬
‫زﻳﺮ ﻣﻨﺤﻨﻲ )‪ C(x,t‬اﺳﺖ‪.‬‬

‫‪ Q‬ﺗﺎﺑﻊ زﻣﺎن اﺳﺖ و ﺑﺎ اﻓﺰاﻳﺶ زﻣﺎن و ﺗﺮاﻛﻢ ﺳﻄﺤﻲ اﻓﺰاﻳﺶ ﻣﻲﻳﺎﺑﺪ‪.‬‬


‫در اﻳﻦ ﺷﻜﻞ ﺗﻐﻴﻴﺮات ﺗﺮاﻛﻢ در زﻣﺎنﻫﺎي ﻣﺨﺘﻠﻒ ‪ t2>t1>t0‬رﺳﻢ ﺷﺪه‪ .‬ﺗﺮاﻛﻢ در ﺳﻄﺢ ﺛﺎﺑﺖ اﺳﺖ‬
‫و ﺑﺎ زﻣﺎن ﺗﻐﻴﻴﺮ ﻧﻤﻲﻛﻨﺪ و در ﺳﻄﺢ ﭘﺎﻳﻴﻦﺗﺮ از ﻣﻴﺰان ﺗﺮاﻛﻢ ﻛﺎﺳﺘﻪ ﻣﻲ ﺷﻮد‪ .‬وﻟﻲ در ﻳﻚ ﺳﻄﺢ ﺛﺎﺑﺖ‬
‫ﺑﺎ ﮔﺬﺷﺖ زﻣﺎن‪ ،‬ﻣﻴﺰان ذرات ﻧﻔﻮذ ﻛﻨﻨﺪه اﻓﺰاﻳﺶ ﻣﻲﻳﺎﺑﺪ ‪ .‬اﺛﺮ ‪ D‬ﻫﻤﺎﻧﻨﺪ اﺛﺮ ‪ t‬اﺳﺖ ‪.‬‬

‫ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ ﻧﻤﻮدار ﻣﻲ ﺑﻴﻨ ﻴﻢ ﻛﻪ ﺑﺎ ﮔﺬﺷﺖ زﻣﺎن ﻣﻴﺰان ﻧﻔﻮذ ﻧﺎﺧﺎﻟﺼﻲﻫﺎ اﻓﺰاﻳﺶ ﻣﻲﻳﺎﺑﺪ وﻟﻲ ﺗﺮاﻛﻢ‬
‫ﺳﻄﺤﻲ ﻧﺎﺧﺎﻟﺼﻲﻫﺎ در ﺳﻄﺢ ﺛﺎﺑﺖ ﻣﺎﻧﺪه اﺳﺖ‪.‬ﻫﻤﭽﻨﻴﻦ ﺳﻄﺢ زﻳﺮ ﻧﻤﻮدار ﺗﺮاﻛﻢ ﻧﻴﺰ اﻓﺰاﻳﺶ ﻣﻲﻳﺎﺑﺪ‬
‫ﻛﻪ ﻧﺸﺎن دﻫﻨﺪه اﻓﺰاﻳﺶ ﺗﻌﺪاد اﺗﻢﻫﺎي ﻧﺎﺧﺎﻟﺼﻲ ﻧﻔﻮذ ﻛﻨﻨﺪه در داﺧﻞ وﻳﻔﺮ ﻣﻲ ﺑﺎﺷﺪ ‪.‬‬

‫‪ - 2- 3- 3- 7‬ﻧﻔﻮذ ﺑﺎ ﺗﻌﺪاد اﺗﻢﻫﺎي ﺛﺎﺑﺖ ‪:‬‬

‫ﻧﻔﻮذ ﺑﺎ ﺗﻌﺪاد اﺗﻢﻫﺎي ﺛﺎﺑﺖ ﻳﻌﻨﻲ در اﻳﻦ ﺟﺎ ﺗﻌﺪاد ﻛﻞ اﺗﻢﻫﺎﻳﻲ ﻛﻪ ﻧﻔﻮذ ﻣﻲﻛﻨﻨﺪ ﻣﻘﺪار ﺛﺎﺑﺘﻲ اﺳﺖ‪.‬‬
‫ﭼﻴﺰي ﺑﻪ ﻣﺠﻤﻮﻋﻪ ذرات اﻓﺰوده ﻧﻤﻲﺷﻮد‪ .‬در اﻳﻨﺠﺎ ﻫﻢ ﺷﺮط اوﻟﻴﻪ و ﺷﺮاﻳﻂ ﻣﺮزي دارﻳﻢ‪.‬‬

‫‪227‬‬
‫اﻳﻦ ﻋﺒﺎرت ﺗﻮزﻳﻊ ﮔﺎووﺳﻲ اﺳﺖ‪ ،‬ﭼﻮن ﺿﻤﻦ اﻓﺰاﻳﺶ زﻣﺎن‪ ،‬ﻧﺎﺧﺎﻟﺼﻲ در داﺧﻞ وﻳﻔﺮ ﺣﺮﻛﺖ ﻣﻲﻛﻨﺪ‬
‫ﺑﻨﺎﺑﺮاﻳﻦ ﺑﺮاي اﻳﻨﻜﻪ آﻻﻳﺸﮕﺮ ﻛﻞ ‪ Cs‬ﺛﺎﺑﺖ ﺑﻤﺎﻧﺪ ﺗﺮاﻛﻢ ﺳﻄﺢ ﺑﺎﻳﺪ ﻛﺎﻫﺶ ﻳﺎﺑﺪ‪.‬‬
‫در ﺷﻜﻞ ﺗﻐﻴﻴﺮات در زﻣﺎنﻫﺎي ﻣﺨﺘﻠﻒ ‪ t2>t1>t0‬رﺳﻢ ﺷﺪه اﺳﺖ‪ :‬ﺑﺎ ﮔﺬﺷﺖ زﻣﺎن ﺗﺮاﻛﻢ در‬
‫ﻧﺰدﻳﻜﻲ ﺳﻄﺢ ﻛﺎﻫﺶ‪ ،‬و در ﻧﻮاﺣﻲ دور از ﺳﻄﺢ اﻓﺰاﻳﺶ ﻣﻲﻳﺎﺑﺪ‪ ،‬اﻣﺎ ﺗﻌﺪاد ﻛﻞ ذرات ﻳﺎ ﺑﻪ ﻋﺒﺎرﺗﻲ‬
‫ﺳﻄﺢ زﻳﺮ ﻣﻨﺤﻨﻲﻫﺎ ﺛﺎﺑﺖ اﺳﺖ ‪ .‬ذرات از ﺳﻄﺢ ﺑﻪ ﻋﻤﻖ وﻳﻔﺮ ﻧﻔﻮذ ﻛﺮدهاﻧﺪ‪.‬‬

‫‪228‬‬
‫‪.1‬زﻣﺎن ‪ : t0‬ﭼﻮن زﻣﺎن ﻛﻤﺘﺮي ﺑﺮاي ﻧﻔﻮذ دارﻳﻢ ‪،‬ﻟﺬا ﻧﻔﻮذ در ﻋﻤﻖ ﻛﻤﺘﺮي ﺻﻮرت ﮔﺮﻓﺘﻪ در ﻧﺘﻴﺠﻪ‬
‫ﻣﻴﺰان ﻏﻠﻈﺖ ﻳﺎ ﺗﺮاﻛﻢ در ﺳﻄﺢ ﺑﻴﺸﺘﺮ اﺳﺖ ‪.‬‬
‫‪.2‬زﻣﺎن ‪ : t1‬ﭼﻮن زﻣﺎن ﻧﺴﺒﺘﺎً ﺑﻴﺸﺘﺮي ﺑﺮاي ﻧﻔﻮذ دارﻳﻢ ‪،‬ﻟﺬا ﻧﻔﻮذ ﺑﻴﺸﺘﺮي اﻧﺠﺎم ﺷﺪه اﺳﺖ‪.‬‬
‫ﺑﻨﺎﺑﺮاﻳﻦ ﻣﻴﺰان ﺗﺮاﻛﻢ در ﺳﻄﺢ ﻧﺴﺒﺖ ﺑﻪ ﺣﺎﻟﺖ ﻗﺒﻞ ﻛﺎﻫﺶ ﻳﺎﻓﺘﻪ و در ﺳﻄﻮح دور از ﺳﻄﺢ اﻓﺰاﻳﺶ‬
‫ﻣﻲﻳﺎﺑﺪ ‪.‬‬
‫‪.3‬زﻣﺎن ‪ : t2‬ﭼﻮن زﻣﺎن ﺑﻪ ﻣﻘﺪار ﻛﺎﻓﻲ ﺑﺮاي ﻧﻔﻮذ در وﻳﻔﺮ دارﻳﻢ ‪،‬ﺑﻴﺸﺘﺮ از دو ﻣﺮﺣﻠﻪ ﻗﺒﻞ ﻧﻔﻮذ در‬
‫ﻋﻤﻖ ﺻﻮرت ﮔﺮﻓﺘﻪ و ﻣﻴﺰان ﺗﺮاﻛﻢ در ﺳﻄﺢ ﻛﺎﻫﺶ ﻳﺎﻓﺘﻪ اﺳﺖ ‪.‬‬

‫‪ - 3- 3- 3- 7‬ﺿﺮﻳﺐ ﻧﻔﻮذ ‪:‬‬

‫ﺑﺎ ﭘﺎراﻣﺘﺮ ﺿﺮﻳﺐ ﻧﻔﻮذ ﻣﻲﺗﻮان ﻣﻴﺰان و ﺳﺮﻋﺖ ﻧﻔﻮذ را ﻛﻨﺘﺮل ﻛﺮد‪ .‬اﻳﻦ ﺿﺮﻳﺐ ﺗﺎﺑﻊ ﻋﻮاﻣﻞ ﻣﺨﺘﻠﻔﻲ‬
‫از ﺟﻤﻠﻪ ﺟﻬﺖ ﻧﻔﻮذ‪ ،‬ﻧﻮع اﺗﻢﻫﺎي ﻧﻔﻮذ ﻛﻨﻨﺪه و ﺗﺮاﻛﻢ آنﻫﺎ‪ ،‬ﻧﻮع و ﺗﺮاﻛﻢ اﺗﻢﻫﺎي ﻣﺎده ﻣﻴﺰﺑﺎن و دﻣﺎ‬
‫اﺳﺖ‪.‬‬
‫ﻣﻬﻢﺗﺮﻳﻦ ﭘﺎراﻣﺘﺮي ﻛﻪ در اﻳﻨﺠﺎ اﻫﻤﻴﺖ دارد دﻣﺎ ﻣﻲﺑﺎﺷﺪ‪ .‬ﺗﺎﺑﻌﻴﺖ ﺿﺮﻳﺐ ﻧﻔﻮذ ﺑﺎ دﻣﺎ ﺑﻪ ﺻﻮرت زﻳﺮ‬
‫اﺳﺖ‪:‬‬

‫دﻣﺎ ﺑﺎﻋﺚ ﺷﻜﺴﺘﻪ ﺷﺪن ﺳﺪ اﻧﺮژي اوﻟﻴﻪ اﺗﻢﻫﺎ ﺷﺪه و ﺑﻪ آنﻫﺎ اﻧﺮژي اوﻟﻴﻪ ﺑﺮاي ﺷﺮوع ﻓﺮآﻳﻨﺪ ﻧﻔﻮذ‬
‫ﻣﻲدﻫﺪ‪.‬‬

‫‪229‬‬
‫ﺑﺎ اﻓﺰاﻳﺶ دﻣﺎ ﺳﺮﻋﺖ ﻧﻔﻮذ اﻓﺰاﻳﺶ ﻣﻲﻳﺎﺑﺪ‪ ،‬ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ ﺷﻜﻞ و راﺑﻄﻪ ﻗﺒﻞ ﺑﺎ اﻓﺰاﻳﺶ دﻣﺎ ﻣﻲﺗﻮان‬
‫ﺿﺮﻳﺐ ﻧﻔﻮذ را ﺑﺎﻻ ﺑﺮد‪ .‬ﺑﻪ ﻫﻤﻴﻦ دﻟﻴﻞ ﮔﺎﻫﻲ ﺑﻪ ﺿﺮﻳﺐ ﻧﻔﻮذ ‪ ،‬ﺿﺮﻳﺐ ﻧﻔﻮذ ﺣﺮارﺗﻲ ﻧﻴﺰ ﻣﻲﮔﻮﻳﻨﺪ ‪.‬‬
‫در ﻓﻨﺎوري‪ ،‬دﻣﺎ در ﻣﺮﺣﻠﻪ ﻧﻔﻮذ ‪ 900- 1300‬اﺳﺖ‪.‬‬

‫‪230‬‬
‫ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ ﻣﻨﺤﻨﻲ ﻣﻲ ﺑﻴﻨﻴ ﻢ ﻛﻪ ﻫﺮ ﻛﺪام از ﻧﺎ ﺧﺎﻟﺼﻲﻫﺎ ﻛﻪ داراي اﻧﺮژي ﻓﻌﺎل ﺳﺎزي ﻛﻤﺘﺮي ﻫﺴﺘﻨﺪ‬
‫‪،‬در دﻣﺎي ﻛﻤﺘﺮ ﺑﻪ ﻧﻔﻮذ ﺑﻴﺸﺘﺮي ﻣﻲرﺳﻨﺪ‪.‬‬

‫‪231‬‬
‫ﺗﺮاﻛﻢ ﭘﺲ از ﮔﺬﺷﺖ ﻳﻚ زﻣﺎن ﻣﺸﺨﺺ در ﺳﻪ دﻣﺎي ﻣﺨﺘﻠﻒ رﺳﻢ ﺷﺪه اﺳﺖ‪ ،‬در دﻣﺎي ﺑﺎﻻ‬
‫ﺳﺮﻋﺖ ﻧﻔﻮذ ﺑﺴﻴﺎر ﺑﻴﺸﺘﺮ اﺳﺖ ‪.‬‬

‫‪ -4-7‬ﻣﻜﺎﻧﻴﺰم ﻧﻔﻮذ در ﺟﺎﻣﺪات ‪:‬‬

‫‪ - 1- 4- 7‬ﻣﻜﺎﻧﻴﺰمﻫﺎي ﻧﻔﻮذ ‪:‬‬

‫ﻧﻔﻮذ در ﺟﺎﻣﺪاﺗﻲ ﻧﻈﻴﺮ ﺳﻴﻠﻴﻜﻮن از ﻃﺮﻳﻖ ﻧﺎﻛﺎﻣﻠﻲﻫﺎ اﻧﺠﺎم ﻣﻲﺷﻮد‪ .‬ﻧﺎﻛﺎﻣﻠﻲﻫﺎ ﺑﻪ ﭼﻨﺪ دﺳﺘﻪ‬
‫ﺗﻘﺴﻴﻢ ﻣﻲ ﺷﻮﻧﺪ ‪ ،‬ﻛﻪ ﻣﻬﻢﺗﺮﻳﻦ آنﻫﺎ ﻋﺒﺎرﺗﻨﺪ از‪ :‬ﻧﺎﻛﺎﻣﻠﻲﻫﺎي ﻧﻘﻄﻪاي‪ ،‬ﻧﺎﻛﺎﻣﻠﻲﻫﺎي ﺧﻄﻲ‪ ،‬ﺳﻄﺤﻲ و‬
‫ﻏﻴﺮه ﻛﻪ ﻫﺮ ﻛﺪام از اﻳﻦﻫﺎ ﻧﻴﺰ اﻧﻮاﻋﻲ دارﻧﺪ ‪.‬‬

‫‪232‬‬
‫ﻧﺎﻛﺎﻣﻠﻲﻫﺎي ﺧﻄﻲ ﻣﺎﻧﻨﺪ ﻧﺎﺑﺠﺎﻳﻲﻫﺎ‪ ،‬ﻣﺮزداﻧﻪ ﻫﺎ و ﻏﻴﺮه ﺟﺰو ﻧﻘﺎﻳﺺ وﻳﻔﺮ ﻣﺤﺴﻮب ﻣﻲﺷﻮﻧﺪ‪.‬ﻧﻔﻮذ از‬
‫اﻳﻦ ﻣﺴﻴﺮﻫﺎ ﺳﺮﻳﻊﺗﺮ اﺗﻔﺎق ﻣﻲاﻓﺘﺪ‪ .‬ﺑﻪ ﻫﻤﻴﻦ دﻟﻴﻞ ﺑﻪ آنﻫﺎ ﻣﺴﻴﺮﻫﺎي ﺑﺎ ﺿﺮﻳﺐ ﻧﻔﻮذ ﺑﺎﻻ ﻣﻴﮕﻮﻳﻨﺪ ‪.‬‬
‫در ﻳﻚ وﻳﻔﺮ ﺳﺎﻟﻢ و اﻳﺪه آل ﻧﺒﺎﻳﺪ ﭼﻨﻴﻦ ﻧﻮاﻗﺼﻲ داﺷﺘﻪ ﺑﺎﺷﻴﻢ ﺑﻪ ﻫﻤﻴﻦ دﻟﻴﻞ ﻣﻜﺎﻧﻴﺰم اﺻﻠﻲ ﻧﻔﻮذ‬
‫ﻧﺎﻛﺎﻣﻠﻲﻫﺎي ﻧﻘﻄﻪاي ﻣﻲﺑﺎﺷﻨﺪ ﻛﻪ ﻋﺒﺎرﺗﻨﺪ از ‪:‬‬
‫)‪Point defects(vacancy‬‬
‫در اﻳﻦ ﻧﻮع ﻧﺎﻛﺎﻣﻠﻲﻫﺎ ﻳﻚ اﺗﻢ از ﺳﺎﺧﺘﺎر ﺷﺒﻜﻪ ﺣﺬف ﻣﻲﺷﻮد‪ ،‬و ﺑﻪ دو ﺻﻮرت ‪ Neutral‬و‬
‫‪ Charged‬ﻣﻲﺑﺎﺷﺪ‪ .‬در ﺑﻌﻀﻲ ﻣﻮاﻗﻊ ﺣﺘﻲ در دﻣﺎي ﺻﻔﺮ درﺟﻪ ﻛﻠﻮﻳﻦ ﻫﻢ در ﺷﺒﻜﻪ آنﻫﺎ ‪vacancy‬‬

‫)ﺟﺎي ﺧﺎﻟﻲ( وﺟﻮد دارد‪ .‬وﺟﻮد اﻳﻦ ﺟﺎﻫﺎي ﺧﺎﻟﻲ ﺑﺎﻋﺚ ﻣﻲﺷﻮد ﻛﻪ اﺗﻢﻫﺎي اﻃﺮاف آن ‪،‬ﺑﺎ ﮔﺮﻓﺘﻦ‬
‫اﻧﺮژي آن ‪ vacancy‬ﻫﺎ را ﭘﺮ ﻛﻨﻨﺪ‪ .‬ﺣﺎل در ﻧﻈﺮ ﺑﮕﻴﺮﻳﺪ ﻛﻪ ﻳﻚ ‪ vacancy‬در ﺳﻄﺢ ﻣﺎده ﻇﺎﻫﺮ ﺷﻮد‪.‬‬
‫در اﻳﻦ ﻫﻨﮕﺎم ﻣﺎده ﻧﻔﻮذ ﻛﻨﻨﺪه ‪ vacancy‬را ﭘﺮ ﻣﻲﻛﻨﺪ و وارد ﻓﺮآﻳﻨﺪ ﻧﻔﻮذ ﻣﻲ ﺷﻮد‪ .‬از آﻧﺠﺎﻳﻲ ﻛﻪ در‬
‫ﺣﺎﻟﺖ ﻋﺎدي اﻳﻦ ‪ vacancy‬ﻫﺎ ﻛﻢ ﻫﺴﺘﻨﺪ ﻟﺬا ﻳﻚ اﺗﻢ ﺑﺎﻳﺪ ﻣﻨﺘﻈﺮ ﺑﻤﺎﻧﺪ ﺗﺎ اﻳﻦ ‪ vacancy‬ﻫﺎ رخ‬
‫دﻫﻨﺪ‪.‬ﺑﻨﺎﺑﺮاﻳﻦ ﻧﻔﻮذ از ﻃﺮﻳﻖ اﻳﻦ ﻣﻜﺎﻧﻴﺰﻳﻢ ﻛﻨﺪ اﺳﺖ ‪.‬‬

‫ﺑﻪ ﻋﻨﻮان ﻣﺜﺎل در اﺗﻢ ‪ ،ZnO‬ﺑﺎ دادن ﻣﻘﺪاري اﻧﺮژي ﺑﻪ ﻛﺮﻳﺴﺘﺎل‪ ،‬اﻛﺴﻴﮋن ﻛﺮﻳﺴﺘﺎل را ﺗﺮك ﻛﺮده و‬
‫دو ﺗﺎ از اﻟﻜﺘﺮونﻫﺎي آن ﺑﺎﻗﻲ ﻣﻲﻣﺎﻧﺪ و ﺑﺎ وارد ﺷﺪن دو اﻟﻜﺘﺮون ﺑﻪ درون ﻛﺮﻳﺴﺘﺎل ﻋﻤﻞ ﻧﻔﻮذ‬
‫ﺻﻮرت ﻣﻲﮔﻴﺮد ‪.‬‬
‫ﻧﻔﻮذ از ﻃﺮﻳﻖ ‪ vacancy‬ﻋﻤﺪﺗﺎً در ‪ poly crystal‬ﻫﺎ راﻳﺞ اﺳﺖ‪ .‬زﻳﺮا ﻋﻤﻞ ﻛﻨﺘﺮل ﺑﺎ ﺗﻮﺟﻪ وﺟﻮد‬
‫‪ grain boundary‬از ﻃﺮﻳﻖ روشﻫﺎي دﻳﮕﺮ ) ‪ (Interstitial,Impurity‬اﺳﺎﺳﺎً ﻣﺸﻜﻞ اﺳﺖ ‪.‬‬
‫‪Impurity atom‬‬
‫ﺑﻪ ﺟﺎي ﻳﻜﻲ از اﺗﻢﻫﺎي ﺷﺒﻜﻪ‪ ،‬ﻳﻚ اﺗﻢ ﻣﺘﻔﺎوت ﻣﻲﻧﺸﻴﻨﺪ‪ ،‬و ﺑﺎز ﺑﻪ دو ﺻﻮرت ‪ Neutral‬و‪charged‬‬

‫ﻣﻲﺑﺎﺷﺪ ‪.‬‬
‫‪233‬‬
‫‪Interstitial atom‬‬
‫ﻳﻚ اﺗﻢ ﺑﻪ درون ﺳﺎﺧﺘﺎر ﺷﺒﻜﻪ ﻧﻔﻮذ ﻣﻲﻛﻨﺪ)اﺗﻢ ﻣﻴﺎن ﺳﺎﺧﺘﺎري(‪ .‬و ﺑﻪ دو ﺻﻮرت ‪ self atom‬و‬
‫‪ foreign atom‬ﻣﻲﺑﺎﺷﺪ‪ .‬در اﻳﻦ ﺣﺎﻟﺖ اﺗﻢﻫﺎي ﻧﺎﺧﺎﻟﺼﻲ از ﻃﺮﻳﻖ ﻓﻀﺎي ﺑﺎزي ﻛﻪ در ﺷﺒﻜﻪ ﻣﺎده‬
‫ﻣﻴﺰﺑﺎن وﺟﻮد دارد ‪،‬ﺑﻪ ﺷﺮط اﻳﻨﻜﻪ ﺑﻪ اﻧﺪازه ﻛﺎﻓﻲ رﻳﺰ ﺑﺎﺷﻨﺪ ﺑﻪ درون ﻣﺎده ﻧﻔﻮذ ﻣﻲﻛﻨﻨﺪ‪.‬ﻣﺜﻞ ﻧﻔﻮذ‬
‫اﺗﻢﻫﺎي ‪ Li‬و ‪ Cu‬در ‪. Si‬‬

‫ﻣﻜﺎﻧ ﻴﺰمﻫﺎي دﻳﮕﺮي ﻧﻴﺰ وﺟﻮد دارد ﻛﻪ ﻣﻲﺗﻮان آنﻫﺎ را زﻳﺮ ﻣﺠﻤﻮﻋﻪ دو ﺣﺎﻟﺖ ﺑﺎﻻ در ﻧﻈﺮ ﮔﺮﻓﺖ ‪.‬‬
‫ﺑﺮاي ﻣﺜﺎل در ﻣﻜﺎﻧﻴﺰم ‪ kick-out‬اﺗﻢﻫﺎي ‪ ،interstitial‬ﻳﻚ اﺗﻢ اﺻﻠﻲ ﺷﺒﻜﻪ را ﻫﻞ ﻣﻲدﻫﻨﺪ و ان را‬
‫ﺑﻪ ﻓﻀﺎي ﺑﻴﻦ اﺗﻢﻫﺎي ﻛﺮﻳﺴﺘﺎل ﻣﻲراﻧﻨﺪ و ﺧﻮد ﺑﻪ ﺟﺎي آن ﻣﻲ ﻧﺸﻴﻨﻨﺪ و ﻳﺎ در ﻣﻜﺎﻧﻴﺰم ‪Frank-‬‬

‫‪ Turnbull‬ﻳﻚ اﺗﻢ ‪ interstitial‬و ﻳﻚ ‪ vacancy‬در ﺷﺒﻜﻪ وﺟﻮد دارﻧﺪ ﻛﻪ در ﺣﺎل ﺣﺮﻛﺖ ﻣﻲﺑﺎﺷﻨﺪ‪.‬‬
‫وﻗﺘﻲ ﺑﻪ ﻳﻜﺪﻳﮕﺮ ﻣﻲ رﺳﻨﺪ‪ ،‬اﺗﻢ ‪ interstitial‬در ‪ vacancy‬ﻗﺮار ﻣﻲﮔﻴﺮد و ﻫﺮ دو از ﺑﻴﻦ ﻣﻲروﻧﺪ ‪.‬‬
‫ﻻزم ﺑﻪ ذﻛﺮ اﺳﺖ ﻛﻪ ﺧﻮد ‪vacancy‬ﻫﺎ و اﺗﻢﻫﺎي ‪ interstitial‬از ﭘﺪﻳﺪه ﻧﻔﻮذ ﭘﻴﺮوي ﻣﻲﻛﻨﻨﺪ ‪.‬‬

‫ﻧﻔﻮذ ﺣﺮارﺗﻲ ﺣﺎﻟﺖ ﺟﺎﻣﺪ ﺑﻪ ﻣﻨﻈﻮر اﻳﺠﺎد ﭘﻴﻮﻧﺪ در ﻧﻴﻤﻪ ﻫﺎديﻫﺎ ﺷﺎﻣﻞ دو ﻣﺮﺣﻠﻪ ﻛﻠﻲ ﻣﻲ ﺑﺎﺷﺪ ‪:‬‬
‫ﻣﺮﺣﻠﻪ اول ﻻﻳﻪ ﻧﺸﺎﻧﻲ و ﻣﺮﺣﻠﻪ دوم ﺑﻪ ﻧﺎم اﻛﺴﻴﺪاﺳﻴﻮن ﺷﻨﺎﺧﺘﻪ ﺷﺪهاﻧﺪ‪ ،‬ﻛﻪ ﻫﺮ دو ﻣﺮﺣﻠﻪ‬
‫ﻣﻲﺗﻮاﻧﻨﺪ در ﻛﻮرهﻫﺎي اﻓﻘﻲ و ﻋﻤﻮدي اﻧﺠﺎم ﮔﻴﺮﻧﺪ‪ .‬ﺷﻜﻞ ﺷﻤﺎره‪ 1‬اﻳﻦ دو ﻣﺮﺣﻠﻪ را ﻧﺸﺎن ﻣﻲدﻫﺪ‪.‬‬

‫‪234‬‬
‫ﺷﻜﻞ ﺷﻤﺎره ‪ :1‬ﻣﺮاﺣﻞ ﻓﺮاﻳﻨﺪ ﻧﻔﻮذ‬

‫ﺗﺠﻬﻴﺰات ﻣﻮرد اﺳﺘﻔﺎده در اﻳﻦ ﻣﺮاﺣﻞ ﻫﻤﺎﻧﻨﺪ ﺗﺠﻬﻴﺰات ﻣﻮرد اﺳﺘﻔﺎده در ﻣﺮﺣﻠﻪ اﻛﺴﻴﺪاﺳﻴﻮن‬
‫ﻣﻲﺑﺎﺷﻨﺪ‪ .‬ﺷﻜﻞﻫﺎ ي ﺷﻤﺎره ‪ 2‬و ‪ 3‬ﻧﻤﺎﻳﻲ از ﻛﻮرهﻫﺎ ي ﻋﻤﻮدي و اﻓﻘﻲ را ﻧﻤﺎﻳﺶ ﻣﻲدﻫﺪ ﻛﻪ‬
‫ﻣﻲﺗﻮاﻧﻨﺪ در ﻣﺮاﺣﻞ ﻣﺨﺘﻠﻒ ﺳﺎﺧﺖ وﻳﻔﺮ از ﺟﻤﻠﻪ اﻛﺴﻴﺪاﺳﻴﻮن و ﻧﻔﻮذ ﻣﻮرد اﺳﺘﻔﺎده ﻗﺮار ﮔﻴﺮد ‪.‬‬

‫ﺷﻜﻞ ﺷﻤﺎره ‪ - 2‬ﻛﻮره اﻓﻘﻲ ﻣﻮرد اﺳﺘﻔﺎده در ﻓﺮآﻳﻨﺪ ﻧﻔﻮذ‪ ،‬اﻛﺴﻴﺪاﺳﻴﻮن و ‪CVD‬‬

‫‪235‬‬
‫ﺷﻜﻞ ﺷﻤﺎره ‪ - 3‬ﻛﻮره اﻓﻘﻲ ﻣﻮرد اﺳﺘﻔﺎده در ﻓﺮآﻳﻨﺪ ﻧﻔﻮذ‪ ،‬اﻛﺴﻴﺪاﺳﻴﻮن و ‪CVD‬‬

‫ﻧﻜﺘﻪ ﻣﻬﻢ در ﻣﻮرد اﻳﻦ ﻛﻮرهﻫﺎ اﻳﻦ اﺳﺖ ﻛﻪ‪ ،‬ﺗﻐﻴﻴﺮات دﻣﺎي داﺧﻞ ﻛﻮره ﺑﻪ ﺗﺪرﻳﺞ اﻧﺠﺎم ﻣﻲﺷﻮد‪.‬‬

‫‪ - 2- 4- 7‬ﻻﻳﻪ ﻧﺸﺎﻧﻲ )‪(Deposition‬‬

‫اوﻟﻴﻦ ﻣﺮﺣﻠﻪ از ﻓﺮاﻳﻨﺪ ﻧﻔﻮذ ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻣﻲ ﺑﺎﺷﺪ‪ .‬اﻳﻦ ﻣﺮﺣﻠﻪ ﺑﺎ ﻧﺎمﻫﺎي دﻳﮕﺮي از ﺟﻤﻠﻪ‬
‫‪ predisposition, dep‬و ‪ predep‬ﻧﺎﻣﻴﺪه ﻣﻲ ﺷﻮد ‪ .‬در اﻳﻦ ﻣﺮﺣﻠﻪ وﻳﻔﺮﻫﺎ درون ﻳﻚ ﺳﻄﺢ ﻣﺴﻄﺢ‬
‫درون ﻛﻮرهﻫﺎ ﻗﺮار ﻣﻲﮔ ﻴﺮﻧﺪ ‪ .‬ﻣﻨﺎﺑﻊ ﻧﺎﺧﺎﻟﺼﻲ درون ﻛﺎﺑ ﻴﻨﺖﻫﺎي ﻣﻨﺒﻊ ﻧﮕﻬﺪاري ﻣﻲ ﺷﻮﻧﺪ ‪ ،‬و ﺑﺨﺎر‬
‫آنﻫﺎ ﺑﺎ ﺗﺮاﻛﻢ ﻣﻮرد ﻧﻴﺎز ﺑﻪ درون ﻛﻮره ﻓﺮﺳﺘﺎده ﻣﻲ ﺷﻮد‪ .‬اﻳﻦ ﻋﻤﻠﻴﺎت در ﺷﻜﻞ ﺷﻤﺎره ‪ 4‬ﻧﺸﺎن داده‬
‫ﺷﺪه اﺳﺖ ‪.‬‬

‫ﺷﻜﻞ ﺷﻤﺎره ‪ : 4‬ﻧﻔﻮذ ﺑﺨﺎر اﺗﻢﻫﺎي ﻧﺎﺧﺎﻟﺼﻲ ﺑﻪ درون وﻳﻔﺮ‬

‫‪236‬‬
‫درون ﻛﻮره اﺗﻢﻫﺎي ﻧﺎﺧﺎﻟﺼﻲ ﺑﺎ دو ﻣﻜﺎﻧﻴﺰم ﻣﺨﺘﻠﻒ ﺟﺎي ﺧﺎﻟﻲ) ‪ (vacancy‬و درون‬
‫ﺷﺒﻜﻪاي) ‪ ( interstitial‬درون وﻳﻔﺮ ﻧﻔﻮذ ﻣﻲ ﻛﻨﻨﺪ ﻛﻪ اﻳﻦ دو ﻣﻜﺎﻧﻴﺰم در ﻗﺴﻤﺖ ﻗﺒﻞ ﺑﻪ ﺗﻔﻀﻴﻞ ﺑﻴﺎن‬
‫ﺷﺪﻧﺪ ﺗﺼﻮﻳﺮ اﻳﻦ ﻣﻜﺎﻧﻴﺰمﻫﺎ در اﺷﻜﺎل ﺷﻤﺎره ‪5‬و ‪ 6‬آﻣﺪه اﺳﺖ‪.‬‬
‫ﻓﺮاﻳﻨﺪ ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﺗﻮﺳﻂ ﺷﺎﺧﺺﻫﺎي ﻣﺘﻌﺪدي ﻛﻨﺘﺮل و ﻣﺤﺪود ﻣﻲﮔﺮدد ﻛﻪ از ﺟﻤﻠﻪ آنﻫﺎ ﻣﻲﺗﻮان‬
‫ﺑﻪ ﻣﻮارد ذﻳﻞ اﺷﺎره ﻧﻤﻮد ‪:‬‬
‫ﺿﺮﻳﺐ ﻧﻔﻮذ ﻧﺎﺧﺎﻟﺼﻲﻫﺎ‬
‫اﻳﻦ ﺷﺎﺧﺺ ﻫﻤﺎن ﻧﺮخ ﻧﻔﻮذ ﻧﺎﺧﺎﻟﺼﻲ در وﻳﻔﺮ ﻣﻲﺑﺎﺷﺪ‪ .‬ﻫﺮ ﭼﻪ ﺿﺮﻳﺐ ﻧﻔﻮذ ﻳﻚ ﻧﺎﺧﺎﻟﺼﻲ ﺑﻴﺸﺘﺮ‬
‫ﺑﺎﺷﺪ ﺳﺮﻋﺖ ﻧﻔﻮذ آن در وﻳﻔﺮ ﻧﻴﺰ ﺑﻴﺸﺘﺮ ﺧﻮاﻫﺪ ﺑﻮد ‪.‬‬

‫ﺷﻜﻞ ﺷﻤﺎره ‪ :7‬راﺑﻄﻪ ﺿﺮﻳﺐ ﻧﻔﻮذ ﻧﺎﺧﺎﻟﺼﻲﻫﺎ ﺑﺎ ﺗﺮاﻛﻢ اﺗﻢﻫﺎي ﻧﺎﺧﺎﻟﺼﻲ‬


‫در ﺟﺪول ﺷﻤﺎره‪ 1‬ﺿﺮﻳﺐ ﻧﻔﻮذ ﻧﺎﺧﺎﻟﺼﻲﻫﺎي ﻣﺨﺘﻠﻒ در ﻳﻜﺪﻳﮕﺮ ﻓﻬﺮﺳﺖ ﺷﺪه اﺳﺖ ‪.‬‬

‫‪237‬‬
‫‪.2‬دﻣﺎ‬
‫از ﺳﺎﻳﺮ ﻋﻮاﻣﻞ ﻣﻮﺛﺮ ﺑﺮ ﻗﺎﺑﻠﻴﺖ ﻧﻔﻮذ ﻣﻲﺗﻮان ﺑﻪ دﻣﺎ اﺷﺎره ﻛﺮد‪ ،‬اﻓﺰاﻳﺶ دﻣﺎ راﺑﻄﻪ ﻣﺴﺘﻘﻴﻤﻲ ﺑﺎ‬
‫ﻣﻴﺰان ﻧﻔﻮذ ﻧﺎﺧﺎﻟﺼﻲ درون وﻳﻔﺮ دارد‪ .‬در واﻗﻊ ﮔﺮﻣﺎي اوﻟﻴﻪ ﻣﻮﺟﺐ ﺷﻜﺴﺘﻦ ﺳﺪ اﻧﺮژي اوﻟﻴﻪ اﺗﻢﻫﺎ‬
‫ﺷﺪه و ﺑﻪ آنﻫﺎ اﻧﺮژي اوﻟﻴﻪ ﺑﺮاي ﺷﺮوع ﻓﺮاﻳﻨﺪ ﻧﻔﻮذ را ﻣﻲدﻫﺪ‪.‬‬
‫اﻳﻦ اﻣﺮ در ﺷﻜﻞ ﺷﻤﺎره‪ ) 8‬ﻧﻔﻮذ اﺗﻢﻫﺎي ﻧﺎﺧﺎﻟﺼﻲ ﻣﺲ و ﻧﻴﺘﺮوژن در ﻳﻜﺪﻳﮕﺮ( ﻧﺸﺎن داده ﺷﺪه‬
‫اﺳﺖ‪.‬‬

‫ﺷﻜﻞ ﺷﻤﺎره‪ :8‬ﻧﻘﺶ ﮔﺮﻣﺎ در ﻓﺮاﻳﻨﺪ ﻧﻔﻮذ‬


‫‪.3‬ﻣﺎﻛﺰﻳﻤﻢ ﻗﺎﺑﻠﻴﺖ ﺣﻞ ﻧﺎﺧﺎﻟﺼﻲ در ﺟﺎﻣﺪ‬
‫ﻓﺎﻛﺘﻮر دﻳﮕﺮ ﻣﺎﻛﺰﻳﻤﻢ ﻗﺎﺑﻠﻴﺖ ﺣﻞ ﻧﺎﺧﺎﻟﺼﻲ در ﺟﺎﻣﺪ اﺳﺖ ‪.‬و ﺑﻪ ﺻﻮرت ﻣﺎﻛﺰﻳﻤﻢ ﺗﺮاﻛﻢ ﻳﻚ‬
‫ﻧﺎﺧﺎﻟﺼﻲ ﻛﻪ در ﻳﻚ دﻣﺎي ﻣﻌﻴﻦ ﻣﻲﺗﻮاﻧﺪ درون ﻣﺎده ﺟﺎﻣﺪ ﺣﻞ ﺷﻮد ﺗﻌﺮﻳﻒ ﻣﻲﮔﺮدد ‪.‬ﻳﻚ ﻣﺜﺎل‬
‫ﻋﻤﻮﻣﻲ ﺑﺮاي اﻳﻦ ﻓﺮاﻳﻨﺪ ﺣﻼﻟﻴﺖ ﺷﻜﺮ درون آب اﺳﺖ ﻛﻪ ﭘﺲ از ﻣﺪﺗﻲ ﺑﻪ ﺣﺪ اﺷﺒﺎع ﻣﻲرﺳﺪ‪ .‬ﻳﺎ‬
‫ﺑﻬﺘﺮ از اﻳﻦ ﺑﻪ ﺣﻼﻟﻴﺖ ﻧﻤﻚ در آب ﻣﻲﺗﻮان اﺷﺎره ﻛﺮد ﻛﻪ در دﻣﺎي ﺧﻴﻠﻲ ﺑﺎﻻ ﻣﺤﺪودﻳﺖ ﺣﻞ ﺷﺪن‬
‫ﻧﺪارد‪.‬‬
‫ﻣﺎﻛﺰﻳﻤﻢ ﻗﺎﺑﻠﻴﺖ ﺣﻞ ﻧﺎﺧﺎﻟﺼﻲ درون ﻳﻚ ﺟﺎﻣﺪ واﺑﺴﺘﻪ ﺑﻪ دﻣﺎ ﺑﻮده و ﺑﺎ اﻓﺰاﻳﺶ دﻣﺎ اﻓﺰاﻳﺶ ﻣﻲﻳﺎﺑﺪ ‪.‬‬
‫در ﺷﻜﻞ ‪ 9‬ﻗﺎﺑﻠﻴﺖ ﺣﻞ ﻣﻮاد ﻣﺨﺘﻠﻒ در ﺳﻴﻠﻴ ﻜﻮن در دﻣﺎﻫﺎي ﻣﺨﺘﻠﻒ ﻧﻤﺎﻳﺶ داده ﺷﺪه اﺳﺖ ‪.‬‬

‫‪238‬‬
‫ﺷﻜﻞ ﺷﻤﺎره ‪ :9‬ﻗﺎﺑﻠﻴﺖ ﺣﻞ ﻣﻮاد ﻣﺨﺘﻠﻒ در ﺳﻴﻠﻴﻜﻮن در دﻣﺎﻫﺎي ﻣﺨﺘﻠﻒ‬
‫در ﻓﺮاﻳﻨﺪ ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﺗﺮاﻛﻢ اﺗﻢﻫﺎي ﻧﺎﺧﺎﻟﺼﻲ از ﻣﺎﻛﺰﻳﻤﻢ ﻗﺎﺑﻠﻴﺖ ﺣﻞ ﻧﺎﺧﺎﻟﺼﻲ درون وﻳﻔﺮ ﺑﻴﺸﺘﺮ‬
‫در ﻧﻈﺮ ﮔﺮﻓﺘﻪ ﻣﻲ ﺷﻮد ﺗﺎ ﺑﺪﻳﻦ ﺗﺮﺗﻴﺐ ﺑﺘﻮان ﻣﺎﻛﺰﻳﻤﻢ ﻧﺎﺧﺎﻟﺼﻲ را درون وﻳﻔﺮ ﻧﻔﻮذ داد‪ .‬ﺗﻌﺪاد‬
‫اﺗﻢﻫﺎي ﻧﺎﺧﺎﻟﺼﻲ در ﻳﻜﺎﻳﻚ ﺳﻄﻮح وﻳﻔﺮ از ﺷﺎﺧﺺﻫﺎي اﺻﻠﻲ و ﺗﺄﺛﻴﺮ ﮔﺬار در ﻋﻤﻠﻜﺮد دﻳﻮد ﻫﺎ و‬
‫ﺗﺮاﻧﺰﻳﺴﺘﻮرﻫﺎي ﭘﻴﻮﻧﺪي ﻣﺤﺴﻮب ﻣﻲﮔﺮدد‪ .‬در ﺷﻜﻞ ﺷﻤﺎره ‪ 10‬ﻧﻤﺎﻳﻲ از ﻓﺮاﻳﻨﺪ ﻧﻔﻮذ ﻧﺎﺧﺎﻟﺼﻲﻫﺎ ﺑﺮ‬
‫اﺳﺎس زﻣﺎن آورده ﺷﺪه اﺳﺖ ‪.‬‬

‫ﺷﻜﻞ ﺷﻤﺎره ‪ : 10‬ﺗﻐﻴﻴﺮات ﺗﺮاﻛﻢ ﻧﺎﺧﺎﻟﺼﻲﻫﺎي ﻧﻔﻮذ ﻳﺎﻓﺘﻪ ﺑﺮ ﺣﺴﺐ زﻣﺎن‬

‫‪239‬‬
‫‪.4‬زﻣﺎن ‪:‬‬
‫ﺷﺎﺧﺼﻪ ﻣﻬﻢ دﻳﮕﺮ زﻣﺎن اﺳﺖ‪ .‬ﻫﺮ ﭼﻪ زﻣﺎن ﻧﻔﻮذ ﺑﻴﺸﺘﺮ ﺑﺎﺷﺪ‪ ،‬ﺗﺮاﻛﻢ ﻧﺎﺧﺎﻟﺼﻲ ﺑﻴﺸﺘﺮ اﺳﺖ‪ ،‬ﺑﻪ‬
‫اﺗﻢﻫﺎي ﻧﻔﻮذﻛﻨﻨﺪه زﻳﺎد ﺧﻮاﻫﺪ ﺷﺪ ‪.‬‬ ‫ﻋﺒﺎرﺗﻲ ﺗﺮاﻛﻢ و ﺗﻌﺪاد‬

‫‪ - 1- 2- 4- 7‬ﻣﺮاﺣﻞ اﺻﻠﻲ ﻓﺮاﻳﻨﺪ ﻻﻳﻪ ﻧﺸﺎﻧﻲ‬

‫اﻳﻦ ﻣﺮاﺣﻞ ﺑﻪ ﻗﺮار ذﻳﻞ اﺳﺖ ‪:‬‬


‫ﺗﻤﻴﺰ ﻛﺮدن اوﻟﻴﻪ و زداﻳﺶ )‪(preclean and etch‬‬
‫ﻻﻳﻪ ﻧﺸﺎﻧﻲ در ﻛﻮره ) ‪(Deposition‬‬
‫‪deglaze‬‬
‫ارزﻳﺎﺑﻲ‬
‫اﻳﻦ ﻣﺮاﺣﻞ ﺑﻪ ﺗﻔﻀﻴﻞ در زﻳﺮ ﺷﺮح داده ﻣﻲﺷﻮد ‪:‬‬
‫ﺗﻤﻴﺰ ﻛﺮدن اوﻟﻴﻪ و زداﻳﺶ )‪(preclean and etch‬‬
‫وﻳﻔﺮﻫﺎﻳﻲ ﻛﻪ از ﻣﺮاﺣﻞ ﻗﺒﻠﻲ ﺑﻪ اﻳﻦ ﻣﺮﺣﻠﻪ ﻣﻲ رﺳﻨﺪ اﺑﺘﺪا ﻣﻲ ﺑﺎﻳﺴﺖ زداﻳﺶ ﺷﻮﻧﺪ‪ ،‬ﺗﺎ ﺑﺪﻳﻦ ﺗﺮﺗﻴﺐ‬
‫ذرات آﻟﻮدﮔﻲ از ﺳﻄﺢ آنﻫﺎ ﭘﺎك ﺷﻮد‪ .‬ﻓﺮاﻳﻨﺪﻫﺎ و ﻣﻮاد ﺷﻴﻤﺎﻳﻲ ﻛﻪ در اﻳﻦ ﻣﺮﺣﻠﻪ ﻣﻮرد اﺳﺘﻔﺎده‬
‫ﻗﺮار ﻣﻲﮔﻴﺮﻧﺪ‪ ،‬ﻣﺎﻧﻨﺪ ﻣﺮﺣﻠﻪ ﭘﺎك ﺳﺎزي در ﻓﺮاﻳﻨﺪ اﻛﺴﻴﺪاﺳﻴﻮن ﻣﻲﺑﺎﺷﻨﺪ ‪.‬‬
‫روشﻫﺎي ﺗﻤﻴﺰ ﺳﺎزي ﺷﺎﻣﻞ ﺟﺎروي ﻣﻜﺎﻧﻴﻜﻲ و ‪ RCA‬ﻣﻲﺑﺎﺷﻨﺪ‪ .‬در روش ﺟﺎروي ﻣﻜﺎﻧﻴﻜﻲ وﻳﻔﺮ‬
‫روي ﻳﻚ ﺳﻄﺢ ﮔﺮدان ﻗﺮار ﻣﻲﮔﻴﺮد و از ﺑﺎﻻ آب ‪ Deionized‬ﺷﺪه ﺑﺮ روي ﺳﻄﺢ آن ﭘﺎﺷﻴﺪه‬
‫ﻣﻲﺷﻮد و ﻳﻚ ﻓﺮﭼﻪ روي ﺳﻄﺢ را ﭘﺎك ﻣﻲﻛﻨﺪ‪ .‬روش ‪ RCA‬ﻳﻚ روش ﺷﻴﻤﻴﺎﻳﻲ اﺳﺖ و از ﺗﺮﻛﻴﺒﺎت‬
‫ﭘﺮ اﻛﺴﻴﺪ ﻫﻴﺪروژن ﺑﻪ ﻫﻤﺮاه ﻳﻚ اﺳﻴﺪ ﻳﺎ ﺑﺎز اﺳﺘﻔﺎده ﻣﻲ ﻛﻨﺪ ‪.‬‬
‫ﺑﻌﺪ از ﻣﺮﺣﻠﻪ ﺗﻤﻴﺰ ﻛﺮدن اوﻟﻴﻪ وﻳﻔﺮﻫﺎ ﺑﻪ ﻃﻮر ﺷﻴﻤﻴﺎﻳ ﻲ در ﻳﻚ ﻣﺤﻠﻮل ‪ HF‬و ﻳ ﺎ آب و ‪ HF‬زداﻳﺶ‬
‫ﻣﻲﺷﻮﻧﺪ ﺗﺎ ﻻﻳﻪ اﻛﺴﻴﺪ رﺷﺪ ﻛﺮده روي ﺳﻄﺢ ﻧﺎﺷ ﻲ از ﻣﺮﺣﻠﻪ ﺗﻤﻴﺰ ﻛﺮدن اوﻟﻴﻪ‪ ،‬ﻳﺎ ﺑﻪ دﻟﻴﻞ در‬
‫ﻣﺠﺎورت ﺑﻮدن ﻫﻮا‪ ،‬ﺣﺬف ﺷﻮد‪ ،‬و ﻧﺎﺧﺎﻟﺼﻲﻫﺎ ﺑﺘﻮاﻧﻨﺪ ﺑﻪ درون وﻳﻔﺮ ﻧﻔﻮذ ﻛﻨﻨﺪ‪.‬‬
‫ﻫﻤﭽﻨﻴﻦ ﺑﻪ ﻣﻨﻈﻮر ﺟﻠﻮﮔﻴﺮ ي از ﺗﻐﻴﻴﺮ ﺿﺨﺎﻣﺖ اﻛﺴﻴﺪ ﺗﺸﻜﻴﻞ ﺷﺪه ﺑﺮ روي ﺳﻄﺢ ﻣﻲﺑﺎﻳﺴﺖ‬
‫ﻣﻴﺎن زﻣﺎن زداﻳﺶ و ﺗﺮاﻛﻢ اﺗﻢﻫﺎي ﻧﺎﺧﺎﻟﺼﻲ ﺗﻮازن ﺑﺮﻗﺮار ﺑﺎﺷﺪ‪.‬‬
‫‪ .2‬ﻻﻳﻪ ﻧﺸﺎﻧﻲ در ﻛﻮره ‪:‬‬
‫ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻧﻴﺎزﻣﻨﺪ ﺳﻪ ﻣﺮﺣﻠﻪ ﻣﻲ ﺑﺎﺷﺪ ‪:‬‬
‫ﺑﺎر ﮔﺬاري درون ﻛﻮره ﻛﻪ در اﺗﻤﺴﻔﺮ ﻧﻴﺘﺮوژن ﺻﻮرت ﻣﻲﮔﻴﺮد ‪.‬‬

‫‪240‬‬
‫ﻻﻳﻪ ﻧﺸﺎﻧﻲ )‪( Actual Doping‬‬

‫ﺧﺮوج وﻳﻔﺮﻫﺎ از ﻛﻮره ﻛﻪ اﻳﻦ ﻣﺮﺣﻠﻪ ﻧﻴﺰ در اﺗﻤﺴﻔﺮ ﻧﻴﺘﺮوژن اﻧﺠﺎم ﻣﻲﺷﻮد‪.‬‬
‫اﺑﺘﺪا وﻳﻔﺮﻫﺎي ﻣﻮرد ﻧﻈﺮ ﺑﺮ روي ﻗﺎﻳﻖﻫﺎﻳﻲ ﺳﻮار ﺷﺪه و وارد ﻛﻮره ﻣﻲ ﺷﻮﻧﺪ ‪ .‬ﻧﺤﻮه ﻗﺮار ﮔﺮﻓﺘﻦ‬
‫وﻳﻔﺮﻫﺎ ﺑﺮ روي ﻗﺎﻳﻖﻫﺎ ﻣﻲﺗﻮاﻧﺪ ﺑﻪ دو ﺻﻮرت ﺑﺎﺷﺪ ‪:‬‬
‫اﻟﻒ( ﺑﻪ ﺻﻮرت ﻋﻤﻮد ﺑﺮ ﻣﺤﻮر ﻛﻮره‬
‫اﻳﻦ ﻧﺤﻮه ﻗﺮار ﮔﺮﻓﺘﻦ در ﺷﻜﻞ ﺷﻤﺎره ‪ 11‬ﺑﻪ ﺗﺼﻮﻳﺮ ﻛﺸﻴﺪه ﺷﺪه اﺳﺖ‪.‬‬

‫ﺷﻜﻞ ﺷﻤﺎره ‪ :11‬ﺑﺎر ﮔﺬاري وﻳﻔﺮﻫﺎ درون ﻛﻮره ﺑﻪ ﺻﻮرت ﻋﻤﻮد ﺑﺮ ﻣﺤﻮر ﻛﻮره‬
‫ﻫﻤﺎن ﻃﻮر ﻛﻪ در ﺷﻜﻞ ﻣﺬﻛﻮر ﻣﻼﺣﻈﻪ ﻣﻲﮔﺮدد‪ ،‬ﺑﺎ اﻳﻦ روش ﻣﻲﺗﻮان ﺗﻌﺪاد وﻳﻔﺮﻫﺎي ﺑﻴﺸﺘﺮي را‬
‫ﺳﻮار ﻗﺎﻳﻖ ﻧﻤﻮد‪ ،‬اﻣﺎ ﻣﺸﻜﻞ ﻋﻤﺪهاي ﻛﻪ اﻳﻦ روش اﻳﺠﺎد ﻣﻲﻧﻤﺎﻳﺪ اﻳﻦ اﺳﺖ ﻛﻪ‪ ،‬وﻳﻔﺮﻫﺎي واﻗﻊ در‬
‫ﻗﺴﻤﺖ اﺑﺘﺪاﻳﻲ ﻛﻮره ﺑﻪ ﺻﻮرت ﻣﺎﻧﻌ ﻲ در ﺑﺮاﺑﺮ ﺟﺮﻳﺎن ﮔﺎز ﻧﺎﺧﺎﻟﺼﻲ ورودي ﻋﻤﻞ ﻛﺮده و از ﺟﺮﻳﺎن‬
‫ﮔﺎز ﺑﻪ ﺻﻮرت ﻳﻜﺴﺎن ﻣﻴﺎن وﻳﻔﺮﻫﺎ ﺟﻠﻮﮔﻴﺮي ﻣﻲﻛﻨﻨﺪ‪ .‬اﻳﻦ اﻣﺮ ﻣﻮﺟﺐ اﻳﺠﺎد وﻳﻔﺮﻫﺎﻳﻲ ﺑﺎ دوﭘﻴﻨﮓ‬
‫ﻏﻴﺮﻳﻜﻨﻮاﺧﺖ ﻣﻲﮔﺮدد ‪.‬‬
‫ب( ﺑﻪ ﺻﻮرت ﻣﻮازي ﺑﺎ ﻣﺤﻮر ﻛﻮره‬
‫ﻧﺤﻮه ﻗﺮار ﮔﺮﻓﺘﻦ وﻳﻔﺮﻫﺎ درون ﻛﻮره ﺑﻪ ﺻﻮرت ﻣﻮازي ﺑﺎ ﻣﺤﻮر ﻛﻮره در ﺷﻜﻞ ﺷﻤﺎره ‪ 12‬ﺗﺮﺳﻴﻢ‬
‫ﺷﺪه اﺳﺖ ‪.‬‬

‫ﺷﻜﻞ ﺷﻤﺎره ‪ :12‬ﺑﺎر ﮔﺬاري وﻳﻔﺮﻫﺎ درون ﻛﻮره ﺑﻪ ﺻﻮرت ﻣﻮازي ﺑﺎ ﻣﺤﻮر ﻛﻮره‬

‫‪241‬‬
‫ﺑﺎ ﺑﺎر ﮔﺬاري وﻳﻔﺮﻫﺎ درون ﻛﻮره ﺑﺎ روش ﻓﻮق ﺗﻌﺪاد وﻳﻔﺮ ﻛﻤﺘﺮي درون ﻛﻮره ﺟﺎي ﻣﻲﮔﻴﺮد و ﭘﻴﺮو‬
‫آن زﻣﺎن ﺑﻴﺸﺘﺮي ﺑﺮاي ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﺻﺮف ﺧﻮاﻫﺪ ﺷﺪ‪ ،‬اﻣﺎ ﻣﺰﻳﺖ اﻳﻦ روش اﻳﻦ اﺳﺖ ﻛﻪ ﺑﻪ اﻳﻦ‬
‫ﺗﺮﺗﻴﺐ ﻣﻲﺗﻮان ﻣﺸﻜﻼت ﻏﻴﺮ ﻳﻜﻨﻮاﺧﺘﻲ ﺗﻮزﻳﻊ ﻧﺎﺧﺎﻟﺼﻲ ﺑﺮ روي وﻳﻔﺮﻫﺎ را ﻣﺮﺗﻔﻊ ﻧﻤﻮد‪ .‬دﻟﻴﻞ اﻳﻦ‬
‫اﻣﺮ آن اﺳﺖ ﻛﻪ وﻳﻔﺮﻫﺎي واﻗﻊ در ﺟﻠﻮي ﻛﻮره ﻣﺎﻧﻊ از ورود ﺟﺮﻳﺎن ﻧﺎﺧﺎﻟﺼﻲ ﺑﻪ درون ﻛﻮره‬
‫ﻧﻤﻲﺷﻮﻧﺪ ‪.‬‬
‫ﻋﻼوه ﺑﺮ اﻳﻦ ﺑﻪ ﻣﻨﻈﻮر ﺣﻔﻆ ﻳﻜﻨﻮاﺧﺘ ﻲ وﻳﻔﺮﻫﺎي درون ﻛﻮره‪ ،‬وﻳﻔﺮﻫﺎ ي زاﺋﺪي را در ﺟﻠﻮ و ﻋﻘﺐ‬
‫وﻳﻔﺮﻫﺎي اﺻﻠﻲ ﻗﺮار ﻣﻲدﻫﻨﺪ و وﻳﻔﺮﻫﺎي اﺻﻠﻲ را در ﻣﺮﻛﺰ ﻛﻮره ﻗﺮار ﻣﻲدﻫﻨﺪ ‪.‬‬
‫‪Deglaze .3‬‬

‫ﻳﻜﻲ از ﻣﻨﺎﺑﻊ ﻧﺎﺧﺎﻟﺼ ﻲ ﻛﻪ ﻣﻤﻜﻦ اﺳﺖ در ﻣﺮاﺣﻞ ﺑﻌﺪ اﻳﺠﺎد ﻣﺸﻜﻞ ﻧﻤﺎﻳﺪ ‪ ،‬اﻛﺴﻴﺪﻫﺎﻳﻲ ﺣﺎﻣﻞ‬
‫ﻧﺎﺧﺎﻟﺼﻲ اﺳﺖ ﻛﻪ در اﻳﻦ ﻣﺮﺣﻠﻪ ﺗﺸﻜﻴﻞ ﻣﻲﺷﻮد‪ .‬اﻳﻦ اﻛﺴﻴﺪﻫﺎ ﭼﻮن ﻫﻢزﻣﺎن ﺑﺎ دوﭘﻴﻨﮓ اﻳﺠﺎد‬
‫ﺷﺪهاﻧﺪ‪ ،‬ﺣﺎﻣﻞ ﻧﺎﺧﺎﻟﺼ ﻲ ﺑﻮده و ﻣﻲﺗﻮاﻧﻨﺪ ﺑﻪ ﻋﻨﻮان ﻳﻚ ﻣﻨﺒﻊ ﻧﺎﺧﻮاﺳﺘﻪ ﻧﺎﺧﺎﻟﺼﻲ در ﻣﺮﺣﻠﻪ ﺑﻌﺪ‬
‫وارد ﻓﺮاﻳﻨﺪ ﺷﻮﻧﺪ‪ ،‬اﻳﻦ اﻛﺴﻴﺪ ﻣﻲﺗﻮاﻧﺪ ﭘﺮوﻓﺎﻳﻞ ﻧﺎﺧﺎﻟﺼﻲ را ﺧﺮاب ﻛﻨﺪ و در زداﻳﺶ و ﺳﺎﻳﺮ ﻣﺮاﺣﻞ‬
‫ﻣﺸﻜﻞ ﺳﺎز ﺑﺎﺷﺪ‪ .‬در اﻳﻦ ﻣﺮﺣﻠﻪ اﻛﺴﻴﺪ ﺑﺎ ﻏﻮﻃﻪ وري در ﻳﻚ ﻣﺤﻠﻮل رﻗﻴﻖ ‪ HF‬ﺣﺬف ﻣﻲﺷﻮد‪ ،‬و‬
‫ﺳﭙﺲ وﻳﻔﺮﻫﺎ ﺑﺎ آب ﺷﺴﺘﺸﻮ داده ﺷﺪه و ﺧﺸﻚ ﻣﻲ ﺷﻮﻧﺪ ‪.‬‬
‫‪.4‬ارزﻳﺎﺑﻲ‬
‫ﺑﺮاي ارزﻳﺎﺑﻲ ﻓﺮآﻳﻨﺪ ﻧﻔﻮذ‪ ،‬وﻳﻔﺮﻫﺎي ﺗﺴﺖ ﺑﻪ ﻗﺎﻳﻖ وﻳﻔﺮﻫﺎ اﺿﺎﻓﻪ ﻣﻲ ﺷﻮﻧﺪ ‪ ،‬اﻳﻦ وﻳﻔﺮﻫﺎ اﻟﮕﻮي‬
‫ﺧﺎﺻﻲ ﻧﺪارﻧﺪ و ﻧﻮع ﻫﺪاﻳﺖ آنﻫﺎ ﻧﻴﺰ ﺑﺮ ﺧﻼف ﻧﺎﺧﺎﻟﺼﻲﻫﺎ اﺳﺖ‪ ،‬آنﻫﺎ در ﻣﻜﺎنﻫﺎي ﻣﺨﺘﻠﻒ ﻗﺮار‬
‫ﻣﻲﮔﻴﺮﻧﺪ و از ﻓﺮاﻳﻨﺪ ﻧﻤﻮﻧﻪ ﺑﺮداري ﻣﻲﻛﻨﻨﺪ ﺑﻌﺪ از ﻣﺮﺣﻠﻪ ‪ Deglaze‬اﻳﻦ وﻳﻔﺮﻫﺎ ﻣﻮرد ارزﻳﺎﺑﻲ ﻗﺮار‬
‫ﻣﻲﮔﻴﺮﻧﺪ ﺗﺎ از ﺻﺤﺖ ﻋﻤﻠﻴﺎت ﻧﻔﻮذ اﻃﻤﻴﻨﺎن ﺣﺎﺻﻞ ﺷﻮد‪ ،‬در ﺣﻘﻴﻘﺖ ارزﻳﺎﺑﻲ ﺑﻪ ﺟﺎي اﻳﻨﻜﻪ ﺑﺮ روي‬
‫وﻳﻔﺮﻫﺎ اﺻﻠﻲ ﺻﻮرت ﮔﻴﺮد ﺑﺮ روي وﻳﻔﺮﻫﺎي ﺗﺴﺖ اﻧﺠﺎم ﻣﻲ ﺷﻮد ‪.‬‬
‫ارزﻳﺎﺑﻲ وﻳﻔﺮﻫﺎ در اﻳﻦ ﻣﺮﺣﻠﻪ ﺷﺎﻣﻞ ﭼﻨﺪﻳﻦ ﻧﻮع ﺗﺴﺖ اﺳﺖ‪ :‬ﻳﻜﻲ از ﺗﺴﺖﻫﺎي اوﻟﻴﻪ اﻧﺪازه ﮔﻴﺮي‬
‫ﻣﻴﺰان ﻣﻘﺎوﻣﺖ وﻳﻔﺮ اﺳﺖ ﻛﻪ ﺗﻮﺳﻂ ﭘﺮوب ﭼﻬﺎر ﺳﺮ ﻳﺎ دﺳﺘﮕﺎه ﺑﺪون ﺗﻤﺎس ﺻﻮرت ﻣﻲﮔ ﻴﺮد‪.‬‬
‫ﺿﺨﺎﻣﺖ ﭘﻴﻮﻧﺪ ﻧﺎﺧﺎﻟﺼ ﻲ ﻛﻪ در اﻳﻦ ﻣﺮﺣﻠﻪ ﺗﺸﻜﻴﻞ ﻣﻲﺷﻮد ﻧﺎزك ﺑﻮده و ﺑﻪ ﻫﻤﻴﻦ دﻟﻴﻞ در اﻳﻦ‬
‫ﻣﺮﺣﻠﻪ ﻣﻮرد ارزﻳﺎﺑﻲ ﻗﺮار ﻧﻤﻲﮔ ﻴﺮد‪ .‬ﺻﺤﺖ ﻋﻤﻠﻜﺮد ﻓﺮاﻳﻨﺪ و ﺗﻤﻴﺰ ﺑﻮدن ﻛﻮره ﺗﻮﺳﻂ اﻛﺴﻴﺪ ﻧﻤﻮدن‬
‫وﻳﻔﺮﻫﺎي ﺗﺴﺖ و اﻧﺪازه ﮔﻴﺮي ﻣﻨﺤﻨﻲ وﻟﺘﺎژ ‪ -‬ﻇﺮﻓﻴﺖ اﺗﻢﻫﺎي ﻧﺎﺧﺎﻟﺼﻲ آنﻫﺎ ﺻﻮرت‬
‫ﻣﻲﮔﻴﺮد)ﻣﺸﺎﺑﻪ روش در اﻛﺴﻴﺪاﺳﻴﻮن(‪.‬‬
‫‪242‬‬
‫ﺑﺴﺘﻪ ﺑﻪ ﻛﺎرﺑﺮد ‪ ،‬ﺗﻤﺎﻣﻲ وﻳﻔﺮﻫﺎي ﺗﺴﺖ ﻳﺎ ﻧﻤﻮﻧﻪﻫﺎﻳﻲ از وﻳﻔﺮﻫﺎ از ﻧﻈﺮ ﺗﺮاﻛﻢ ﺳﻄﺤﻲ و ﻳﺎ آﻟﻮدﮔﻲ‬
‫ﻣﻮرد آزﻣﺎﻳﺶ ﻗﺮار ﻣﻲﮔﻴﺮﻧﺪ‪ .‬اﻳﻦ آزﻣﺎﻳﺶ در ﺣﻀﻮر ﻻﻣﭗﻫﺎي ﻓﺮاﺑﻨﻔﺶ ﺑﺎ ﺷﺪت ﺗﺎﺑﺶ ﺑﺴﻴﺎر زﻳﺎد‬
‫و ﻣﻴﻜﺮوﺳﻜﻮپ ﺻﻮرت ﻣﻲﮔﻴﺮد ‪.‬‬

‫‪ - 2- 2- 4- 7‬ﻣﻨﺎﺑﻊ ﻧﺎﺧﺎﻟﺼﻲ ‪:‬‬

‫ﻓﺮآﻳﻨﺪ ﻻﻳﻪ ﻧﺸﺎﻧﻲ واﺑﺴﺘﻪ ﺑﻪ ﺣﻀﻮر ﺗﺮاﻛﻢ ﺧﺎﺻﻲ از ﺑﺨﺎرﻫﺎي ﻧﺎﺧﺎﻟﺼ ﻲ در ﻛﻮره اﺳﺖ ‪ .‬ﺑﺨﺎرﻫﺎ از‬
‫ﻳﻚ ﻣﻨﺒﻊ ﻧﺎﺧﺎﻟﺼ ﻲ ﻛﻪ در ﺧﺎرج ﻛﻮره ﻳﺎ درون آن ﻗﺮار دارﻧﺪ ﺗﺄﻣﻴﻦ ﻣﻲ ﺷﻮﻧﺪ‪ .‬اﻳﻦ ﻣﻨﺎﺑﻊ ﺑﻪ ﺳﻪ‬
‫ﺻﻮرت ﻫﺴﺘﻨﺪ‪ :‬ﺟﺎﻣﺪ‪ ،‬ﻣﺎﻳﻊ و ﮔﺎز‪ .‬ﺷﺎﻳﺎن ذﻛﺮ اﺳﺖ ﻛﻪ ﺑﺮﺧﻲ از ﻣﻨﺎﺑﻊ ﻧﺎﺧﺎﻟﺼﻲﻫﺎ ﻣﻲﺗﻮاﻧﻨﺪ در‬
‫ﭼﻨﺪﻳﻦ ﺣﺎﻟﺖ در دﺳﺘﺮس ﻗﺮار ﮔﻴﺮﻧﺪ ‪.‬‬
‫‪ .1‬ﻣﻨﺎﺑﻊ ﻧﺎﺧﺎﻟﺼﻲ ﻣﺎﻳﻊ‬
‫در ﻣﻨﺎﺑﻊ ﻧﺎﺧﺎﻟﺼﻲ ﻣﺎﻳﻊ ﻋﻨﺎﺻﺮ ﻧﺎﺧﺎﻟﺼ ﻲ ﻣﻄﻠﻮب را ﻣﻌﻤﻮﻻً ﺑﻪ ﺻﻮرت ﺗﺮﻛﻴﺒﺎت ﻛﻠﺮ و ﺑﺮم‪ ،‬در‬
‫آورده و از اﻳﻦ ﻃﺮﻳﻖ در وﻳﻔﺮ ﺗﺰرﻳﻖ ﻣﻲﻧﻤﺎﻳﻨﺪ)ﻣﺎﻧﻨﺪ ‪ . (.BBr3 , POCl‬اﻳﻦ ﻣﻨﺎﺑﻊ در ﻓﻼﺳﻚ ﻫﺎﻳﻲ از‬
‫ﺟﻨﺲ ﻛﻮارﺗﺰ‪ ،‬ﺑﺎ دﻣﺎي ﻛﻨﺘﺮل ﺷﺪه ﻗﺮار ﻣﻲ ﮔﻴﺮﻧﺪ‪ .‬ﻳﻚ ﮔﺎز ﺧﻨﺜﻲ ﻧﻈﻴﺮ ﻧﻴﺘﺮوژن)ﻳﺎ ﮔﺎز آرﮔﻮن‪ ،‬ﺑﻪ‬
‫ﻋﻠﺖ واﻛﻨﺶ ﻧﺎﭘﺬﻳﺮي و ﺧﻨﺜﻲ ﺑﻮدن( ﺑﻪ درون ﻣﺎﻳﻊ ﮔﺮم دﻣﻴﺪه ﻣﻲﺷﻮد‪ ،‬و از ﺑﺨﺎر ﻧﺎﺧﺎﻟﺼﻲ اﺷﺒﺎع‬
‫ﻣﻲﮔﺮدد‪ .‬ﻧﻴﺘﺮوژن ﺑﺨﺎر ﻧﺎﺧﺎﻟﺼﻲﻫﺎ را ﺑﻪ ﺳﻤﺖ ﻛﻮره ﺣﻤﻞ ﻣﻲ ﻛﻨﺪ‪ .‬ﻧﻴﺘﺮوژن‪ ،‬ﻫﻤﭽﻨﻴﻦ ﺣﺠﻢ ﮔﺎز‬
‫ﻛﺎﻓﻲ ﺑﺮاي اﻳﺠﺎد ﻳﻚ ﺟﺮﻳﺎن ﮔﺎز ﻳﻜﻨﻮاﺧﺖ را ﻧﻴﺰ ﻓﺮاﻫﻢ ﻣﻲﻛﻨﺪ ‪.‬‬
‫ﺟﺮﻳﺎن ﻳﻜﻨﻮاﺧﺖ ﮔﺎز از اﻳﺠﺎد دوﭘﻴﻨﮓ ﻏﻴﺮ ﻳﻜﻨﻮاﺧﺖ ﺟﻠﻮﮔﻴﺮي ﻛﺮده و ﺗﻮزﻳﻊ ﻧﺎﺧﺎﻟﺼﻲ ﺑﺮ روي‬
‫وﻳﻔﺮ را ﺑﻪ ﺻﻮرت ﻳﻜﻨﻮاﺧﺖ درﻣﻴﺎورد‪ .‬ﻳﻚ ﮔﺎز واﻛﻨﺶ ﻧﻴﺰ ﺑﻪ ﺑﺨﺎرﻫﺎي ﻧﺎﺧﺎﻟﺼ ﻲ اﺿﺎﻓﻪ ﻣﻲ ﺷﻮد‪.‬‬
‫ﺑﺮاي ﻣﺜﺎل ﮔﺎز واﻛﻨﺶ در ﻣﻮرد ‪ BBr3 , POCl3‬اﻛﺴﻴﮋن اﺳﺖ ﻛﻪ ﻃﺒﻖ واﻛﻨﺶﻫﺎي زﻳﺮ ﺗﺸﻜﻴﻞ‬
‫اﻛﺴﻴﺪ‬
‫ﺑﺮون واﻛﺴﻴﺪ ﻓﺴﻔﺮ ﻣﻲدﻫﺪ‪) .‬در ﻣﻨﺎﺑﻊ ﮔﺎزي ﻧﻴﺰ ﮔﺎز واﻛﻨﺶ وﺟﻮد دارد‪(.‬‬
‫‪BBr3 + 3O2 . 2B2O3 + 6Br3‬‬
‫‪POCl3 + 3O2 . 2P2O5 + 6Cl2‬‬
‫ﻻﻳﻪ اﻛﺴﻴﺪ ﺑﺮ روي ﺳﻄﺢ وﻳﻔﺮ ﻣﻲ ﻧﺸﻴﻨﺪ‪ ،‬ﺑﺮن از اﻛﺴﻴﺪ ﺟﺪا ﺷﺪه و ﺑﻪ درون وﻳﻔﺮ ﻧﻔﻮذ ﻣﻲﻛﻨﺪ‪.‬‬
‫ﻧﻔﻮذ ﻣﻌﻤﻮﻻً ﺑﻪ ﻃﻮر ﻣﺴﺘﻘﻴﻢ اﻣﻜﺎن ﭘﺬﻳﺮ ﻧﻤﻲ ﺑﺎ ﺷﺪ‪ .‬ﻣﻨﺎﺑﻊ ﻣﺎﻳﻊ ﻣﺰاﻳﺎي ﻫﺰﻳﻨﻪ ﻛﻢ ﺗﺎ ﻣﺘﻮﺳﻂ‪ ،‬ﺗﻜﺮار‬
‫ﭘﺬﻳﺮي ﺑﻴﺸﺘﺮ و در ﻣﻘﺎﺑﻞ ﻣﺸﻜﻼت ﻏﻴﺮ ﻳﻜﻨﻮاﺧﺘﻲ ﺑﻪ ﺧﺼﻮص ﺑﺮاي وﻳﻔﺮﻫﺎي ﺑﺰرگ‪ ،‬ﻣﺴﺎﻳﻞ اﻳﻤﻨﻲ‬
‫و آﻟﻮدﮔﻲ ﻣﺮﺑﻮط ﺑﻪ ﺑﺎز ﻛﺮدن ﻓﻼﺳﻜﻬﺎ و ﺷﺎرژ ﻣﺠﺪد آنﻫﺎ را دارﻧﺪ‪.‬‬

‫‪243‬‬
‫ﺷﻜﻞ ﺷﻤﺎره ‪ : 13‬ﻣﻨﺒﻊ ﻧﺎﺧﺎﻟﺼﻲ ﻣﺎﻳﻊ‬
‫روش دﻳﮕﺮي ﻛﻪ ﺑﻪ ﻣﻨﻈﻮر اﻳﺠﺎد ﺟﺮﻳﺎن ﻳﻜﻨﻮاﺧﺖ ﺑﻪ ﻛﺎر ﻣﻲ رود‪ ،‬از ﺗﻌﺪادي ورﻗﻪ در دﻫﺎﻧﻪ ورودي‬
‫ﮔﺎز اﺳﺘﻔﺎده ﻣﻲ ﻛﻨﺪ و ﻫﻨﮕﺎﻣ ﻲ ﻛﻪ ﮔﺎز ﺑﺎ ﺟﺮﻳﺎنﻫﺎي ﻣﻐﺸﻮش از آنﻫﺎ ﻋﺒﻮر ﻣﻲﻛﻨﺪ ﻳﻚ ﺟﺮﻳﺎن‬
‫ﻳﻜﻨﻮاﺧﺖ را ﻓﺮاﻫﻢ ﻣﻲﻛﻨﺪ‪.‬‬

‫ﺷﻜﻞ ﺷﻤﺎره ‪:14‬اﻳﺠﺎد ﺟﺮﻳﺎن ﮔﺎز ﻳﻜﻨﻮاﺧﺖ ﺑﺎ اﺳﺘﻔﺎده از ورﻗﻪﻫﺎي ﻣﻮاز ي‬


‫‪ .2‬ﻣﻨﺎﺑﻊ ﻧﺎﺧﺎﻟﺼﻲ ﮔﺎزي ‪:‬‬
‫ﺑﻴﺸﺘﺮ ﺗﻮﻟﻴﺪﻛﻨﻨﺪﮔﺎن وﻳﻔﺮ اﻳﻦ ﻣﻨﺎﺑﻊ را ﺑﻪ ﺳﺎﻳﺮﻳﻦ ﺗﺮﺟﻴﺢ ﻣﻲدﻫﻨﺪ‪ .‬ﻣﻨﺎﺑﻊ ﮔﺎزي ﻣﻌﻤﻮﻻً ﺑﻪ ﺻﻮرت‬
‫ﻫﻴﺪراتﻫﺎي ﻋﻨﺎﺻﺮ ﻧﺎﺧﺎﻟﺼﻲ ﻧﻈﻴﺮ ‪ AsH3, B2H6‬ﻫﺴﺘﻨﺪ‪ .‬اﻳﻦ ﮔﺎزﻫﺎ ﺑﺎ ﻏﻠﻈﺖﻫﺎي ﻣﺨﺘﻠﻒ در‬
‫ﻣﺤﻔﻈﻪﻫﺎﻳﻲ ﺑﺎ ﻓﺸﺎر و دﻣﺎي ﻛﻨﺘﺮل ﺷﺪه ﻗﺮار دارﻧﺪ ﻛﻪ ﻣﺴﺘﻘﻴﻤﺎً ﺑﻪ ﻳﻚ ﻟﻮﻟﻪ ﭼﻨﺪ ﺷﺎﺧﻪ ﻣﺘﺼﻞ‬
‫ﻫﺴﺘﻨﺪ‪ .‬ﻣﺰاﻳﺎي اﻳﻦ ﻧﻮع ﻣﻨﺎﺑﻊ ﻛﻨﺘﺮل دﻗﻴﻖ از ﻃﺮﻳﻖ رﮔﻮﻻﺗﻮرﻫﺎي ﻓﺸﺎر و ﻣﻨﺎﺳﺐ ﺑﻮدن ﺑﺮاي ﻻﻳﻪ‬
‫ﻧﺸﺎﻧﻲ روي وﻳﻔﺮﻫﺎﻳﻲ ﺑﺎ ﻗﻄﺮ ﺑﺰرگ و ﺗﻤﻴﺰﺗﺮ ﺑﻮدن ﻓﺮآﻳﻨﺪﻫﺎ ﻣﻲﺑﺎﺷﻨﺪ‪ .‬در ﻣﻘﺎﺑﻞ‪ ،‬واﻛﻨﺶﻫﺎي‬
‫ﺷﻴﻤﻴﺎﻳﻲ ﻧﺎﺧﻮاﺳﺘﻪ در ﻟﻮﻟﻪﻫﺎ اﻳﺠﺎد آﻟﻮدﮔ ﻲ ﺑﺮاي ﻛﻮره و وﻳﻔﺮﻫﺎ ﻣﻲﻛﻨﺪ‪.‬‬

‫‪244‬‬
‫ﺷﻜﻞ ﺷﻤﺎره ‪ :15‬ﻣﻨﺎﺑﻊ ﻧﺎﺧﺎﻟﺼﻲ ﮔﺎزي‬
‫‪. 3‬ﻣﻨﺒﻊ ﻧﺎﺧﺎﻟﺼﻲ ﺟﺎﻣﺪ ‪:‬‬
‫روش ﺳﻨﺘ ﻲ ﻧﻔﻮذ ﻧﺎﺧﺎﻟﺼﻲﻫﺎ درون وﻳﻔﺮ اﺳﺘﻔﺎده از ﻣﻨﺎﺑﻊ ﻧﺎﺧﺎﻟﺼﻲ ﺟﺎﻣﺪ ﻣﻲﺑﺎﺷﺪ ‪ ،‬اﻳﻦ ﻣﻨﺎﺑﻊ‬
‫ﻣﻌﻤﻮﻻً ﺑﻪ ﺻﻮرت اﻛﺴﻴﺪ ﭘﻮدري ﻋﻨﺎﺻﺮ ﻧﺎﺧﺎﻟﺼ ﻲ ﻫﺴﺘﻨﺪ ‪ .‬ﺑﻪ ﭼﻨﺪ ﻃﺮﻳﻖ از اﻳﻦ ﻧﻮع ﻣﻨﺎﺑﻊ ﻣﻲﺗﻮان‬
‫اﺳﺘﻔﺎده ﻧﻤﻮد ‪.‬‬
‫در ﻳﻚ روش ﭘﻮدر اﻛﺴﻴﺪ در ﻧﮕﻪدارﻧﺪهﻫﺎﻳﻲ از ﺟﻨﺲ ﻛﻮارﺗﺰ ﺑﻪ ﻧﺎم ﻗﺎﺷﻖ رﻳﺨﺘﻪ ﺷﺪه‪ ،‬و در ﺑﺨﺸﻲ‬
‫از ﻛﻮره ﻛﻪ ﻣﺘﺼﻞ ﺑﻪ ﻛﻮره اﺻﻠﻲ اﺳﺖ ﻗﺮار ﻣﻲﮔ ﻴﺮد‪ .‬در ﻛﻮره‪ ،‬اﻛﺴﻴﺪ ﺑﻪ ﺻﻮرت ﺑﺨﺎرﻫﺎﻳﻲ در آﻣﺪه‬
‫و ﺑﻪ ﻫﻤﺮاه ﮔﺎز ﺣﺎﻣﻞ ﻛﻪ از ﻳﻚ ﻃﺮف ﺑﻪ درون ﻛﻮره دﻣﻴﺪه ﻣﻲﺷﻮد ﺑﻪ ﺳﻤﺖ وﻳﻔﺮﻫﺎ ﻣﻲرود‪ .‬ﺑﻪ اﻳﻦ‬
‫روش ‪ Remote Solid Source‬ﻣﻲﮔﻮﻳﻨﺪ ‪.‬‬

‫‪245‬‬
‫ﺷﻜﻞ ﺷﻤﺎره ‪ - 16‬روش آﻻﻳﺶ وﻳﻔﺮ ﺑﺎ روش ‪Remote Solid Source‬‬

‫اﻳﻦ روش اﻗﺘﺼﺎدي اﺳﺖ اﻣﺎ ﻣﺸﻜﻞ ﻏﻴﺮ ﻳﻜﻨﻮاﺧﺘﻲ دارد و ﺑﺮاي دوﭘﻴﻨﮓ ادوات ﻏﻴﺮ ﻣﺠﺘﻤﻊ ﻛﻪ‬
‫دﻗﺖ ﻛﻤﺘﺮي ﻻزم دارﻧﺪ‪ ،‬ﺑﻜﺎر ﻣﻲرود ‪.‬‬
‫روش دﻳﮕﺮ اﺳﺘﻔﺎده از وﻳﻔﺮﻫﺎي ﻣﻨﺒﻊ‪ ،‬ﺑﻨﺎم اﺳﻼگ‪ Slug‬ﻣﻲﺑﺎﺷﺪ ‪.‬‬
‫اﺳﻼﮔﻬﺎ ﺑﻪ ﺻﻮرت ﺳﺮاﻣﻴﻚﻫﺎﻳﻲ از ﻋﻨﺎﺻﺮ ﻧﺎﺧﺎﻟﺼﻲ‪ ،‬ﻣﺜﻞ وﻳﻔﺮ ﺳﺮاﻣﻴﻜ ﻲ ﻧﻴﺘﺮﻳﺪﺑﻮر‪ BN‬ﻫﺴﺘﻨﺪ و‬
‫ﻫﻢ اﻧﺪازه وﻳﻔﺮﻫﺎي اﺻﻠﻲ ﺳﺎﺧﺘﻪ ﻣﻲﺷﻮﻧﺪ‪ .‬در دﻣﺎي ﻛﻮره اﺳﻼﮔ ﻬﺎ اﻛﺴﻴﺪ ﺷﺪه‪ ،‬و اﻛﺴﻴﺪ از روي‬
‫ﺳﻄﺢ اﺳﻼگ ﺑﺮﺧﻮاﺳﺘﻪ و روي ﺳﻄﺢ وﻳﻔﺮ ﻣﻲﻧﺸﻴﻨﺪ و ﻧﻔﻮذ ﺻﻮرت ﻣﻲﮔ ﻴﺮد‪ .‬ﻧﻔﻮذ ﺑﺨﺎرﻫﺎي‬
‫ﻧﺎﺧﺎﻟﺼﻲ ﺑﻪ درون وﻳﻔﺮﻫﺎ ﺑﻪ دﻟﻴﻞ ﻓﺎﺻﻠﻪ ﻛﻢ وﻳﻔﺮﻫﺎ و اﺳﻼﮔﻬﺎ ﺑﻪ ﻣﺮاﺗﺐ ﺑﻬﺘﺮ از روشﻫﺎي دﻳﮕﺮ‬
‫اﺳﺖ‪ .‬اﺳﻼﮔﻬﺎ ﺑﻪ ﺻﻮرت ﻳﻚ اﺳﻼگ ﺑﻴﻦ دو وﻳﻔﺮ‪ ،‬ﻗﺮار ﻣﻲﮔ ﻴﺮﻧﺪ‪ .‬ﺑﻪ اﻳﻦ روش ‪Neighbor Source‬‬

‫‪ Solid‬ﻣﻲﮔﻮﻳﻨﺪ ‪.‬‬

‫ﺷﻜﻞ ﺷﻤﺎره ‪ - :17‬روش ‪Solid Neighbor Source‬‬

‫‪246‬‬
‫اﻳﻦ روش ﻳﻜﻨﻮاﺧﺘﻲ ﺧﻮب ﺑﺮاي وﻳﻔﺮﻫﺎي ﺑﺎ اﺑﻌﺎد ﺑﺰرگﺗﺮ ﻓﺮاﻫﻢ ﻣﻲﻛﻨﺪ‪ ،‬اﻳﻤﻦ ﺗﺮاﺳﺖ و ﺑﺨﺎرات‬
‫ﺳﻤﻲ در دﻣﺎي اﺗﺎق ﻧﺪارد‪ ،‬در ﻣﻘﺎﺑﻞ ﺷﻜﻨﻨﺪه ﺑﻮدن‪ ،‬ﺗﻮﻟﻴﺪ ﭘﺎﻳﻴﻦﺗﺮ ﺑﻪ دﻟﻴﻞ اﺷﻐﺎل ﻛﺮدن ﺟﺎي‬
‫وﻳﻔﺮﻫﺎ ﺗﻮﺳﻂ اﺳﻼﮔﻬﺎ و ﭘﺎك ﺳﺎزي‪ ،‬از ﻣﺸﻜﻼت آن ﻣﻲ ﺑﺎﺷﺪ ‪.‬‬
‫ﻋﻼوه ﺑﺮ اﻳﻦ در ﺑﺮﺧﻲ ﻣﻮارد‪ ،‬ﭘﺨﺖ ﻧﺮم اﺳﻼگ ﻫﺎ ﺑﻪ ﻣﻨﻈﻮر ﺗﺜﺒﻴﺖ ﻧﺎﺧﺎﻟﺼﻲﻫﺎ ﺑﺮ روي آنﻫﺎ‬
‫ﺿﺮوري اﺳﺖ‪ .‬ﺷﻜﻞ ﺷﻤﺎره ‪ 18‬ﻣﺮﺣﻠﻪ ﺑﺎرﮔﺬاري وﻳﻔﺮﻫﺎ را ﺑﻪ اﻳﻦ روش درون ﻛﻮره ﻧﻤﺎﻳﺶ ﻣﻲدﻫﺪ ‪.‬‬

‫ﺷﻜﻞ ﺷﻤﺎره‪ :18‬روش ‪ Solid Neighbor Source‬در آﻻﻳﺶ وﻳﻔﺮﻫﺎ‬

‫‪.3‬روش ﺳﻮم ﺑﻪ اﻳﻦ ﺻﻮرت اﺳﺖ ﻛﻪ ﭘﻮدر اﻛﺴﻴ ﺪ در ﻳﻚ ﺣﻼل ﺣﻞ و ﻣﺸﺎﺑﻪ روشﻫﺎ ي ﭘﺨﺶ‬
‫ﻓﺘﻮرزﻳﺴﺖ‪ ،‬ﺑﺮ روي وﻳﻔﺮ ﭘﺨﺶ ﻣﻲﺷﻮد‪ .‬ﺑﻌﺪ از آن ﭘﺨﺘﻪ ﻣﻲﺷﻮد ﺗﺎ ﺣﻼل از آن ﺟﺪا ﮔﺮدد و ﺳﺮ‬
‫اﻧﺠﺎم در ﻛﻮره رﻓﺘﻪ و ﻓﺮآﻳﻨﺪ ﻧﻔﻮذ اﻧﺠﺎم ﻣﻲ ﺷﻮد‪ .‬ﺑﻪ اﻳﻦ روش ‪ Spin-on‬ﻣﻴﮕﻮﻳﻨﺪ‪ .‬ﻣﺰاﻳﺎي ﻳﻜﻨﻮاﺧﺘﻲ‬
‫ﺑﺎﻻ‪ ،‬ﺗﻮﻟﻴﺪ ﺑﺎﻻ و اﻳﻤﻨﻲ را دارد‪ ،‬و ﻣﺸﻜﻼت آن‪،‬ﺳﻴﺴﺘﻢ ﺗﻮزﻳﻊ ﻧﺎﺧﺎﻟﺼﻲ رو ي ﻻﻳﻪ اﻛﺴﻴﺪ‪ ،‬ﺗﻐﻴﻴﺮات‬
‫ﺿﺨﺎﻣﺖ و ﺑﺮﺧﻲ ﻫﺰﻳﻨﻪﻫﺎي اﺿﺎﻓﻲ ﻧﻈﻴﺮ ﭘﺨﺖ ﻣﻲ ﺑﺎﺷﺪ ‪.‬‬

‫‪247‬‬
‫اﻛﺴﻴﺪاﺳﻴﻮن)‪(Drive-in Oxidation‬‬ ‫‪- 3- 4- 7‬‬

‫دوﻣﻴﻦ ﻣﺮﺣﻠﻪ از ﻓﺮاﻳﻨﺪ ﻧﻔﻮذ اﻛﺴﻴﺪاﺳﻴﻮن ﻣﻲ ﺑﺎﺷﺪ ‪ .‬اﻳﻦ ﻣﺮﺣﻠﻪ ﻧﻘﺶ ﻗﺎﺑﻞ ﺗﻮﺟﻬ ﻲ در ﻓﺮاﻳﻨﺪ ﻧﻔﻮذ‬
‫اﻳﻔﺎ ﻣﻲﻛﻨﺪ و ﺑﺎ ﻧﺎمﻫﺎي ﻣﺘﻨﻮﻋﻲ از ﺟﻤﻠﻪ ‪ , diffusion, reoxidation ,drive in oxidation‬ﺷﻨﺎﺧﺘﻪ‬
‫ﻣﻲﺷﻮد ‪ .‬ﻫﺪف از اﻳﻦ ﻣﺮﺣﻠﻪ ﻳﻜﻲ ﺗﻮزﻳﻊ ﻣﺠﺪد ﻧﺎﺧﺎﻟﺼﻲﻫﺎ در وﻳﻔﺮ و دﻳﮕﺮي رﺷﺪ ﻳﻚ ﻻﻳﻪ اﻛﺴﻴﺪ‬
‫روي ﺳﻄﺢ ﺳﻴﻠﻴﻜﻮن ﻣﻲﺑﺎﺷﺪ ‪.‬‬
‫اوﻟﻴﻦ ﻣﺮﺣﻠﻪ‪ ،‬ﺗﻮزﻳﻊ ﻣﺠﺪد ﻧﺎﺧﺎﻟﺼﻲﻫﺎ ﺑﺮ رو ي وﻳﻔﺮ اﺳﺖ ‪ .‬در ﺧﻼل ﻣﺮﺣﻠﻪ ﻻﻳﻪ ﻧﺸﺎﻧﻲ‪ ،‬ﺷﺮاﻳﻂ‬
‫ﻧﻔﻮذ ﺑﺎ ﺗﺮاﻛﻢ ﺳﻄﺤ ﻲ ﺛﺎﺑﺖ در ﻣﻌﺎدﻟﻪ ﻓﻴ ﻚ دارﻳﻢ‪ ،‬در آن ﺣﺎﻟﺖ ﻳﻚ ﺗﺮاﻛﻢ ﻧﺎﺧﺎﻟﺼ ﻲ زﻳﺎد‪ ،‬در ﻋﻤﻖ‬
‫ﻛﻤﻲ از ﺳﻄﺢ وﻳﻔﺮ ﻧﻔﻮذ ﻣﻲﻛﻨﺪ‪ .‬در ﻣﺮﺣﻠﻪ اﻛﺴﻴﺪاﺳﻴﻮن ﻧﻔﻮذ ﺑﺎ ﺗﻌﺪاد اﺗﻢﻫﺎي ﺛﺎﺑﺖ دارﻳﻢ و در اﻳﻦ‬
‫ﺣﺎﻟﺖ ﻧﺎﺧﺎﻟﺼﻲﻫﺎﻳﻲ را ﻛﻪ در ﻣﺮﺣﻠﻪ ﻻﻳﻪ ﻧﺸﺎﻧﻲ وارد وﻳﻔﺮ ﺷﺪهاﻧﺪ‪ ،‬ﺑﻪ ﻋﻤﻖ ﺑﻴﺸﺘﺮي از وﻳﻔﺮ راﻧﺪه‬
‫ﻣﻲﺷﻮﻧﺪ ﺑﺪون اﻳﻦ ﻛﻪ ﻧﺎﺧﺎﻟﺼﻲ ﺟﺪﻳﺪي ﺑﻪ آن اﺿﺎﻓﻪ ﻛﻨﻴﻢ‪ ،‬ﻳﻌﻨﻲ ﻣﻨﺒﻊ ﻧﺎﺧﺎﻟﺼﻲ در اﻳﻦ ﺣﺎﻟﺖ‬
‫وﺟﻮد ﻧﺪارد‪ .‬دﻣﺎي اﻳﻦ ﻣﺮﺣﻠﻪ ﻧﻴﺰ در ﺣﺪود ‪ 1050- 1200‬درﺟﻪ ﺳﺎﻧﺘﻲﮔﺮاد اﺳﺖ‪ .‬ﺷﻜﻞ ﺷﻤﺎره ‪19‬‬
‫راﻧﺪه ﺷﺪن اﺗﻢﻫﺎي ﻧﺎﺧﺎﻟﺼ ﻲ ﺑﻪ درون وﻳﻔﺮ ﺑﺎ اﻋﻤﺎل اﻛﺴﻴﺪاﺳﻴﻮن ﻧﻤﺎﻳﺶ داده ﺷﺪه اﺳﺖ‪.‬‬

‫ﺷﻜﻞ ﺷﻤﺎره ‪ :19‬راﻧﺪه ﺷﺪن اﺗﻢﻫﺎي ﻧﺎﺧﺎﻟﺼﻲ ﺑﻪ درون وﻳﻔﺮ ﺑﺎ اﻋﻤﺎل اﻛﺴﻴﺪاﺳﻴﻮن‬
‫ﻫﺪف دﻳﮕﺮ‪ ،‬اﻳﺠﺎد اﻛﺴﻴﺪ در ﺳﻄﺢ وﻳﻔﺮ اﺳﺖ ﺑﻪ ﻫﻤﻴﻦ دﻟﻴﻞ اﺗﻤﺴﻔﺮ ﻛﻮره از اﻛﺴﻴﮋن و ﺑﺨﺎر آب‬
‫ﺗﺸﻜﻴﻞ ﻣﻲﺷﻮد‪ .‬اﻛﺴﻴﺪاﺳﻴﻮن و ﺗﻮزﻳﻊ ﻣﺠﺪد ﻧﺎﺧﺎﻟﺼﻲﻫﺎ ﺑﻪ ﻃﻮر ﻫﻢزﻣﺎن ﺻﻮرت ﻣﻲﮔﻴﺮد ‪.‬‬
‫روﻧﺪ ﻣﻌﻤﻮل ﺑﺮاي اﻳﻦ ﭘﺮوﺳﻪ ﻫﻤﺎﻧﻨﺪ ﺳﺎﻳﺮ ﭘﺮوﺳﻪﻫﺎي اﻛﺴﻴﺪاﺳﻴﻮن ﻣﻲﺑﺎﺷﺪ‪ .‬ﭘﺲ از ﺗﻜﻤﻴﻞ‬
‫ﻋﻤﻠﻴﺎت اﻛﺴﻴﺪاﺳﻴﻮن‪ ،‬وﻳﻔﺮﻫﺎ ﻣﻮرد ارزﻳﺎﺑﻲ ﻗﺮار ﻣﻲﮔﻴ ﺮﻧﺪ ﺗﺎ از ﺻﺤﺖ ﻋﻤﻠﻴﺎت اﻃﻤﻴﻨﺎن ﺣﺎﺻﻞ‬
‫ﺷﻮد‪ .‬ﻋﻮاﻣﻠﻲ ﻛﻪ در ﺗﺴﺖ وﻳﻔﺮﻫﺎ در اﻳﻦ ﻣﺮﺣﻠﻪ ﻣﻮرد ارزﻳﺎﺑﻲ ﻗﺮار ﻣﻲﮔﻴﺮﻧﺪ ﻋﺒﺎرﺗﻨﺪ از‪:‬‬

‫‪248‬‬
‫ﻣﻴﺰان ﺗﺮاﻛﻢ اﺗﻢﻫﺎي ﻧﺎﺧﺎﻟﺼﻲ ﺑﺮ روي ﺳﻄﺢ وﻳﻔﺮ ‪ ،‬اﻳﻦ آزﻣﺎﻳﺶ ﺗﻮﺳﻂ ﭘﺮوب ﭼﻬﺎر ﺳﺮ ﺻﻮرت‬
‫ﮔﺮﻓﺘﻪ و از اﻳﻦ ﻃﺮﻳﻖ ﻣﻲﺗﻮان ﻣﻴﺰان آﻻﻳﺶ وﻳﻔﺮ را ﺳﻨﺠﻴﺪ‪ .‬اﻳﻦ ﻣﻮﺿﻮع در ﺷﻜﻞ ﺷﻤﺎره ‪ 20‬ﻧﺸﺎن‬
‫داده ﺷﺪه اﺳﺖ‪.‬‬
‫ﺷﺎﺧﺺ ﺑﻌﺪي ﻛﻪ در ارزﻳﺎﺑ ﻲ وﻳﻔﺮ در اﻳﻦ ﻣﺮﺣﻠﻪ ﻣﻲ ﺑﺎﻳﺴﺖ ﻣﺪﻧﻈﺮ ﻗﺮار ﮔﻴﺮد‪ ،‬ﻣﻴﺰان ﺗﻤﻴﺰي روي‬
‫ﺳﻄﺢ وﻳﻔﺮ اﺳﺖ ‪ ،‬ﺑﻌﻼوه ﻋﻤﻖ ﭘﻴﻮﻧﺪ و ﻫﻤﭽﻨﻴﻦ ﻣﻴﺰان ﻳﻮن ﻫﺎي ﻧﻔﻮذ ﻳﺎﻓﺘﻪ در وﻳﻔﺮ ﻧﻴﺰ ﻣﻲﺑﺎﻳﺴﺖ‬
‫ﻣﻮرد ارزﻳﺎﺑﻲ ﻗﺮار ﮔﻴﺮﻧﺪ‪.‬‬

‫در ﻧﻬﺎﻳﺖ دو ﻧﻜﺘﻪ در اﻳﻦ ﻓﺮاﻳﻨﺪ ﻣﻲ ﺑﺎﻳﺴﺖ ﻣﻮرد ﺗﻮﺟﻪ ﻗﺮار ﮔﻴﺮﻧﺪ‪:‬‬

‫‪ - 1- 3- 4- 7‬ﺗﺄﺛﻴﺮ اﻛﺴﻴﺪاﺳﻴﻮن‬

‫اﻛﺴﻴﺪاﺳﻴﻮن ﺳﻄﺢ وﻳﻔﺮ‪ ،‬ﺗﻮزﻳﻊ ﻧﻬﺎﻳﻲ ﻧﺎﺧﺎﻟﺼﻲﻫﺎ را ﺗﺤﺖ ﺗﺄﺛ ﻴﺮ ﻗﺮار ﻣﻲدﻫﺪ‪ .‬ﻋﻠﺖ آن‪ ،‬ﺗﻐﻴﻴﺮ‬
‫ﻣﻜﺎن ﻧﺎﺧﺎﻟﺼﻲﻫﺎي ﺳﻄﻮح اﻛﺴﻴﺪ ﺷﺪه ﻳﺎ ﻣﺠﺎور اﻛﺴﻴﺪ اﺳﺖ ﻛﻪ اﻳﻦ ﺟﺎﺑﻪﺟﺎﻳﻲ ﺑﺴﺘﮕﻲ ﺑﻪ ﻧﻮع‬
‫ﻧﻴﻤﻪ ﻫﺎدي دارد‪ .‬در ﺣﻘﻴﻘﺖ اﻛﺴﻴﺪ ﺗﺸﻜﻴﻞ ﺷﺪه در ﺳﻄﺢ ﺳﻴﻠﻴﺴﻴﻤﻲ اﺳﺖ ﻛﻪ ﺑﺎ ﻧﺎﺧﺎﻟﺼﻲ دوپ‬
‫ﺷﺪه اﺳﺖ و اﻳﻦ ﻧﺎﺧﺎﻟﺼﻲ در ﻫﻨﮕﺎم ﺗﺸﻜﻴﻞ اﻛﺴﻴﺪ ﺟﺎﺑﺠﺎ ﻣﻲ ﺷﻮد‪ .‬اﮔﺮ ﻧﻴﻤﻪ ﻫﺎدي ﻧﻮع ‪n‬‬

‫ﺑﺎﺷﺪ)ﻧﻈﻴﺮ ﻓﺴﻔﺮ( ‪ ،‬ﺑﻪ دﻟﻴﻞ اﻳﻨﻜﻪ ﻗﺎﺑﻠﻴﺖ ﺣﻞ اﻳﻦ اﺗﻢﻫﺎ در ﺳﻴﻠﻴﻜﻮن ﺑﻴﺸﺘﺮ اﺳﺖ از اﻛﺴﻴﺪ ﺟﺪا‬
‫ﻣﻲﺷﻮﻧﺪ و ﺑﻪ درون ﺳﻴﻠﻴﻜﻮن ﻣﻲروﻧﺪ‪ .‬ﺑﻪ اﻳﻦ اﺛﺮ ‪ pile-up‬ﻣﻲﮔﻮﻳﻨﺪ و ﺳﺒﺐ اﻓﺰاﻳﺶ ﺗﻌﺪاد‬
‫ﻧﺎﺧﺎﻟﺼﻲﻫﺎ در ﺳﻄﻮح ﺟﺪﻳﺪ ﺑﺎﻻي ﺳﻴﻠﻴﻜﻮن ﻣﻲﺷﻮد‪ .‬اﮔﺮ ﻧﻴﻤﻪ ﻫﺎدي ﻧﻮع ‪ p‬ﺑﺎﺷﺪ ﻧﻈﻴﺮ ﺑﻮر‪ ،‬ﺑﻪ دﻟﻴﻞ‬
‫اﻳﻨﻜﻪ اﺗﻢﻫﺎي ﻧﻮع ‪ p‬ﻗﺎﺑﻠﻴﺖ ﺣﻞ ﺑﺎﻻﺗﺮي در اﻛﺴﻴﺪ دارﻧﺪ از ﺳﻴﻠﻴﻜﻮ ن ﺑﻪ درون اﻛﺴﻴﺪ ﻛﺸﻴﺪه‬
‫ﻣﻲﺷﻮﻧﺪ و در ﻧﺘﻴﺠﻪ ﺗﺮاﻛﻢ ﺳﻄﻮح ﺟﺪﻳﺪ ﺑﺎﻻﻳ ﻲ ﻛﺎﻫﺶ ﻣﻲﻳ ﺎﺑﺪ‪ .‬در ﻫﺮ دو ﺣﺎﻟﺖ ﻣﺸﺨﺼﻪﻫﺎي‬
‫اﻟﻜﺘﺮﻳﻜﻲ ﺗﻐﻴﻴﺮ ﻣﻲﻛﻨﻨﺪ ‪.‬‬

‫‪249‬‬
‫ﺷﻜﻞ ﺷﻤﺎره‪ 21‬اﺛﺮ اﻛﺴﻴﺪاﺳﻴﻮن را در ﻣﻮارد ﻧﺎمﺑﺮده ﻧﻤﺎﻳﺶ ﻣﻲدﻫﺪ ‪.‬‬

‫ﺷﻜﻞ ﺷﻤﺎره ‪ :21‬اﺛﺮ اﻛﺴﻴﺪاﺳﻴﻮن ﺑﺮ وﻳﻔﺮﻫﺎ دوپ ﺷﺪه ﺑﺎ ﻧﺎﺧﺎﻟﺼﻲﻫﺎي ﻧﻮع ‪ n‬و ‪p‬‬

‫‪ - 2- 3- 4- 7‬ﻧﻔﻮذ ﺟﺎﻧﺒﻲ )‪(Lateral Diffusion‬‬

‫در ﻫﺮ دو ﻣﺮﺣﻠﻪ ﻻﻳﻪ ﻧﺸﺎﻧ ﻲ و اﻛﺴﻴﺪاﺳﻴﻮن‪ ،‬اﺗﻢﻫﺎﻳﻲ ﻛﻪ ﺑﻪ درون ﺳﻴﻠﻴﻜﻮن ﻣﻲروﻧﺪ در ﻫﻤﻪ‬
‫ﺟﻬﺎت ﻧﻔﻮذ ﻣﻲ ﻛﻨﻨﺪ ﻧﻪ در ﻳﻚ ﺟﻬﺖ‪ .‬در ﻟﺒﻪﻫﺎي زﻳﺮ اﻛﺴﻴﺪ ﺳﻄﺢ‪ ،‬ﮔﺮادﻳﺎن ﺗﺮاﻛﻢ وﺟﻮد دارد و‬
‫ﻣﻘﺪاري از ﻧﺎﺧﺎﻟﺼﻲﻫﺎ ﺑﻪ زﻳﺮ ﻻﻳﻪ اﻛﺴﻴﺪ ﻧﻔﻮذ ﻣﻲ ﻛﻨﻨﺪ ﻛﻪ ﺑﻪ آن ﻧﻔﻮذ ﺟﺎﻧﺒﻲ ﻣﻲﮔﻮﻳﻨﺪ ‪ ،‬و ﺑﺎﻳﺪ در‬
‫ﺳﺎﺧﺖ ﻣﺪارات ﻣﺠﺘﻤﻊ ﻣﻮرد ﺗﻮﺟﻪ ﻗﺮار ﮔﻴﺮد ‪ .‬ﻣﻘﺪار اﻳﻦ ﻧﻔﻮذ ﺑﻪ اﻧﺪازه ‪ % 85‬ﻋﻤﻖ ﭘﻴﻮﻧﺪ اﺳﺖ‪.‬‬
‫اﻳﻦ اﺛﺮ در روش ﻛﺎﺷﺖ ﻳﻮن ﻛﻤﺘﺮ ﻣﻲ ﺑﺎﺷﺪ ‪.‬‬

‫ﺷﻜﻞ ﺷﻤﺎره ‪ :22‬ﻧﻔﻮذ ﺟﺎﻧﺒﻲ‬


‫ﺑﻪ دﻟﻴﻞ وﺟﻮد ﻣﺸﻜﻼﺗﻲ ﻧﻈﻴﺮ ﻧﻔﻮذ ﺟﺎﻧﺒﻲ و دﻳﮕﺮ ﻣﺴﺎﻳﻞ اﻣﺮوزه روش ﻧﻔﻮذ ﺑﺮاي ﺳﺎﺧﺖ ادوات‬
‫ﻏﻴﺮ ﻣﺠﺘﻤﻊ‪ ،‬ﻣﺪارﻫﺎي ﻣﺠﺘﻤﻊ ﺑﺎ ﭼﮕﺎﻟﻲ ﻛﻢ و ﻛﺎرﻫﺎي ﺗﺤﻘﻴﻘﺎﺗﻲ و آزﻣﺎﻳﺸﮕﺎﻫﻲ ﺑﻪ ﻛﺎر ﻣﻲرود‪ .‬ﺑﺎ‬
‫ورود ﺑﻪ ﻋﺮﺻﻪ ﻧﺎﻧﻮ اﻳﻦ روش ﺟﺎي ﺧﻮد را ﺑﻪ روش ﻛﺎﺷﺖ ﻳﻮن داده اﺳﺖ‪.‬‬

‫‪250‬‬
: ‫ﻣﺮاﺟﻊ‬
- Peter Van Zant, "Microchip Fabrication", Fifth Edition,
McGraw-Hill, 2004, ISBN : 0-07-143241-8 .
- http://www.leb.e-technik.uni-erlangen.de/lehre/mm/
- http://www.ece.gatech.edu/research/labs/vc/
- http://www.tf.uni-kiel.de/matwis/amat/def_en/kap_3/styles/
http://www.elec.gla.ac.uk/groups/sim_centre/courses/diffusion/
- http://www.answers.com/
85، ‫ ﺳﺎل‬- 2 ‫ درس ﺗﺌﻮري و ﺗﻜﻨﻮﻟﻮژي ﺳﺎﺧﺖ ﻗﻄﻌﺎت ﻧﻴﻤﻪ ﻫﺎدي‬، ‫ ﻗﺴﻤﺖ دوم‬، ‫ ﺟﺰوه ﻧﻔﻮذ‬- -
‫اﻣﻴﺮ ﺣﺴﻴﻦ ﻋﻠﻲ ﺑﻴﮕﻲ‬

251
‫ﻓﺼﻞ ‪ -8‬ﻛﺎﺷﺖ ﻳﻮن‬

‫ﺗﺼﻮﻳﺮي از ﻳﻚ دﺳﺘﮕﺎه ‪Ion Beam Ion Implantation‬‬

‫‪252‬‬
‫ﺗﺼﻮﻳﺮي از ﻳﻚ دﺳﺘﮕﺎه ‪Ion Beam Ion Implantation‬‬

‫‪ -1-8‬ﻣﻘﺪﻣﻪ‬
‫اﻣﺮوزه ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ ﭘﻴﺸﺮﻓﺖﻫﺎ ي ﺻﻨﻌﺖ ﻧﻴﻤﻪ ﻫﺎدي در زﻣﻴﻨﻪﻫﺎي ﻛﺎﻫﺶ اﺑﻌﺎد ادوات ﻧﻴﻤﻪ ﻫﺎدي‪،‬‬
‫اﻓﺰاﻳﺶ ﺗﺮاﻛﻢ ﻣﺪارﻫﺎ ﺑﺮ روي ﺗﺮاﺷﻪ وﻧﻴﺰ اﺳﺘﻔﺎدة ﮔﺴﺘﺮدهﺗﺮ از ﻧﻴﻤﻪ ﻫﺎديﻫﺎﻳﻲ ﻧﻈﻴﺮ ‪،GaAs‬‬
‫اﺳﺘﻔﺎده از روش ﻛﺎﺷﺖ ﻳﻮﻧﻲ ﮔﺴﺘﺮش ﭼﺸﻤﮕﻴﺮي داﺷﺘﻪ اﺳﺖ‪.‬در روش ﻧﻔﻮذ ﺑﺎﻳﺪ ﺗﺮاﻛﻢ اﺗﻤﻬﺎي‬
‫ﻧﺎﺧﺎﻟﺼﻲ ﻛﻪ ﺑﻪ ﺳﻄﺢ ﻧﻴﻤﻪ ﻫﺎدي وارد ﻣﻲﺷﻮﻧﺪ در ﺣﺪ ﻗﺎﺑﻠﻴﺖ ﺣﻞ ﺟﺎﻣﺪي ﻧﻴﻤﻪ ﻫﺎدي ﺣﻔﻆ ﺷﻮد‬
‫اﻳﻦ اﻣﺮ ﻣﺤﺪودﻳﺘﻬﺎي را در ﺗﻮزﻳﻊ اﺗﻤﻬﺎي ﻧﺎﺧﺎﻟﺼﻲ اﻳﺠﺎدﻣﻲﻛﻨﺪ‪.‬از ﻃﺮف دﻳﮕﺮ زﻣﺎن ﺣﺮارت دادن‬
‫در ﻓﺮاﻳﻨﺪ ﻧﻔﻮذ ﻃﻮﻻﻧﻲ ﻣﻲﺑﺎﺷﺪ‪ .‬اﻳﻦ اﻣﺮ ﺑﺎﻋﺚ ﻣﻲ ﺷﻮد ﻛﻪ اﺗﻤﻬﺎي ﻧﺎﺧﺎﻟﺼﻲ در ﻧﻮاﺣﻲ ﻛﻪ ﻣﻮرد ﻧﻈﺮ‬
‫ﻣﺎ ﻧﻤﻲ ﺑﺎﺷﺪ ﻧﻔﻮذ ﻛﺮده و ﭘﺪﻳﺪه ﻛﻨﺎرﻫﺎي رخ دﻫﺪ‪ .‬ﺑ ﺎ ﺗﻮﺟﻪ ﺑﻪ ﻣﺤﺪودﻳﺘﻬﺎي ﻓﺮاﻳﻨﺪ ﻧﻔﻮذ روش‬
‫ﻛﺎﺷﺖ ﻳﻮن ﻣﻄﺮح ﺷﺪه اﺳﺖ ‪.‬‬

‫‪253‬‬
‫ﭘﺎراﻣﺘﺮﻫﺎي ﻣﻬﻢ در روش ﻛﺎﺷﺖ ﻳﻮن ﺑﺮاي ﭘﻴﺶ ﺑﻴﻨﻲ ﺗﻮزﻳﻊ ﻧﺎﺧﺎﻟﺼﻲﻫﺎ‪ ,‬ﻣﺸﺨﺼﺎت ﻳﻮن ‪ ,‬ﻧﻮع‬
‫اﺗﻤﻬﺎي وﻳﻔﺮ و اﻧﺮژي اوﻟﻴﻪ ﻳﻮن ﻣﻲ ﺑﺎﺷﺪ‪.‬در ﺣﺎﻟﻴﻜﻪ در روش ﻧﻔﻮذ ﻋﻼوه ﺑﺮ ﻋﻮاﻣﻞ ﻓﻮق ‪ ,‬ﭘﺎراﻣﺘﺮﻫﺎي‬
‫ﻓﺸﺎر ‪,‬درﺟﻪ ﺣﺮارت و زﻣﺎن ﻧﻴﺰ در ﺗﻮزﻳﻊ ﻧﺎﺧﺎﻟﺼﻴﻬﺎ ﻧﻘﺶ دارﻧﺪ‪ .‬از ﺧﺼﻮﺻﻴﺎت ﻣﻬﻢ اﻳﻦ روش ‪,‬‬
‫ﻗﺎﺑﻠﻴﺖ ﻛﻨﺘﺮل دﻗﻴﻖ ﺗﻮزﻳﻊ اﺗﻤﻬﺎي ﻧﺎﺧﺎﻟﺼﻲ و ﻗﺎﺑﻠﻴﺖ ﺗﻜﺮار آن ﻣﻲﺑﺎﺷﺪ‪ .‬در ﻓﺮاﻳﻨﺪ ﻛﺎﺷﺖ ﻳﻮن ‪,‬‬
‫ﻳﻮﻧﻬﺎي ﻧﺎﺧﺎﻟﺼﻲ ﺑﺎ اﻧﺮژي ﻛﺎﻓﻲ ﺑﻪ ﺳﻄﺢ وﻳﻔﺮ ﭘﺮﺗﺎب ﻣﻲﺷﻮﻧﺪ‪.‬اﻧﺮژي اﻳﻦ ﻳﻮﻧﻬﺎ ﻋﻤﻮﻣﺎً ﺑﻴﻦ ‪ 1keV‬ﺗﺎ‬
‫‪ 1meV‬ﺑﻮده و ﻣﻲﺗﻮاﻧﻨﺪ ﺗﺎ ﻋﻤﻖ ﻣﺘﻮﺳﻄﻲ ﺑﻴﻦ ‪ 100‬اﻧﮕﺴﺘﺮم ﺗﺎ ‪ 10‬ﻣﻴﻜﺮوﻣﺘﺮ در داﺧﻞ وﻳﻔﺮ ﻧﻔﻮذ‬
‫ﻧﻤﺎﻳﻨﺪ‪ .‬ﺑﻪ دﻟﻴﻞ اﻧﺠﺎم ﻋﻤﻠﻴﺎت در ﻣﺤﻴﻂ ﺧﻼء و ﺑﻪ ﺧﺼﻮص دﻣﺎي ﭘﺎﻳﻴﻦ ﻣﺤﻴﻂ آﻟﻮدﮔﻲﻫﺎي ﻛﻪ در‬
‫روﺷﻬﺎي دﻳﮕﺮ وﺟﻮد دارد‪ ,‬در اﻳﻦ روش اﻳﺠﺎد ﻧﻤﻲﮔﺮدد ‪[24].‬‬
‫در روش ﻛﺎﺷﺖ ﻳﻮن‪ ،‬ﻳﻮﻧﻬﺎﻳﻲ از ﻧﺎﺧﺎﻟﺼﻲ د ﻟﺨﻮاﻫﻲ ﻛﻪ ﻣﻲﺧﻮاﻫﻴﻢ در ﻣﺎده ﻗﺮار دﻫﻴﻢ را ﺑﺎ ﻣﻘﺪار‬
‫ﻣﺸﺨﺺ ‪ ،‬ﻗﺎﺑﻞ ﻛﻨﺘﺮل و ﺑﺎ اﻧﺮژي ﻗﺎﺑﻞ ﺗﻨﻈﻴﻢ اﻳﺠﺎد ﻛﺮده‪ ،‬در ﻣﺴﻴﺮ ﻣﺸﺨﺼﻲ ﻫﺪاﻳﺖ ﻛﺮده و ﺑﻪ‬
‫ﺳﻤﺖ ﻗﻄﻌﺔ ﻫﺪف ﻣﻲﺗﺎﺑﺎﻧﻴﻢ ‪ .‬ﺑﺮ ﺣﺴﺐ ﻣﻴﺰان اﻧﺮژي و ﻣﻘﺪار ﻳﻮنﻫﺎ‪ ،‬ﻧﻮع ﻳﻮنﻫﺎ و ﻧﻴﺰ ﻧﻮع آراﻳﺶ‬
‫ﺷﺒﻜﺔ ﻫﺪف‪ ،‬ﻣﻲﺗﻮان ﭘﺮوﻓﻴﻞ دﻗﻴﻘﻲ اﻳﺠﺎد ﻧﻤﻮد‪ .‬در اﻳﻦ ﻧﻮﺷﺘﻪ اﺑﺘﺪا ﺗﺎرﻳﺨﭽﺔ ﻣﺨﺘﺼﺮي درﺑﺎرة‬
‫ﭼﮕﻮﻧﮕﻲ ﭘﻴﺪاﻳﺶ اﻳﻦ روش‪ ،‬ﻣﻴﺰان ﮔﺴﺘﺮش و ﺑﺎزار ﻛﻨﻮﻧﻲ آن ﺑﻴﺎن ﻣﻲﮔﺮدد ‪ .‬ﺳﭙﺲ ﻧﺤﻮة ﻧﻔﻮذ‬
‫ﻳﻮنﻫﺎ در ﻗﻄﻌﺔ ﻫﺪف و ﻣﺪلﻫﺎي رﻳﺎﺿﻲ ﺗﻮﺻﻴﻒ ﻛﻨﻨﺪة ﻛﺎﺷﺖ ﻳﻮن ﻣﻮرد ﺑﺮرﺳﻲ ﻗﺮار ﻣﻲﮔﻴﺮد‪ .‬در‬
‫اداﻣﻪ ﺑﺮرﺳﻲ ﻣﺸﻜﻼت روش ﻛﺎﺷﺖ ﻳﻮن و راه ﺣﻞﻫﺎي ﻏﻠﺒﻪ ﺑﺮ آنﻫﺎ ﻣﻮرد ﺑﺤﺚ ﻗﺮارﻣﻲ ﮔﻴﺮد‪.‬‬
‫ﺳﭙﺲ اﻧﻮاع دﺳﺘﮕﺎهﻫﺎي ﻛﺎﺷﺖ ﻳﻮن ﺑﺮ اﺳﺎس ﻧﺤﻮة ﺗﻮﻟﻴﺪ و ﻫﺪاﻳﺖ ﻳﻮنﻫﺎ ﺑﻪ ﺳﻤﺖ ﻗﻄﻌﺔ ﻫﺪف‪،‬‬
‫ﻗﺴﻤﺖﻫﺎي ﻣﺨﺘﻠﻒ دﺳﺘﮕﺎه ﻛﺎﺷﺖ ﻳﻮن ﺑﺎ ﭘﺮﺗﻮ ﻳﻮن و دﺳﺘﮕﺎه ﻛﺎﺷﺖ ﻳﻮن ﺑﺎ ﻣﻨﺒﻊ‬ ‫ﻫﻤﭽﻨﻴﻦ‪،‬‬
‫ﭘﻼﺳﻤﺎ‪ ،‬ﺗﺸﺮﻳﺢ ﻣﻲﮔﺮدد و در اﻧﺘﻬﺎ ﻧﻴﺰ ﻣﺰاﻳﺎ و ﻣﻌﺎﻳﺐ‪ ،‬ﭘﻴﺸﺮﻓﺖﻫﺎي ﺟﺪﻳﺪ و ﭼﺸﻢ اﻧﺪازهاي آﻳﻨﺪة‬
‫ﻛﺎﺷﺖ ﻳﻮن ﻣﻮرد ﺑﺮرﺳﻲ ﻗﺮار ﻣﻲﮔ ﻴﺮد‬

‫‪ -2-8‬ﺗﺎرﻳﺨﭽﺔ ﻣﺨﺘﺼﺮي درﺑﺎرة ﭼﮕﻮﻧﮕﻲ ﭘﻴﺪاﻳﺶ روش ﻛﺎﺷﺖ ﻳﻮن‬


‫ﺗﺎرﻳﺨﭽﻪ اﺳﺘﻔﺎده از ﻳﻮن ﺑﻪ ﻗﺮن ﻧﻮزدﻫﻢ ﻣﻴﻼدي ﺑﺮﻣﻲ ﮔﺮدد و از آن ﻫﻨﮕﺎم ﻫﻤﻮاره در ﺣﺎل‬
‫ﺗﻮﺳﻌﻪ ﺑﻮده اﺳﺖ ‪ .‬اوﻟﻴﻦ دﺳﺘﮕﺎه ﺷﺘﺎب دﻫﻨﺪه ﺑﺎ ﻣﺸﺎرﻛﺖ ﻓﻴﺰﻳﻜﺪان ﻣﺸﻬﻮر‪ ،‬راﺑﺮت وﻧﺪ ﮔﺮاف‬
‫ﭘﮋوﻫﺸﻜﺪه ﻓﻦآوري ﻣﺎﺳﺎﭼﻮﺳﺖ و داﻧﺸﮕﺎه ﭘﺮﻳﻨﺴﺘﻦ ﺳﺎﺧﺘﻪ ﺷﺪ‪ .‬ﺗﻜﻨﻮﻟﻮژي وﻟﺘﺎژ ﺑﺎﻻي ﺑﻪ وﺟﻮد‬
‫آﻣﺪه از اﻳﻦ ﺗﻼشﻫﺎ ﺑﻪ ﺑﻨﺎ ﺷﺪن ﺷﺮﻛﺖ ﻣﻬﻨﺪﺳﻲ وﻟﺘﺎژ ﺑﺎﻻ‪ ، HVEC ،‬در دﻫﻪ ‪ 1940‬اﻧﺠﺎﻣﻴﺪ‪ .‬اﻳﻦ‬

‫‪254‬‬
‫ﺷﺮﻛﺖ ﺑﻪ ﻋﻨﻮان ﻣﺮﻛﺰ رﺷﺪ اﻳﻦ ﺗﻜﻨﻮﻟﻮژي ﻓﻌﺎﻟﻴﺖ ﻛﺮد و اوﻟﻴﻦ دﺳﺘﮕﺎه ﻛﺎﺷﺖ ﻳﻮن ﺗﺠﺎري را ﺗﻮﻟﻴﺪ‬
‫ﻧﻤﻮد]‪.[8‬‬
‫وﻳﻠﻴﺎم ﺷﺎﻛﻠﻲ در ﻣﻘﺎﻟﻪاي در ﺳﺎل ‪ ،1954‬اﻣﻜﺎن اﺳﺘﻔﺎده از روش ﻛﺎﺷﺖ ﻳﻮن را ﺑﺮاي اﻓﺰودن‬
‫ﻧﺎﺧﺎﻟﺼﻲ ﺑﻪ ﻣﻮاد ﻣﺨﺘﻠﻒ ﺑﻴﺎن ﻛﺮد و اﻳﻦ اﻳﺪه را ﺑﻪ ﻧﺎم ﺧﻮد ﺛﺒﺖ ﻧﻤﻮد‪ ،‬اﻣﺎ ﻫﻴﭻ اﺳﺘﻔﺎدة ﺗﺠﺎري از‬
‫ﺛﺒﺖ اﺧﺘﺮاع ﺧﻮد ﻧﺒﺮد و ﺑﺎ ﭘﺎﻳﺎن ﻳﺎﻓﺘﻦ ﻣﻬﻠﺖ اﺳﺘﻔﺎده از ﺣﻖ اﻧﺤﺼﺎري اﺧﺘﺮاع وي در ﺳﺎل ‪،1964‬‬
‫ﺗﺠﻬﻴﺰات ﻛﺎﺷﺖ ﻳﻮن ﺑﻪ ﺗﺪرﻳﺞ وارد ﺻﻨﻌﺖ ﻧﻴﻤﻪ ﻫﺎدي ﮔﺮدﻳﺪ و ﺑﺎ ﺳﺎﺧﺘﻪ ﺷﺪن ﻣﺮاﻛﺰ رﺷﺪ‬
‫ﺗﻜﻨﻮﻟﻮژي واﺑﺴﺘﻪ ﺑﻪ آن‪ ،‬ﻗﺎﺑﻠﻴﺖﻫﺎي اﻳﻦ ﺗﺠﻬﻴﺰات ﺑﻪ ﺳﺮﻋﺖ ﺑﺎﻻ رﻓﺖ و ﺗﻜﻨﻴﻚﻫﺎي ﺟﺪﻳﺪي ﺑﺮاي‬
‫ﺗﻮﻟﻴﺪ و اﻧﺘﻘﺎل ﭘﺮﺗﻮﻫﺎي ﻳﻮﻧﻲ ﺑﻪ وﺟﻮد آﻣﺪ‪ .‬ﻫﻤﭽﻨﻴﻦ ﺗﺤﻘﻴﻘﺎﺗﻲ ﻛﻪ روي ﻓﺮاﻳﻨﺪ ﺗﻮﻗﻒ ﻳﻮن در ﻗﻄﻌﻪ‬
‫ﻫﺪف‪ 4‬اﻧﺠﺎم ﺷﺪ ﺗﻮاﻧﺴﺖ ﭘﻴﺶﺑﻴﻨﻲ درﺳﺘﻲ از ﭼﮕﻮﻧﮕﻲ ﺗﻮزﻳﻊ ﻧﺎﺧﺎﻟﺼﻲ ﻛﺎﺷﺘﻪ ﺷﺪه در ﻗﻄﻌﻪ‬
‫ﻫﺪف ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ زاوﻳﺔ ﺗﺎﺑﺶ ﭘﺮﺗﻮﻫﺎ و اﻧﺮژي ﻳﻮنﻫﺎي ﺗﺎﺑﻴﺪه ﺷﺪه ﺑﻪ دﺳﺖ دﻫﺪ و ﺑﻌﺪ از ﻣﺪﺗﻲ‬
‫روش آﻧﻴﻠﻴﻨﮓ ﺑﺮاي ﺟﺒﺮان ﻧﻘﺺﻫﺎي اﻳﺠﺎد ﺷﺪه در ﺷﺒﻜﻪ ﻛﺮﻳﺴﺘﺎﻟ ﻲ ﻗﻄﻌﻪ ﻫﺪف ﻣﻮرد اﺳﺘﻔﺎده‬
‫ﻗﺮار ﮔﺮﻓﺖ ]‪.[8‬‬
‫اﻣﺮوزه روش ﻛﺎﺷﺖ ﻳﻮن ﺑﻪ ﺳﺮﻋﺖ ﺟﺎي ﺧﻮد را در ﻛﻨﺎر روش ﺣﺮارﺗﻲ ﻧﻔﻮذ‪ 5‬ﺑﺎز ﻛﺮده اﺳﺖ و در‬
‫ﺑﺮﺧﻲ ﻣﻮارد ﺟﺎﻳﮕﺰﻳﻦ آن ﮔﺮدﻳﺪه اﺳﺖ‪ .‬ﺑﺮﺧﻲ از ﺷﺮﻛﺖﻫﺎي ﺗﻮﻟﻴﺪ ﻛﻨﻨﺪة ﻣﺪارات ﻣﺠﺘﻤﻊ ﻧﻈﻴﺮ‬
‫‪ IBM‬و ‪ Western Electric‬در اﺑﺘﺪا دﺳﺘﮕﺎهﻫﺎي زﻳﺎدي را ﺑﺮاي اﺳﺘﻔﺎدة داﺧﻠﻲ ﺧﻮد ﺳﺎﺧﺘﻨﺪ و‬
‫ﺳﺮاﻧﺠﺎم در دﻫﻪ ‪ 1970‬ﻣﻴﻼدي‪ ،‬ﺑﺎزار ﺗﺠﺎري دﺳﺘﮕﺎهﻫﺎي ﻛﺎﺷﺖ ﻳﻮن ﺑﺎ اﻟﻬﺎم ﮔﺮﻓﺘﻦ از ﻓﻦآوري ﺑﻪ‬
‫وﺟﻮد آﻣﺪه در ﺷﺮﻛﺖ ‪ HVEC‬و ﻧﻴﺰ ﺗﻜﻨﻮﻟﻮژي ﺷﺮﻛﺖﻫﺎي ﺗﻮﻟﻴﺪ ﻛﻨﻨﺪة ﻣﺪارات ﻣﺠﺘﻤﻊ‪ ،‬ﺑﻪ ﻋﻨﻮان‬
‫ﻣﺼﺮف ﻛﻨﻨﺪه اﻳﻦ ﺗﺠﻬﻴﺰات‪ ،‬ﺷﺮوع ﺑﻪ ﻓﻌﺎﻟﻴﺖ ﻛﺮد‪[ 8] .‬‬

‫‪ -3-8‬ﻣﻴﺰان ﮔﺴﺘﺮش روش ﻛﺎﺷﺖ ﻳﻮن و ﺑﺎزار آن‬


‫ﻳﻚ ﺷﺮﻛﺖ ﺗﻮﻟﻴﺪ آي ﺳ ﻲ ﺑﺰرگ ﺗﺎ ﺣﺪود ‪ 50‬ﻫﺰار وﻳﻔﺮ در ﻣﺎه ﺗﻮﻟﻴﺪ ﻣﻲﻛﻨﺪ و ﻫﺮ وﻳﻔﺮ ﺑﻪ ﺣﺪود ‪20‬‬
‫ﺗﺎ ‪ 30‬ﺑﺎر ﻛﺎﺷﺖ ﻳﻮن ﻧﻴﺎز دارد‪ .‬اﻳﻦ ﺣﺠﻢ ﻛﺎر ﻧﺰدﻳﻚ ‪ 20‬دﺳﺘﮕﺎه ﻛﺎﺷﺖ ﻳﻮن ﻧﻴﺎز ﺧﻮاﻫﺪ داﺷﺖ‪ .‬ﻛﻪ‬
‫ﻫﺮ ﻛﺪام ﺑﺎﻳﺪ ‪ 200‬وﻳﻔﺮ در ﺳﺎﻋﺖ ﺧﺮوﺟﻲ داﺷﺘﻪ ﺑﺎﺷﻨﺪ‪ .‬در ﻋﻤﻞ ﺧﺮوﺟﻲ ﻳﻚ دﺳﺘﮕﺎه ﻛﺎﺷﺖ ﻳﻮن‬
‫از ‪ 270‬وﻳﻔﺮ در ﺳﺎﻋﺖ ﮔﺬﺷﺘﻪ اﺳﺖ ‪.‬‬

‫‪255‬‬
‫ﺑﺎ وﺟﻮد دﺳﺘﮕﺎهﻫﺎي ﺟﺮﻳﺎن ﺑﺎﻻ ‪ ١‬ﺑﺎ ﺟﺮﻳﺎن ﻳﻮن ﺑﻴﺶ از ‪ 25 mA‬ﻣﻲﺗﻮان ﺑﻪ ﻣﻘﺪار ﭼﮕﺎﻟﻲ ﺑﻴﺸﺘﺮ و‬
‫ﺳﺮﻋﺖ ﺑﻴﺸﺘﺮ دﺳﺖ ﻳﺎﻓﺖ‪ .‬در اﻳﻦ دﺳﺘﮕﺎهﻫﺎ ﻣﻘﺪار اﻧﺮژي ﻛﺎﺷﺖ ﻳﻮن از ‪ 1 keV‬ﺗﺎ ‪ 3 MeV‬ﻳﺎ ﺑﻴﺸﺘﺮ‬
‫ﻣﺘﻐﻴﺮ اﺳﺖ‪ .‬اﻣﺮوزه از دﺳﺘﮕﺎهﻫﺎﻳﻲ ﺑﺎ اﻧﺮژي ‪ 4 MeV‬و ﺟﺮﻳﺎن ﻳﻮن ‪ 50 μA‬ﻧﻴﺰ اﺳﺘﻔﺎده ﻣﻲﺷﻮد ‪.‬‬
‫ﻗﻴﻤﺖ ﻫﺮ دﺳﺘﮕﺎه ﻛﺎﺷﺖ ﻳﻮن ﺑﻴﻦ ‪ 2‬ﺗﺎ ‪ 5‬ﻣﻴﻠﻴﻮن دﻻر در ﺳﺎل ‪ ، 2003‬ﺑﺮ ﺣﺴﺐ ﻣﺪل دﺳﺘﮕﺎه و ﻗﻄﺮ‬
‫وﻳﻔﺮي ﻛﻪ ﻣﻲﺗﻮاﻧﺪ ﻋﻤﻞ ﻛﺎﺷﺖ را روي آن اﻧﺠﺎم دﻫﺪ‪ ،‬ﺑﺮآورد ﻣﻲﺷﻮد‪ .‬از ﺳﺎل ‪ 1980‬ﺗﺎ ﻛﻨﻮن ﺑﻴﺶ‬
‫از ‪ 6000‬دﺳﺘﮕﺎه ﻛﺎﺷﺖ ﻳﻮن ﺑﻪ ﻓﺮوش رﻓﺘﻪ اﺳﺖ ﻛﻪ ﺗﺼﻮر ﻣﻲﺷﻮد ﺣﺪود ‪ 4000‬دﺳﺘﮕﺎه از آﻧﻬﺎ در‬
‫ﺣﺎل ﻛﺎر ﺑﺎﺷﺪ‪.‬‬

‫ﺷﻜﻞ‬
‫)‪ (7- 1‬ﻛﺎرﺑﺮدﻫﺎي ﻣﺨﺘﻠﻒ دﺳﺘﮕﺎهﻫﺎي ﻛﺎﺷﺖ ﻳﻮن ﺑﺮ اﺳﺎس اﻧﺮژي و ﺟﺮﻳﺎن ﻳﻮنﻫﺎ‬

‫‪1 - high current‬‬


‫‪256‬‬
‫در ﺗﻮﻟﻴﺪ ﻳﻚ آي ﺳﻲ ﺑﺎ ﺗﻜﻨﻮﻟﻮژي ‪ CMOS‬ﻛﻪ در ﺧﻮد واﺣﺪ ﺣﺎﻓﻈﻪ ﻧﻴﺰ داﺷﺘﻪ ﺑﺎﺷﺪ ﺗﺎ ‪ 35‬ﺑﺎر ﻋﻤﻞ‬
‫ﻛﺎﺷﺖ ﻳﻮن ﻧﻴﺰ اﺟﺮا ﻣﻲﺷﻮد‪ .‬روشﻫﺎي ﻣﺨﺘﻠﻒ ﻛﺎﺷﺖ ﻳﻮن ﻋﻼوه ﺑﺮ ﻣﺼﺎرف ﺻﻨﻌﺖ ﻧﻴﻤﻪ ﻫﺎدي در‬
‫ﭘﺮدازش و ﺑﻬﺒﻮد ﺳﻄﻮح‪ ،‬ﻣﻬﻨﺪﺳﻲ ﻣﻮاد‪ ،‬ﻫﻮا ﻓﻀﺎ‪ ،‬ﺧﻮدرو ﺳﺎزي و ﭘﺰﺷﻜﻲ ﻧﻴﺰ اﺳﺘﻔﺎده ﺷﺪه اﺳﺖ‬
‫]‪.[8‬‬

‫دو ﺷﺮﻛﺖ ‪ Varian‬و ‪ Axcelis‬در ﺳﺎﺣﻞ ﺷﻤﺎﻟﻲ ﺷﻬﺮ ﺑﺴﺘﻮن در اﻳﺎﻻت ﻣﺘﺤﺪه‪ ،‬ﺣﺪود ‪ %70‬ﺑﺎزار‬
‫ﺗﻮﻟﻴﺪ دﺳﺘﮕﺎهﻫﺎي ﻛﺎﺷﺖ ﻳﻮن را ﺑﻪ دﻟﻴﻞ ﭘﻴﭽﻴﺪﮔﻲ ﻃﺮاﺣﻲ و ﺳﺎﺧﺖ اﻳﻦ دﺳﺘﮕﺎه‪ ،‬ﺑﻪ ﺧﻮد‬
‫اﺧﺘﺼﺎص دادهاﻧﺪ‪ .‬اﻳﻦ دو ﺷﺮﻛﺖ ﺑﻪ ﻓﺎﺻﻠﺔ ‪ 25‬ﻛﻴﻠﻮﻣﺘﺮ از ﻳﻜﺪﻳﮕﺮ و ﺑﻪ ﺗﺮﺗﻴﺐ در ﺳﺎلﻫﺎي ‪1971‬و‬
‫‪ 1978‬ﻣﻴﻼدي ﺗﺎﺳﻴﺲ ﮔﺮدﻳﺪهاﻧﺪ]‪.[8‬‬
‫اﻣﺮوزه دﺳﺘﮕﺎهﻫﺎي ﻛﺎﺷﺖ ﻳﻮن ﺑﺮ اﺳﺎس ﻧﻮع ﻛﺎرﺑﺮدي ﻛﻪ در ﺻﻨﻌﺖ دارﻧﺪ‪ ،‬ﺑﻪ ﺳﻪ ﮔﺮوه اﺻﻠﻲ‬
‫ﻃﺒﻘﻪ ﺑﻨﺪي ﻣﻲ ﺷﻮﻧﺪ ‪ .‬اﻳﻦ ﺳﻪ ﮔﺮوه ﻋﺒﺎرﺗﻨﺪ از ‪ :‬دﺳﺘﮕﺎهﻫﺎي ﻛﺎﺷﺖ ﻳﻮن ﺑﺎ ﺟﺮﻳﺎن ﻣﺘﻮﺳﻂ‪،‬‬
‫دﺳﺘﮕﺎهﻫﺎي ﻛﺎﺷﺖ ﻳﻮن ﺑﺎ ﺟﺮﻳﺎن ﺑﺎﻻ و دﺳﺘﮕﺎهﻫﺎي ﻛﺎﺷﺖ ﻳﻮن ﺑﺎ اﻧﺮژي ﺑﺎﻻ‪ .‬در ﺷﻜﻞ ‪ 7- 1‬اﻳﻦ‬
‫ﻃﺒﻘﻪ ﺑﻨﺪي ﺑﺮ اﺳﺎس ﮔﺴﺘﺮة اﻧﺮژي و ﺟﺮﻳﺎن دﺳﺘﮕﺎهﻫﺎ و ﻧﻴﺰ ﻛﺎر ﺑﺮد آﻧﻬﺎ ﻣﺸﺎﻫﺪه ﻣﻲﮔﺮدد‪.‬‬
‫ﻛﺎدرﻫﺎي ﺑﺎ رﻧﮓ ﻗﺮﻣﺰ ﻣﻌﺮف دﺳﺘﮕﺎهﻫﺎي ﻛﺎﺷﺖ ﻳﻮن ﺑﺎ ﺟﺮﻳﺎن ﺑﺎﻻ‪ ،‬ﻛﺎدرﻫﺎي ﺑﺎ رﻧﮓ ﻣﺸﻜﻲ ﻣﻌﺮف‬
‫دﺳﺘﮕﺎهﻫﺎي ﻛﺎﺷﺖ ﻳﻮن ﺑﺎ ﺟﺮﻳﺎن ﻣﺘﻮﺳﻂ و ﻛﺎدرﻫﺎي ﺑﺎ رﻧﮓ آﺑﻲ ﻧﻴﺰ ﻣﻌﺮف دﺳﺘﮕﺎهﻫﺎي ﻛﺎﺷﺖ‬
‫ﻳﻮن ﺑﺎ اﻧﺮژي ﺑﺎﻻ ﻫﺴﺘﻨﺪ ‪.‬‬

‫‪ -4-8‬اﻧﻮاع دﺳﺘﮕﺎهﻫﺎي ﻛﺎﺷﺖ ﻳﻮن ﺑﺮ اﺳﺎس روش ﻛﺎﺷﺖ‬


‫در ﻓﺮاﻳﻨﺪ ﻛﺎﺷﺖ ﻳﻮن‪ ،‬ﻳﻮنﻫﺎ ﺑﻪ ﺳﻤﺖ ﻗﻄﻌﺔ ﻫﺪف ﺷﺘﺎب ﮔﺮﻓﺘﻪ و ﭘﺮوﻓﻴﻞ ﻣﻨﺎﺳﺒﻲ از ﻧﺎﺧﺎﻟﺼﻲ را‬
‫در داﺧﻞ ﺷﺒﻜﺔ ﻣﻴﺰﺑﺎن اﻳﺠﺎد ﻣﻲﻛﻨﻨﺪ‪ .‬دو روش ﻋﻤﺪه ﺑﺮاي ﻋﻤﻞ ﻛﺎﺷﺖ ﻳﻮن را ﻣﻲﺗﻮان ﺑﺮ ﺷﻤﺮد‬
‫ﻛﻪ ﺑﺮ اﺳﺎس ﻫﺮ ﻛﺪام از اﻳﻦ روشﻫﺎ دﺳﺘﮕﺎه ﻛﺎﺷﺖ ﻳﻮن ﻃﺮاﺣﻲ و ﺳﺎﺧﺘﻪ ﻣﻲ ﺷﻮد‪ .‬در روش اول‬
‫ﻳﻮنﻫﺎ از ﻣﻨﺒﻊ ﻳﻮن ﺟﺪا ﺷﺪه و ﺗﻮﺳﻂ ﻳﻚ ﻣﻴﺪان ﻣﻐﻨﺎﻃﻴﺴﻲ ﺑ ﺮ ﺣﺴﺐ ﻧﺴﺒﺖ ﺟﺮم ﺑﻪ ﺑﺎرﺷﺎن‬
‫اﻧﺘﺨﺎب ﻣﻲﮔﺮدﻧﺪ‪ .‬ﺳﭙﺲ اﻧﺮژي ﻻزم ﺑﺮاي ورود ﺑﻪ ﻗﻄﻌﺔ ﻫﺪف را ﺗﻮﺳﻂ ﺷﺘﺎب دﻫﻨﺪه ﺑﺪﺳﺖ‬
‫ﻣﻲآورﻧﺪ و ﺗﻮﺳﻂ ﻣﺘﻤﺮﻛﺰ ﻛﻨﻨﺪهﻫﺎﻳﻲ ﺑﻪ ﺻﻮرت ﻳﻚ ﭘﺮﺗﻮ ﻳﻮن در ﻣﻲآﻳﻨﺪ‪ .‬اﻳﻦ ﭘﺮﺗﻮ ﺑﺮ روي ﺳﻄﺢ‬
‫ﻫﺪف ﻣﺘﻤﺮﻛﺰ ﺷﺪه و ﺳﻄﺢ ﻫﺪف را اﺳﻜﻦ ﻣﻲﻛﻨﺪ‪ .‬اﻳﻦ دﺳﺘﮕﺎه ﻛﻪ در اداﻣﻪ ﻗﺴﻤﺖﻫﺎي ﻣﺨﺘﻠﻒ آن‬

‫‪257‬‬
‫ﺗﺸﺮﻳﺢ ﻣﻲﮔﺮدد را )‪ (Ion Beam Ion Implantation‬ﻳﺎ دﺳﺘﮕﺎه ﻛﺎﺷﺖ ﻳﻮن ﺑﺎ روش ﭘﺮﺗﻮ ﻳﻮن‪،‬‬
‫ﻣﻲﻧﺎﻣﻨﺪ ‪.‬‬
‫در روش دوم ﻛﻪ در ﺳﺎل ‪ 1990‬ﺗﻮﺳﻂ ﺟﺎن ﻛﻨﺮاد اراﺋﻪ ﺷﺪ ﻗﻄﻌﻪ ﻫﺪف درون ﻣﺤﻔﻈﻪ ﺗﻮﻟﻴﺪ ﻳﻮن‬
‫ﻗﺮار ﻣﻲﮔﻴﺮد و وﻟﺘﺎژﻫﺎي ﺑﺴﻴﺎر ﺑﺰرگ ﻣﻨﻔﻲ ﺑﻪ ﺻﻮرت ﭘﺎﻟﺲﻫﺎﻳﻲ ﺑﻪ آن اﻋﻤﺎل ﻣﻲﺷﻮد‪ .‬در ﻧﺘﻴﺠﻪ‬
‫ﻳﻮنﻫﺎي ﻣﺜﺒﺖ ﻣﻮﺟﻮد در ﻣﺤﻴﻂ ﭘﻼﺳﻤﺎي اﻃﺮاف ﻗﻄﻌﻪ ﺑﻪ ﺳﻤﺖ آن ﺳﺮﻋﺖ ﻣﻲﮔﻴﺮﻧﺪ و ﺑﺎ اﻧﺮژي‬
‫زﻳﺎد در آن ﻧﻔﻮذ ﻣﻲﻛﻨﻨﺪ‪ .‬اﻳﻦ روش ﺑﺎ ﻋﻨﻮان ‪ (PSII) ، Plasma source ion implantation‬ﻳﺎ دﺳﺘﮕﺎه‬
‫ﻛﺎﺷﺖ ﻳﻮن ﺑﺎ ﻣﻨﺒﻊ ﭘﻼﺳﻤﺎ ﺷﻨﺎﺧﺘﻪ ﻣﻲ ﺷﻮد]‪ .[4،5،6‬ﻻزم ﺑﻪ ذﻛﺮ اﺳﺖ ﻛﻪ ﭘﻼﺳﻤﺎ ﺣﺎﻟﺘﻲ از ﻣﺎده‬
‫اﺳﺖ ﻛﻪ در آن ﻳﻮنﻫﺎ و اﻟﻜﺘﺮونﻫﺎ از ﻫﻢ ﺟﺪا ﻫﺴﺘﻨﺪ و ﻣﺎده از ﻧﻈﺮ ﺑﺎر اﻟﻜﺘﺮﻳﻜﻲ ﺧﻨﺜﻲ اﺳﺖ ‪.‬‬
‫در ﺷﻜﻞ ‪ 7- 2‬ﻳﻚ ﻧﻤﺎي ﺷﻤﺎﺗﻴﻚ از ﻗﺴﻤﺖﻫﺎي ﻣﻬﻢ ﻳﻚ دﺳﺘﮕﺎه ﻛﺎﺷﺖ ﺑﺎ ﭘﺮﺗﻮ ﻳﻮن‪ ،‬ﻧﺸﺎن داده‬
‫ﺷﺪه اﺳﺖ ‪.‬‬

‫ﺷﻜﻞ )‪ (7- 2‬ﻗﺴﻤﺖﻫﺎي ﻣﻬﻢ ﻳﻚ ‪Ion Beam Ion Implanter System‬‬

‫‪ -5-8‬ﻛﺎرﺑﺮدﻫﺎ و ﻣﺰاﻳﺎي روش ﻛﺎﺷﺖ ﻳﻮن در ﻣﻘﺎﻳﺴﻪ ﺑﺎ روشﻫﺎي دﻳﮕﺮ‬


‫اﻳﻦ ﻣﻮارد ﺑﻴﺸﺘﺮ ﺑﻪ ﻛﺎرﺑﺮد در ﺳﺎﺧﺖ ﻧﻴﻤﻪ ﻫﺎديﻫﺎ اﺷﺎره دارد‪:‬‬
‫ﻛﻨﺘﺮل دﻗﻴﻖ دوز ﺗﺰرﻳﻖ ﻧﺎﺧﺎﻟﺼﻲ؛ ﺑﺎ اﻳﻦ وﻳﮋﮔﻲ ﻣﻲﺗﻮان ﺗﺤﺮك ﭘﺬﻳﺮي ﺣﺎﻣﻞﻫﺎ در ﺳﻄﻮح را ﺑﻪ‬
‫دﻗﺖ ﺗﻨﻈﻴﻢ ﻛﺮد و ﻣﻘﺎوﻣﺖﻫﺎي دﻗﻴﻘﻲ ﺑﻪ دﺳﺖ آورد ]‪.[1‬‬

‫‪258‬‬
‫ﺗﻮﻟﻴﺪ ﭘﻴﻮﻧﺪﻫﺎي ﻛﻢ ﻋﻤﻖ؛ ﺑﺮاي اﻳﻦ ﻛﺎر ﻻزم اﺳﺖ ﭘﺮوﻓﺎﻳﻞﻫﺎي ﻧﺎﺧﺎﻟﺼﻲ را ﺑﻪ ﮔﻮﻧﻪاي اﻳﺠﺎد ﻛﺮد ﻛﻪ‬
‫ﺣﺪاﻛﺜﺮ ﭼﮕﺎﻟﻲ اﻳﺠﺎد ﺷﺪه در ﻋﻤﻖ ﺑﺎﺷﺪ‪ .‬اﻳﻦ اﻣﻜﺎن در روش ﻧﻔﻮذ وﺟﻮد ﻧﺪاﺷﺖ ‪ .‬اﻳﻦ ﻣﺴﺄﻟﻪ‬
‫ﺧﺼﻮﺻﺎً در ﺗﻜﻨﻮﻟﻮژي ‪ VLSI‬ﺑﺴﻴﺎر ﻣﻬﻢ اﺳﺖ ]‪.[1‬‬
‫ﻛﻢ ﺑﻮدن دﻣﺎي ﻓﺮاﻳﻨﺪ ﻛﻪ در ﻧﺘﻴﺠﻪ ﭘﺮوﻓﺎﻳﻞﻫﺎﻳﻲ ﻛﻪ ﻗﺒﻼً اﻳﺠﺎد ﺷﺪهاﻧﺪ ﺑﺮ ﻫﻢ ﻧﻤﻲزﻧﺪ و ﺑﻪ ﻣﺎ‬
‫اﻣﻜﺎن اﺳﺘﻔﺎده از ﻣﺎﺳﻚﻫﺎي ﻣﺘﻨﻮﻋﻲ ﺑﺮاي ﻛﺎﺷﺖ ﻣﻲدﻫﺪ ﻣﺎﻧﻨﺪ ‪ ، P.R ، Al‬اﻛﺴﻴﺪ ‪ ،‬ﻧﻴﺘﺮﻳﺪ و ﻓﻠﺰات‬
‫]‪.[1‬‬ ‫دﻳﮕﺮ‬

‫ﻋﺪم ﺗﻐﻴﻴﺮ ﻛﻴﻔﻴﺖ ﻻﻳﻪﻫﺎي زﻳﺮﻳﻦ ]‪.[4‬‬


‫از ﻧﻈﺮ ﺗﺌﻮري ﻫﺮ ﻋﻨﺼﺮي را ﺑﺎ اﻳﻦ روش ﻣﻲﺗﻮان ﺗﺰرﻳﻖ ﻛﺮد]‪.[12،1‬‬
‫اﻣﻜﺎن ﺗﺰرﻳﻖ ﻣﻘﺎدﻳﺮ ﻛﻢ ﻧﺎﺧﺎﻟﺼﻲ؛ در روش ﻧﻔﻮذ ﺗﺰرﻳﻖ ﻛﻤﺘﺮ از ‪ 1011‬اﺗﻢ ﺑﺮ ﺳﺎﻧﺘﻴﻤﺘﺮ ﻣﻜﻌﺐ وﺟﻮد‬
‫ﻧﺪارد ]‪.[3‬‬
‫اﻣﻜﺎن ﺗﺰرﻳﻖ ﻧﺎﺧﺎﻟﺼﻲ ﺣﺘﻲ ﺑﺎﻻ از ﻣﻘﺪار ﺣﻼﻟﻴﺖ ﭘﺬﻳﺮي آن در ﻗﻄﻌﻪ ﻫﺪف ]‪[ 3‬‬
‫ﻳﻜﻨﻮاﺧﺘﻲ ﺗﺰرﻳﻖ در ﺳﻄﻮح ﺑﺰرگ و از ﻳﻚ وﻳﻔﺮ ﺑﻪ وﻳﻔﺮ دﻳﮕﺮ‪[ 3] .‬‬
‫ﻛﺎﻫﺶ ﺗﻮﻟﻴﺪ ﻣﻮاد ﺳﻤﻲ و اﺿﺎﻓﻲ ﻣﺎﻧﻨﺪ ‪ AsH3 ، BH3‬و ‪ ...‬و در ﻧﺘﻴﺠﻪ ﻛﺎﻫﺶ ﻫﺰﻳﻨﻪﻫﺎي ﺑﻬﺪاﺷﺖ و‬
‫اﻣﻨﻴﺖ ﻛﺎرﺑﺮ و ﻧﻴﺰ ﺣﻔﻆ ﻣﺤﻴﻂ زﻳﺴﺖ‪[ 12 ] .‬‬
‫اﻳﺠﺎد ﭘﺮوﻓﺎﻳﻞﻫﺎي ﺧﺎﺻﻲ ﻛﻪ ﺑﺎ روش ﻧﻔﻮذ ﻗﺎﺑﻞ ﺗﻮﻟﻴﺪ ﻧﺒﻮدﻧﺪ؛ ﺑﺮاي اﻳﻦ ﻣﻨﻈﻮر از ﭼﻨﺪ ﺑﺎر ﻋﻤﻞ‬
‫ﻛﺎﺷﺖ در ﻳﻚ ﻗﻄﻌﻪ ﺑﻪ ﺻﻮرت ﻛﺎﻣﻼً ﻛﻨﺘﺮل ﺷﺪه اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‪ .‬ﺑﺮاي اﻣﻜﺎن ﻛﻨﺘﺮل ﺑﻴﺸﺘﺮ‬

‫‪259‬‬
‫ﻗﺴﻤﺘﻲ از ﻗﻄﻌﻪ را ﻛﻪ ﻗﺮار اﺳﺖ در آن ﻋﻤﻞ ﻛﺎﺷﺖ ﻳﻮن اﻧﺠﺎم ﺷﻮد‪ ،‬ﺑﺎ ﺑﻤﺒﺎران ﻳﻮنﻫﺎي ‪ Ar‬ﺑﻪ‬
‫ﺣﺎﻟﺖ آﻣﻮرف ﺗﺒﺪﻳﻞ ﻣﻲﻛﻨﻨﺪ و در ﭘﺎﻳﺎن ﻛﺎر ﺑﺎ آﻧﻴﻠﻴﻨﮓ ﺗﺮﻣﻴﻢ ﻣﻲ ﻧﻤﺎﻳﻨﺪ‪[ 2] .‬‬
‫ﺗﻨﻈﻴﻢ دﻗﻴﻖ ‪ ، VT‬وﻟﺘﺎژ آﺳﺘﺎﻧﻪ ‪ MOS‬ﻫﺎ؛ در اﻳﻦ ﺣﺎﻟﺖ ﻣﻲﺗﻮان ﺑﺎ ﻛﻨﺘﺮل دﻗﻴﻖ دوز ﺗﺰرﻳﻖ و ﻧﻴﺰ‬
‫ﻣﺤﻞ اﺟﺮاي آن درﺳﺖ زﻳﺮ ﻻﻳﻪ اﻛﺴﻴﺪ ﻣﻘﺪار ‪ VT‬را ﺑﺎ راﺑﻄﻪ زﻳﺮ ﺗﻨﻈﻴﻢ ﻛﺮد‪[ 3] .‬‬

‫)‪( 7- 1‬‬ ‫‪∆VT = q.Dose‬‬


‫‪Cox‬‬
‫اﻧﺠﺎم ﻣﺮﺣﻠﻪ ‪ Prediposition‬ﺑﺎ دﻗﺖ ﺑﻴﺸﺘﺮ ]‪[ 2‬‬
‫ﺗﺴﺮﻳﻊ ﺑﺮﺧﻲ ﻓﺮاﻳﻨﺪﻫﺎي ﺳﺎﺧﺖ ادوات ﻧﻴﻤﻪ ﻫﺎدي ﻣﺎﻧﻨﺪ ﺳﻮﻧﺶ ‪1‬؛ ﺑﺮاي اﻳﻦ ﻛﺎر ﺳﻄﺢ ﻣﻨﻄﻘﻪ ﻣﻮرد‬
‫ﺧﻮرﻧﺪه ‪2‬‬ ‫ﻧﻈﺮ را ﺑﺎ ﻳﻮنﻫﺎي ‪ Ar‬ﺑﻪ روش ﻛﺎﺷﺖ ﻳﻮن‪ ،‬ﺑﻤﺒﺎران ﻣﻲﻛﻨﻨﺪ در ﻧﺘﻴﺠﻪ ﺳﺮﻋﺖ ﻧﻔﻮذ ﻣﺎده‬
‫اﻓﺰاﻳﺶ ﻣﻲﻳﺎﺑﺪ]‪.[6‬‬

‫ﺑﺮﺧﻲ از ﻛﺎرﺑﺮدﻫﺎي اﻳﻦ روش ﺧﺼﻮﺻﺎً در ﺻﻨﺎﻳﻊ ﻧﻈﺎﻣﻲ‪ ،‬ﻫﻮاﻓﻀﺎ‪ ،‬ﺧﻮدروﺳﺎزي‪ ،‬ﺳﺮاﻣﻴﻚ‪ ،‬ﭘﺰﺷﻜﻲ‬
‫و ‪ ...‬ﺑﻪ ﺷﺮح زﻳﺮ اﺳﺖ]‪.[12‬‬
‫اﻳﺠﺎد ﺧﻮاص وﻳﮋه در ﺳﻄﻮح ﻣﺎﻧﻨﺪ ﻣﻘﺎوﻣﺖ در ﺑﺮاﺑﺮ ﺳﺎﻳﺶ‪ ،‬ﺧﻮردﮔﻲ‪ ،‬ورﻗﻪ ﺷﺪن و ‪ . ...‬ﺑﺮاي ﻣﺜﺎل‬
‫اﻳﺠﺎد ﻻﻳﻪ ﺑﺴﻴﺎر ﻧﺎزك ‪ AlN‬و ‪ TiN‬روي ﻓﻠﺰ ﭘﺎﻳﻪ‪.‬‬
‫اﻳﺠﺎد ﻻﻳﻪﻫﺎﻳﻲ ﺑﺮ روي ﺳﻄﻮح ﺑﺎ روشﻫﺎي ‪ 3 Piii&D‬و ‪ 4 MePiiiD‬و ﻏﻴﺮه‪ .‬اﻳﻦ ﻻﻳﻪﻫﺎ از ﭼﺴﺒﻨﺪﮔﻲ‬
‫ﺑﺴﻴﺎر ﺑﺎﻻ ﻧﺴﺒﺖ ﺑﻪ ﺳﻄﺢ ﭘﺎﻳﻪ ﺑﺮﺧﻮردارﻧﺪ و ﻫﺮﮔﺰ ورﻗﻪ ﻧﻤﻲ ﺷﻮﻧﺪ ‪.‬‬
‫ﻋﺪم ﺗﻐﻴﻴﺮ اﺑﻌﺎد ﻗﻄﻌﻪ‪.‬‬

‫‪1 - Etching‬‬
‫‪2 - Etchant‬‬
‫‪3 - plasma immersed ion implantation and deposition‬‬
‫‪4 - metal plasma immersed Ion implantation and deposition‬‬
‫‪260‬‬
261
‫‪ -6-8‬دﺳﺘﮕﺎه ﻛﺎﺷﺖ ﻳﻮن ﺗﻮﺳﻂ ﭘﺮﺗﻮ ﻳﻮن‬
‫اﻳﻦ دﺳﺘﮕﺎه از ﻗﺴﻤﺖﻫﺎي اﺻﻠﻲ ﭘﻴﭽﻴﺪهاي ﺗﺸﻜﻴﻞ ﺷﺪه اﺳﺖ ﻛﻪ در اداﻣﻪ ﺑﻪ ﺻﻮرت ﻣﺨﺘﺼﺮ ﻣﻮرد‬
‫ﺑﺮرﺳﻲ ﻗﺮار ﻣﻲﮔ ﻴﺮد‪.‬‬

‫‪262‬‬
‫‪ - 1- 6- 8‬ﻣﻨﺒﻊ ﻳﻮن )‪(Ion Source‬‬

‫در اﻳﻦ ﺑﺨﺶ ﻣﺤﻴﻄﻲ ﭘﻼﺳﻤﺎﻳﻲ ﺷﺎﻣﻞ ذرات ﻳﻮن دﻟﺨﻮاه ﺑﺎ ﻣﻘﺎدﻳﺮي از ﻳﻮنﻫﺎي دﻳﮕﺮ و ﻧﻴﺰ ﻣﻘﺪاري‬
‫ﮔﺎز اﻳﺠﺎد ﻣﻲ ﮔﺮدد‪ .‬ﺑﺮﺧﻲ از اﻳﻦ ﻣﻨﺎﺑﻊ ﻳﻮن ﻣﺜﺒﺖ و ﺑﺮﺧﻲ دﻳﮕﺮ ﻳﻮن ﻣﻨﻔﻲ ﺗﻮﻟﻴﺪ ﻣﻲﻛﻨﻨﺪ ‪ .‬ﺑﻪ ﻃﻮر‬
‫ﻛﻠﻲ ﻣﻨﺒﻊ ﻳﻮنﻫﺎ ﻣﻲﺗﻮاﻧﺪ ﮔﺎز ﻳﺎ ﺟﺎﻣﺪ ﺑﺎﺷﺪ‪ .‬ﺑﻪ دﻟﻴﻞ ﺳﻬﻮﻟﺖ اﺳﺘﻌﻤﺎل و ﻛﻨﺘﺮل دﻗﻴﻖﺗﺮ ‪ ،‬ﻣﻨﺒﻊ‬
‫ﮔﺎزي ﺑﺮ ﻣﻨﺒﻊ ﺟﺎﻣﺪ ﺗﺮﺟﻴﺢ داده ﻣﻲﺷﻮﻧﺪ ]‪. [1‬‬
‫روشﻫﺎي ﺗﻮﻟﻴﺪ ﻳﻮن از ﻣﻮاد ﺟﺎﻣﺪ ﻋﺒﺎرﺗﻨﺪ از‪: :‬‬
‫‪ : Reactive gas‬در اﻳﻦ روش ﻛﻪ ﻋﻤﺪﺗﺎً در ﺻﻨﻌﺖ ﻧﻴﻤﻪ ﻫﺎدي ﺑﻪ ﻛﺎر رﻓﺘﻪ اﺳﺖ و ﻧﻴﺎز ﺑﻪ ﺧﻠﻮص‬
‫ﺑﺴﻴﺎر ﺑﺎﻻ را ﺑﺮآورده ﻣﻲ ﻛﻨﺪ ﮔﺎز ﻓﻌﺎﻟﻲ ﻣﺎﻧﻨﺪ ‪ HCl‬وارد ﻣﺤﻔﻈﻪ ﺗﻮﻟﻴﺪ ﻳﻮن ﺷﺪه و ﻳﺎ ﻓﻠﺰ دﻳﻮاره آن‬
‫واﻛﻨﺶ ﻣﻲدﻫﺪ و ﻛﻠﺮﻳﺪ ﻓﻠﺰ ﺗﻮﻟﻴﺪ ﻣﻲﻛﻨﺪ ‪ .‬ﻛﻠﺮﻳﺪ ﻓﻠﺰ ﺗﻮﻟﻴﺪ ﺷﺪه ﻳﻮﻧﻴﺰه ﺷﺪه و ﻳﻮن ﻛﻠﺮ و ﻓﻠﺰ‬
‫ﺗﻮﻟﻴﺪ ﻣﻲﻛﻨﺪ‪ .‬در ﭘﺎﻳﺎن ﻳﻮنﻫﺎي ﻓﻠﺰ ﻛﻪ ﺑﺮاي ﻛﺎﺷﺖ ﻳﻮن ﻣﻮرد ﻧﻴﺎز ﻫﺴﺘﻨﺪ ﺗﻮﺳﻂ ﺟﺪا ﻛﻨﻨﺪهﻫﺎ از‬
‫ﺳﺎﻳﺮ ﻳﻮنﻫﺎ ﺗﻔﻜﻴﻚ ﻣﻲﺷﻮﻧﺪ‪.‬‬

‫‪ : Sputtering‬در اﻳﻦ روش ﮔﺎز آرﮔﻮن ﺑﻪ ﻳﻮن آرﮔﻮن ﺗﺒﺪﻳﻞ ﺷﺪه و ﺳﭙﺲ ﺑﻪ ﻃﺮف ﺻﻔﺤﺎت ﻓﻠﺰي‬
‫ﻛﻪ ﺑﻪ وﻟﺘﺎژ ﻣﻨﻔﻲ وﺻﻞ ﻫﺴﺘﻨﺪ ﺷﺘﺎب ﻣﻲﮔﻴﺮﻧﺪ‪ .‬ﺑﺎ ﺑﺮﺧﻮرد آﻧﻬﺎ ﺑﻪ اﻳﻦ ﺻﻔﺤﺎت ﻳﻮنﻫﺎ و اﺗﻢﻫﺎي ﻓﻠﺰ‬
‫از آن ﺟﺪا ﺷﺪه و ﺑﺮاي ﻛﺎﺷﺖ از ﻣﺤﻔﻈﻪ ﺧﺎرج ﻣﻲ ﺷﻮﻧﺪ ‪.‬‬
‫دو روش دﻳﮕﺮ ﻣﺸﺎﺑﻪ روش ‪ sputtering‬وﺟﻮد دارد ﻛﻪ در آﻧﻬﺎ از ﭘﺮﺗﻮﻫﺎي اﻟﻜﺘﺮوﻧﻲ ﻳﺎ ﻗﻮس‬
‫اﻟﻜﺘﺮﻳﻜﻲ ﺑﺮاي ﺟﺪا ﻛﺮدن اﺗﻢﻫﺎ از ﺳﻄﺢ و ﻳﻮﻧﻴﺰه ﻛﺮدن آﻧﻬﺎ اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ .‬اﻳﻦ دو روش ارزان‬
‫ﻫﺴﺘﻨﺪ و ﻣﻲﺗﻮاﻧﻨﺪ ﺟﺮﻳﺎنﻫﺎي ﻳﻮن ﺑﺎﻻ ﻧﻴﺰ ﺗﻮﻟﻴﺪ ﻛﻨﻨﺪ ] ‪.[12‬‬
‫در اﻏﻠﺐ ﻣﻮارد از ﻣﻴﺪانﻫﺎي اﻟﻜﺘﺮوﻣﻐﻨﺎﻃﻴﺴﻲ ﺑﺮاي اﻓﺰاﻳﺶ ﭼﮕﺎﻟﻲ ﻳﻮن در ﻣﺤﻔﻈﻪ اﺳﺘﻔﺎده‬
‫ﻣﻲﺷﻮد‪ .‬اﻳﻦ ﻣﻴﺪانﻫﺎ ﺑﺮ ذرات ﺑﺎر دار و ﻳﻮنﻫﺎي ﻣﺘﺤﺮك اﺛﺮ ﻛﺮده و از اﻳﻨﻜﻪ ﻣﺴﺘﻘﻴﻤ ﺎً و ﺑﻪ ﺳﺮﻋﺖ‬
‫ﺑﻪ دﻳﻮارهﻫﺎ ﺑﺮﺧﻮرد ﻛﻨﻨﺪ و ﺧﻨﺜﻲ ﺷﻮﻧﺪ ﺟﻠﻮﮔﻴﺮي ﻣﻲ ﻛﻨﻨﺪ‪ .‬اﻳﻦ ذرات در ﻳﻮﻧﻴﺰه ﻛﺮدن ﮔﺎز‬
‫ﺑﺎﻗﻴﻤﺎﻧﺪه در ﻣﺤﻴﻂ ﺷﺮﻛﺖ ﻣﻲﻛﻨﻨﺪ و در ﻧﺘﻴﺠﻪ ﭼﮕﺎﻟﻲ ﻳﻮن در اﻳﻦ دامﻫﺎي اﻟﻜﺘﺮوﻣﻐﻨﺎﻃﻴﺴﻲ‬
‫اﻓﺰاﻳﺶ ﻣﻲﻳﺎﺑﺪ‪.‬‬
‫ﺗﺎ ﻛﻨﻮن روﺷﻬﺎي ﺑﺴﻴﺎري ﺑﺮاي ﺗﻮﻟﻴﺪ ﻳﻮن اﺑﺪاع ﺷﺪه اﺳﺖ ﻛﻪ از ﺟﻤﻠﻪ آﻧﻬﺎ ﻣﻲﺗﻮان ﺑﻪ ﻣﻮارد زﻳﺮ‬
‫اﺷﺎره ﻛﺮد‪7] :‬و‪12‬و‪13‬و‪[ 14‬‬
‫‪Thermal and Electron Beam Evaporation‬‬

‫‪263‬‬
‫در اﻳﻦ روش از ﺣﺮارت و ﭘﺮﺗﻮ اﻟﻜﺘﺮوﻧﻲ ﺑﺮاي ﺑﺮاﻧﮕﻴﺨﺘﻦ اﺗﻢﻫﺎ و ﺟﺪا ﻛﺮدن آنﻫﺎ از ﻫﻤﺪﻳﮕﺮ‬
‫اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‪.‬‬
‫‪Microwave Plasma Source‬‬
‫‪Radio Frequency Plasma Source‬‬
‫‪Capacitively Coupled Plasma Source‬‬
‫‪Inductively Coupled Plasma Source‬‬
‫‪Remote Gas Plasma Source‬‬
‫‪End Hall Plasma Source‬‬
‫‪Constricted Plasma Source‬‬
‫‪Arc Metal Plasma Source‬‬
‫‪Short Pulse Arc Plasma Source‬‬
‫‪Long Pulse Arc Plasma Source‬‬
‫‪Laser Plasma Source‬‬
‫در اﻳﻦ روش از ﭘﺮﺗﻮ ﻟﻴﺰر ﺑﺮاي ﺑﺮاﻧﮕﻴﺨﺘﻦ اﻟﻜﺘﺮونﻫﺎي ﺗﺮاز ﺑﺎﻻ اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‬
‫‪Sputtering Plasma Source‬‬
‫‪Vacuum Arc Ion Source‬‬
‫‪Anodic Vacuum Arc Ion Source‬‬
‫‪Spotless Cathodic Arc Ion Source‬‬
‫در اﻳﻦ روش ﺑﺎ اﺳﺘﻔﺎده از ﻗﻮس اﻟﻜﺘﺮﻳﻜﻲ ﮔﺎز ﻣﻮﺟﻮد در ﻣﺤﻔﻈﻪ ﺑﻪ ﻳﻮن ﺗﺒﺪﻳﻞ ﻣﻲ ﺷﻮد‬
‫‪H- Source‬‬
‫‪ECR Ion Source‬‬
‫ﺑﻪ ﻋﻨﻮان ﻧﻤﻮﻧﻪاي از روش اﻓﺰاﻳﺶ ﭼﮕﺎﻟﻲ ﺑﻪ ﺗﺸﺮﻳﺢ ﺑﻴﺸﺘﺮ دﺳﺘﮕﺎه ‪ ECR‬ﻣﻲﭘﺮدازﻳﻢ‪:‬‬
‫دﺳﺘﮕﺎه )‪ECR(Electron Cyclotron Resonance‬‬
‫اﺻﻮل ﻛﺎر اﻳﻦ دﺳﺘﮕﺎه را ﻣﻲﺗﻮان اﻳﻦﮔﻮﻧﻪ ﺑﻴﺎن ﻛﺮد؛ اﮔﺮ در ﻣﺤﻴﻄﻲ ﻳﻚ ﻣﻴﺪان ﻣﻐﻨﺎﻃﻴﺴﻲ‬
‫ﻳﻜﻨﻮاﺧﺖ ﺑﺎ اﻧﺪازه ‪ B‬وﺟﻮد داﺷﺘﻪ ﺑﺎﺷﺪ اﻟﻜﺘﺮونﻫﺎي آزاد ﺗﺤﺖ اﺛﺮ اﻳﻦ ﻣﻴﺪان در ﻣﺴﻴﺮ داﻳﺮهاي ﺑﺎ‬
‫ﻓﺮﻛﺎﻧﺲ ﺛﺎﺑﺖ ‪ fecr‬ﺷﺮوع ﺑﻪ ﺣﺮﻛﺖ ﻣﻲﻛﻨﻨﺪ‪.‬‬
‫] ‪ω ecr = e.B m ⇒ f ecr [GHz ] = 2.8 × B[kG‬‬
‫)‪( 7- 2‬‬ ‫‪e‬‬

‫ﻛﻪ ‪ B‬ﺷﺪت ﻣﻴﺪان ﻣﻐﻨﺎﻃﻴﺴﻲ و ‪ e‬ﺑﺎر اﻟﻜﺘﺮون و ‪ me‬ﺟﺮم آن اﺳﺖ‪.‬‬


‫ﺣﺎل اﮔﺮ ﺳﻴﮕﻨﺎﻟﻲ ﺑﺎ اﻳﻦ ﻓﺮﻛﺎﻧﺲ در ﻣﺤﻴﻂ ﺗﺸﻌﺸﻊ ﺷﻮد اﻟﻜﺘﺮونﻫﺎ ﻣﻲﺗﻮاﻧﻨﺪ اﻧﺮژي را از آن‬
‫ﺟﺬب ﻛﺮده و در ﺣﺪود ‪ keV‬و ﺣﺘﻲ ‪ MeV‬ﺷﺘﺎب ﺑﮕﻴﺮﻧﺪ‪ .‬وﺟﻮد اﻟﻜﺘﺮونﻫﺎي ﺑﺴﻴﺎر ﭘﺮ اﻧﺮژي ﺑﺎﻋﺚ‬
‫ﻳﻮﻧﻴﺰه ﺷﺪن ﮔﺎزﻫﺎي ﻣﻮﺟﻮد در ﻣﺤﻴﻂ ﺑﺎ ﭼﮕﺎﻟﻲ ﻳﻮن ﺑﺴﻴﺎر ﺑﺎﻻ ﺧﻮاﻫﺪ ﺷﺪ ‪.‬‬

‫‪264‬‬
‫]‪[15‬‬ ‫‪ECR‬‬ ‫ﺷﻜﻞ )‪ (7- 3‬ﻧﻤﺎي ﺷﻤﺎﺗﻴﻚ ﻳﻚ دﺳﺘﮕﺎه‬

‫ﻣﺰاﻳﺎي اﻳﻦ روش ﻋﺒﺎرﺗﻨﺪ از ‪[15،7] :‬‬


‫ﻋﺪم ﻧﻴﺎز ﺑﻪ اﻟﻤﺎن ﺣﺮارﺗﻲ و در ﻧﺘﻴﺠﻪ اﻓﺰاﻳﺶ ﻃﻮل ﻋﻤﺮ دﺳﺘﮕﺎه‬
‫ﻳﻮﻧﻴﺰه ﺷﺪن ﺑﺎ ﭼﮕﺎﻟﻲ ﺑﺴﻴﺎر ﺑﺎﻻ و ﻳﻮنﻫﺎﻳﻲ ﺑﺎ ﺑﺎر ‪ 2+ ، 1+‬و ‪3 +‬‬
‫ﺳﺎدﮔﻲ ﺗﻮﻟﻴﺪ ﻳﻮنﻫﺎي ﮔﺎزي‪ .‬ﻫﻤﭽﻨﻴﻦ اﺗﻢﻫﺎي ﻓﻠﺰي ﻣﻲﺗﻮاﻧﻨﺪ در ﻳﻚ ﻛﻮره دﻳﮕﺮ ﺗﻮﻟﻴﺪ ﺷﺪه و در‬
‫اﻳﻦ دﺳﺘﮕﺎه ﻳﻮﻧﻴﺰه ﺷﻮﻧﺪ‪.‬‬
‫ﺗﻘﺮﻳﺒﺎً ﻳﻮنﻫﺎي ﻫﻤﻪ ﻋﻨﺎﺻﺮ ﻗﺎﺑ ﻞ ﺗﻮﻟﻴﺪ ﻫﺴﺘﻨﺪ‬
‫در ﻓﺸﺎر ﺑﺴﻴﺎر ﭘﺎﻳﻴﻦ ﻫﻢ ﻣﻲﺗﻮاﻧﻨﺪ ﭼﮕﺎﻟﻲ ﻳﻮن ﻗﺎﺑﻞ ﺗﻮﺟﻬﻲ ﺑﺪﻫﺪ ﻟﺬا ﻣﻲﺗﻮان از آن ﺑﺮاي ﺗﻮﻟﻴﺪ‬
‫ﻳﻮن ﻋﻨﺎﺻﺮ ﻛﻤﻴﺎب و ﻛﻤﻴﺎب ﺧﺎﻛﻲ و ﻣﻮاد رادﻳﻮاﻛﺘﻴﻮ اﺳﺘﻔﺎده ﻛﺮد ‪.‬‬
‫ﺑﺎ ﺧﺎﻣﻮش ﻛﺮدن دﺳﺘﮕﺎه ﺗﻮﻟﻴﺪ اﻣﻮاج ﻣﺎﻛﺮووﻳﻮ ﭼﮕﺎﻟﻲ ﻳﻮن ﺑﺮاي ﻟﺤﻈﺎﺗﻲ ﺑﻪ ﺷﺪت ﺑﺎﻻ ﻣﻲرود‪.‬‬
‫)ﺷﻜﻞ ‪ (7- 4‬اﻳﻦ دﺳﺘﮕﺎه ﺑﺮاي ﻛﺎﺷﺖ ﻳﻮن و ﺑﺮرﺳﻲ ذرات ﺑﻨﻴﺎدي ﻛﺎرﺑﺮد دارد‪[15،7] .‬‬

‫ﺷﻜﻞ )‪ (7- 4‬ﺑﺎ ﺧﺎﻣﻮش ﻛﺮدن دﺳﺘﮕﺎه ﺗﻮﻟﻴﺪ اﻣﻮاج ﻣﺎﻛﺮووﻳﻮ ﭼﮕﺎﻟﻲ‬
‫ﻳﻮن در دﺳﺘﮕﺎه ‪ ECR‬ﺑﺮاي ﻟﺤﻈﺎﺗﻲ ﺑﻪ ﺷﺪت ﺑﺎﻻ ﻣﻲرود‪[ 7] .‬‬

‫‪265‬‬
‫‪ - 2- 6- 8‬اﺳﺘﺨﺮاج ﻳﻮنﻫﺎ‬

‫در اﻳﻦ ﻣﺮﺣﻠﻪ ﺑﺎ اﺳﺘﻔﺎده از ﻳﻚ ﺻﻔﺤﻪ ﺑﺎ وﻟﺘﺎژ ﻣﻨﻔﻲ‪ ،‬ﻳﻮنﻫﺎي ﻣﺜﺒﺖ ﻣﻮﺟﻮد در ﻣﺤﻔﻈﺔ ﺗﻮﻟﻴﺪ ﻳﻮن‬
‫ﺧﺎرج ﻣﻲﺷﻮﻧﺪ‪ .‬ﻳﻮنﻫﺎ ﺑﻪ ﻃﺮف اﻳﻦ ﺻﻔﺤﻪ ﺷﺘﺎب ﻣﻲﮔﻴﺮﻧﺪ اﻣﺎ روزﻧﻪاي در اﻳﻦ ﺻﻔﺤﻪ وﺟﻮد دارد‬
‫ﻛﻪ ﺑﺎﻋﺚ ﻣﻲ ﺷﻮد ﺑﺮﺧﻲ ﻳﻮنﻫﺎ ﺑﻪ دﻟﻴﻞ ﺳﺮﻋﺘﺸﺎن از اﻳﻦ روزﻧﻪ ﺧﺎرج ﺷﻮﻧﺪ و ﺑﻪ آن ﺑﺮﺧﻮرد ﻧﻜﻨﻨﺪ‪.‬‬
‫ﺳﺮﻋﺖ ﺧﺮوج ﻳﻮنﻫﺎ از اﻳﻦ روزﻧﻪ ﺑﻪ ﻋﻨﻮان اﻧﺮژي اوﻟﻴﻪ آﻧﻬﺎ ﻣﺤﺴﻮب ﺷﺪه و در ﺣﺪود ‪25 keV‬‬
‫اﺳﺖ‪.‬‬

‫‪- 3- 6- 8‬ﺗﻔﻜﻴﻚ ﻛﻨﻨﺪة ﻳﻮنﻫﺎ ) ‪(Mass Analyzer‬‬

‫اﻳﻦ ﻗﺴﻤﺖ ﺑﺮاي اﻓﺰاﻳﺶ ﺧﻠﻮص و ﻳﻜﻨﻮاﺧﺘﻲ ﭘﺮﺗﻮ ﻳﻮن اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ .‬ﺷﻤﺎي آن از ﻳﻚ‬
‫ﻣﺴﻴﺮ داﻳﺮهاي ﺷﻜﻞ ﺗﺸﻜﻴﻞ ﺷﺪه اﺳﺖ ﻛﻪ در آن ﻣﻴﺪان ﻣﻐﻨﺎﻃﻴﺴﻲ ﻳﻜﻨﻮاﺧﺘﻲ ﺑﺎ ﺷﺪت ‪ B‬اﻳﺠﺎد‬
‫ﻣﻲﺷﻮد‪ .‬ﺷﻜﻞ ‪ .7- 5‬ﺣﺮﻛﺖ ذرات ﺑﺎردار در اﻳﻦ ﻣﻴﺪان ﺑﺎﻋﺚ ﻣﻲﺷﻮد ﻧﻴﺮوﻳﻲ ﻋﻤﻮد ﺑﺮ ﻣﺴﻴﺮﺷﺎن‬
‫ﺑﺮ آﻧﻬﺎ وارد ﺷﻮد و ﻣﺴﻴﺮ آﻧﻬﺎ ﺑﻪ داﻳﺮهاي ﺑﻪ ﺷﻌﺎع ‪ R‬ﺗﺒﺪﻳﻞ ﮔﺮدد‪.‬‬

‫‪266‬‬
‫ﺷﻜﻞ )‪ (٧- 5‬ﺗﻔﻜﻴﻚ ﻳﻮنﻫﺎ ﺑﺮ ﺣﺴﺐ ﺷﻌﺎع ﻣﺴﻴﺮ ﺣﺮﻛﺘﺸﺎن در ﻣﻴﺪان ﺛﺎﺑﺖ ) ﻧﺴﺒﺖ ﺟﺮم ﺑﻪ ﺑﺎر‬
‫ﻳﻮن ( ‪[3] .‬‬

‫ﺷﻌﺎع ‪ R‬ﺑﻪ ﻧﺴﺒﺖ ﺟﺮم ذرات ﺑﻪ ﺑﺎر آﻧﻬﺎ ﺑﺴﺘﮕﻲ دارد‪ .‬در اﻧﺘﻬﺎي ﻣﺴﻴﺮ روزﻧﻪ ﻛﻮﭼﻜﻲ ﻗﺮار ﮔﺮﻓﺘﻪ‬
‫ﻛﻪ ﺑﺎﻋﺚ ﻣﻲﺷﻮد ﻓﻘﻂ ﺑﺎرﻫﺎﻳﻲ ﻛﻪ ﺷﻌﺎع ﺣﺮﻛﺖ ﺧﺎﺻﻲ دارﻧﺪ از ﻣﺤﻔﻈﻪ ﺧﺎرج ﺷﻮﻧﺪ و ﺑﻘﻴﻪ ﺟﺬب‬
‫دﻳﻮاره ﮔﺮدﻧﺪ‪ .‬در ﻧﺘﻴﺠﻪ ذرات ﺑﺮ ﺣﺴﺐ ﻧﺴﺒﺖ ﺟﺮم ﺑﻪ ﺑﺎرﺷﺎن از ﻫﻢ ﺟﺪا ﻣﻲﺷﻮﻧﺪ] ‪ .[3‬ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ‬
‫راﺑﻄﺔ ‪ ، 7 - 12‬ﺑﺎ ﺗﻨﻈﻴﻢ ﺷﺪت ﻣﻴﺪان ﻣﻐﻨﺎﻃﻴﺴﻲ ﻣﻲﺗﻮان ﺷﻌﺎع ﻣﻨﺎﺳﺐ ﺑﺮاي ﺧﺮوج از ﺳﻴﺴﺘﻢ‬
‫ﺗﻔﻜﻴﻚ ﻛﻨﻨﺪة ﻳﻮنﻫﺎ را ﺑﺮاي ﻳﻮنﻫﺎي ﺧﺎﺻﻲ ﻛﻪ ﻣﻲﺧﻮاﻫ ﻴﻢ در ﻓﺮاﻳﻨﺪ از آنﻫﺎ اﺳﺘﻔﺎده ﻛﻨﻴﻢ‬
‫اﻧﺘﺨﺎب ﻛﺮد‪ .‬ﺑﺮاي ﻛﻨﺘﺮل ﭘﺬﻳﺮي ﺑﻬﺘﺮ ﭘﺮوﺳﻪ و ﺑﻪ ﺣﺪاﻗﻞ رﺳﺎﻧﺪن ﻳﻮنﻫﺎي ﻧﺎﺧﻮاﺳﺘﻪ ﺑﻪ وﻳﮋه‬
‫ﻫﻨﮕﺎﻣﻲ ﻛﻪ ﻳﻮنﻫﺎ از ﭼﻨﺪ اﺗﻢ ﺗﺸﻜﻴﻞ ﺷﺪهاﻧﺪ ‪ ،‬اﺳﺘﻔﺎده از ﻳﻚ ﺗﻔﻜﻴﻚ ﻛﻨﻨﺪة دﻳﮕﺮ ﭘﺲ از‬
‫ﺳﻴﺴﺘﻢ ﺷﺘﺎب دﻫﻨﺪه ﻻزم اﺳﺖ ﭼﺮا ﻛﻪ ﺑﺎ ﻋﺒﻮر ﻳﻮنﻫﺎ از ﺳﻴﺴﺘﻢ ﺷﺘﺎب دﻫﻨﺪه‪ ،‬اﻣﻜﺎن ﺗﺠﺰﻳﻪ‬
‫ﺷﺪن ﻳﻮنﻫﺎ وﺟﻮد دارد ]‪.[1‬‬
‫‪M R 2 B2‬‬
‫)‪(٧- ٣‬‬ ‫=‬
‫‪q‬‬ ‫‪2Vext‬‬

‫‪ Vext‬ﺳﺮﻋﺖ ذرات در ﻣﺤﻔﻈﻪ ﺗﻔﻜﻴﻚ اﺳﺖ ‪.‬‬ ‫ﻛﻪ‬

‫‪- 4- 6- 8‬ﺷﺘﺎب دﻫﻨﺪه )‪(Acceleration Tube‬‬

‫در اﻳﻦ ﻣﺮﺣﻠﻪ ﺑﺎ اﺳﺘﻔﺎده از ﺻﻔﺤﺎﺗﻲ ﻣﺸﺎﺑﻪ ﺷﻜﻞ ‪ 7- 6‬ﻛﻪ ﻧﺴﺒﺖ ﺑﻪ ﻫﻢ اﺧﺘﻼف ﭘﺘﺎﻧﺴﻴﻞ زﻳﺎدي‬
‫دارﻧﺪ ﺑﻪ ذرات ﺑﺎردار ﺷﺘﺎب داده ﻣﻲﺷﻮد‪ .‬وﻟﺘﺎژ ﺑﻴﻦ اﻳﻦ ﺻﻔﺤﺎت ﺑﺎﻳﺪ ﻗﺎﺑﻞ ﺗﻨﻈﻴﻢ ﺑﺎﺷﺪ‪ .‬ﺑﺮاي ﻣﺜﺎل‬
‫اﮔﺮ ‪ 14‬ﺻﻔﺤﻪ داﺷﺘﻪ ﺑﺎﺷﻴﻢ و ﻗﺮار ﺑﺎﺷﺪ ‪ 70 keV‬اﻧﺮژي ﺑﻪ ﻳﻮنﻫﺎ داده ﺷﻮد ﺑﻴﻦ ﻫﺮ دو ﺻﻔﺤﻪ ﻣﺠﺎور‬
‫ﺑﺎﻳﺪ ‪ 5 kV‬اﺧﺘﻼف ﭘﺘﺎﻧﺴﻴﻞ ﺑﺎﺷﺪ]‪ .[3‬ﻫﺮﭼﻪ وﻟﺘﺎژ ﺑﻴﻦ ﺻﻔﺤﺎت ﺑﻴﺸﺘﺮ ﺑﺎﺷﺪ ﻳﻮنﻫﺎ اﻧﺪازهاي ﺣﺮﻛﺖ‬

‫‪267‬‬
‫و در ﻧﺘﻴﺠﻪ اﻧﺮژي ﺟﻨﺒﺸﻲ ﺑﻴﺸﺘﺮي ﭘﻴﺪا ﻣﻲﻛﻨﻨﺪ‪ ،‬در ﻧﺘﻴﺠﻪ ﺳﺮﻳﻊﺗﺮ و ﻋﻤﻴﻖﺗﺮ در ﻗﻄﻌﺔ ﻫﺪف‬
‫ﻛﺎﺷﺘﻪ ﻣﻲﺷﻮﻧﺪ‪ .‬ﮔﺴﺘﺮة وﻟﺘﺎژ ﺷﺘﺎب دﻫﻨﺪه ﺑﺮاي دﺳﺘﮕﺎهﻫﺎي ﻛﺎﺷﺖ ﻳﻮن ﻛﻢ اﻧﺮژي‪ ،‬ﺑﻴﻦ ‪ 5KV‬ﺗﺎ‬
‫‪10KV‬اﺳﺖ و ﺑﺮاي دﺳﺘﮕﺎهﻫﺎي ﻛﺎﺷﺖ ﻳﻮن ﭘﺮ اﻧﺮژي‪ ،‬ﺑﻴﻦ‪ 0 /2 MV‬ﺗﺎ ‪ 2/5 MV‬اﺳﺖ]‪.[1‬‬

‫اﻟﻒ( ﺷﻜﻞ )‪ (7- 6‬ﺷﺘﺎب دﻫﻨﺪه]‪[24‬‬

‫ب (ﺷﻜﻞ )‪ (7- 6‬ﺷﺘﺎب دﻫﻨﺪه]‪[ 3‬‬

‫‪ - 5- 6- 8‬ﺳﻴﺴﺘﻢ ﻣﺘﻤﺮﻛﺰ ﻛﻨﻨﺪة ﭘﺮﺗﻮ‬

‫ﻋﺒﻮر ﻳﻮنﻫﺎ ﺑﺎ ﺑﺎر ﻫﻤﻨﺎم در ﻳﻚ ﻣﺴﻴﺮ ﻃﻮﻻﻧﻲ ﺑﺎﻋﺚ ﭘﺎﺷﻨﺪﮔﻲ و ﭘﻬﻦ ﺷﺪن ﭘﺮﺗﻮﻫﺎ ﻣﻲ ﺷﻮد‪ .‬اﻳﻦ‬
‫ﭘﺎﺷﻨﺪﮔﻲ ﺳﺒﺐ ﻣﻲ ﺷﻮد ﻛﻪ ﭘﺮﺗﻮﻫﺎ ﺑﺎ زواﻳﺎي ﻣﺨﺘﻠﻔﻲ در ﻗﻄﻌﺔ ﻫﺪف ﻧﻔﻮذ ﻛﺮده و ﭘﺮوﻓﻴﻞ ﻧﺎﺧﺎﻟﺼﻲ‬
‫ﻏﻴﺮ ﻳﻜﻨﻮاﺧﺘﻲ اﻳﺠﺎد ﻛﻨﻨﺪ‪ .‬ﺑﺮاي ﻏﻠﺒﻪ ﺑﺮ اﻳﻦ ﻣﺸﻜﻞ از ﻋﺪﺳﻲﻫﺎي ﻣﻐﻨﺎﻃﻴﺴﻲ و اﻟﻜﺘﺮواﺳﺘﺎﺗﻴ ﻜﻲ‬
‫‪268‬‬
‫در ﻣﺴﻴﺮ ﻳﻮن اﺳﺘﻔﺎده ﻣﻲﺷﻮد ﺗﺎ ﭘﺮﺗﻮﻫﺎ ﻫﻢ راﺳﺘﺎ و ﻣﺘﻤﺮﻛﺰ ﺷﺪه ﺑﻪ ﻗﻄﻌﺔ ﻫﺪف ﺑﺮﺧﻮرد ﻧﻤﺎﻳﻨﺪ‬
‫]‪.[1‬‬

‫‪ - 6- 6- 8‬ﺳﻴﺴﺘﻢ ﺑﻪ ﺗﻠﻪ اﻧﺪاﺧﺘﻦ ﻳﻮنﻫﺎي ﺧﻨﺜﻲ ﺷﺪه‬

‫اﮔﺮﭼﻪ ﺗﻤﺎم ﻣﺮاﺣﻞ ﻛﺎﺷﺖ ﻳﻮن در ﺧﻸ اﻧﺠﺎم ﻣﻲﮔﻴﺮد ‪ ،‬اﻣﺎ ﺑﺎز ﻫﻢ اﺗﻢﻫﺎﻳﻲ در ﻣﺠﺮاﻫﺎي دﺳﺘﮕﺎه‬
‫ﻫﺴﺘﻨﺪ ﻛﻪ ﻣﻲﺗﻮاﻧﻨﺪ اﻳﺠﺎد آﻟﻮدﮔﻲ ﻛﺮده و دﻗﺖ ﻛﺎﺷﺖ را ﻛﺎﻫﺶ دﻫﻨﺪ‪ .‬ﻫﻤﭽﻨﻴﻦ ﺑﺮﺧﻮرد ﻳﻮنﻫﺎي‬
‫ﭘﺮ اﻧﺮژي ﺑﺎ دﻳﻮارهﻫﺎي ﻗﺴﻤﺖﻫﺎي ﻣﺨﺘﻠﻒ دﺳﺘﮕﺎه ﻧﻴﺰ ﻣﻲﺗﻮاﻧﺪ آﻟﻮدﮔﻲ اﻳﺠﺎد ﻧﻤﺎﻳﺪ‪ .‬ﺑﺮﺧﻮرد‬
‫ﻳﻮنﻫﺎ ﺑﺎ اﻳﻦ اﺗﻢﻫﺎ ﻣﻨﺠﺮ ﺑﻪ ﺧﻨﺜﻲ ﺷﺪن ﻳﻮنﻫﺎ ﻣﻲﮔﺮدد‪ .‬ﻳﻮنﻫﺎﻳﻲ ﻛﻪ ﺧﻨﺜﻲ ﮔﺮدﻳﺪهاﻧﺪ اﮔﺮ ﺑﻪ‬
‫ﻗﻄﻌﺔ ﻫﺪف ﺑﺮﺳﻨﺪ‪ ،‬ﻧﻤﻲﺗﻮان ﺗﻌﺪادﺷﺎن را ﺗﻮﺳﻂ دﺳﺘﮕﺎهﻫﺎي ﺣﺴﮕﺮ اﻧﺪازه ﮔﻴﺮي ﻛﺮد‪ .‬ﻟﺬا ﻛﻨﺘﺮل‬
‫ﭘﺬﻳﺮي ﻓﺮاﻳﻨﺪ ﻛﺎﺷﺖ ﺷﺪﻳﺪاً ﻛﺎﻫﺶ ﻣﻲﻳﺎﺑﺪ‪ .‬ﺑﺮاي ﺣﻞ اﻳﻦ ﻣﺴﺎﻟﻪ اﻣﺘﺪاد ﭘﺮﺗﻮﻫﺎ را ﺗﻮﺳﻂ ﺻﻔﺤﺎﺗﻲ‬
‫ﻛﻪ ﻣﻴﺪان اﻟﻜﺘﺮﻳﻜﻲ ﺑﻪ آنﻫﺎ اﻋﻤﺎل ﮔﺮدﻳﺪه اﺳﺖ ﺧﻤﻴﺪه ﻛﺮده و ﺑﻪ اﻳﻦ وﺳﻴﻠﻪ ﻳﻮنﻫﺎي ﺧﻨﺜﻲ‬
‫ﺷﺪه ﺑﻪ ﺗﻠﻪ ﻣﻲاﻓﺘﻨﺪ]‪.[1‬‬

‫‪- 7- 6- 8‬اﺳﻜﻦ ﻛﻨﻨﺪة ﺳﻄﺢ‬

‫ﭘﺮﺗﻮﻫﺎي ﻳﻮن ﺷﻌﺎﻋﻲ در ﺣﺪود ‪ 1 cm‬دارﻧﺪ ﻛﻪ در ﻣﻘﺎﻳﺴﻪ ﺑﺎ ﻗﻄﺮ وﻳﻔﺮ ﺑﺴﻴﺎر ﻛﻮﭼﻚ اﺳﺖ‪ .‬ﺑﺮاي‬
‫اﻳﻨﻜﻪ آﻻﻳﺶ ﻳﻜﻨﻮا ﺧﻲ ﺑﺮاي ﺗﺮاﺷﻪﻫﺎي روي ﻳﻚ وﻳﻔﺮ اﻧﺠﺎم ﮔﻴﺮد ﻻزم اﺳﺖ ﭘﺮﺗﻮﻫﺎ ﺗﻤﺎم ﺳﻄﺢ‬
‫وﻳﻔﺮ را اﺳﻜﻦ ﻧﻤﺎﻳﻨﺪ‪ .‬ﺳﻪ روش ﺑﺮاي اﺳﻜﻦ ﻛﺮدن ﺳﻄﺢ ﺑﻜﺎر ﺑﺮده ﻣﻲ ﺷﻮد ﻛﻪ ﻣﻲﺗﻮان ﻳﻜﻲ از اﻳﻦ‬
‫روشﻫﺎ ﻳﺎ ﺗﺮﻛﻴﺒﻲ از اﻳﻦ روشﻫﺎ را ﻣﻮرد اﺳﺘﻔﺎده ﻗﺮار داد‪.‬‬
‫اوﻟﻴﻦ روش ﺑﻪ ‪ Beam Scanning‬ﻣﻌﺮوف اﺳﺖ‪ .‬در اﻳﻦ روش ﭘﺮﺗﻮﻫﺎ از ﺑﻴﻦ ﺻﻔﺤﺎت اﻟﻜﺘﺮواﺳﺘﺎﺗﻴﻜﻲ‬
‫اﻓﻘﻲ و ﻋﻤﻮدي ﻋﺒﻮر ﻛﺮده و ﻧﻈﻴﺮ ﺷﻜﻞ ‪ 7- 7‬ﺳﻄﺢ وﻳﻔﺮ را اﺳﻜﻦ ﻣﻲ ﻛﻨﻨﺪ‪ .‬اﻳﻦ روش ﺑﺮ اﺳﺎس‬
‫ﻧﺤﻮة ﻛﺎر ﻻﻣﭗ ‪ CRT‬ﻋﻤﻞ ﻣﻲﻛﻨﺪ ‪ .‬اﻳﻦ روش ﺑﺮاي وﻳﻔﺮﻫﺎي ﺑﺎ ﻗﻄﺮ زﻳﺎد و ﻫﻤﭽﻨﻴﻦ دﺳﺘﮕﺎهﻫﺎي‬
‫ﻛﺎﺷﺖ ﻳﻮن ﺑﺎ اﻧﺮژي ﺑﺎﻻ ﻣﻨﺎﺳﺐ ﻧﻴﺴﺖ زﻳﺮا زﻣﺎن اﺳﻜﻦ ﻃﻮﻻﻧﻲ ﻧﻴﺎز دارد و ﻳﻮنﻫﺎي ﭘﺮ اﻧﺮژي‬
‫ﺻﻔﺤﺎت اﻟﻜﺘﺮواﺳﺘﺎﺗﻴﻜﻲ را ﺧﺮاب ﻣﻲ ﻛﻨﻨﺪ‪ .‬در ﺑﺮﺧﻲ دﺳﺘﮕﺎهﻫﺎ ﭘﺲ از ﻫﺮ ﺑﺎ ﺟﺎروب ﻛﺎﻣﻞ ﭘﺮﺗﻮ ‪،‬‬
‫ﺑﺮاي اﻓﺰاﻳﺶ ﻳﻜﻨﻮاﺧﺘﻲ آﻻﻳﺶ‪ ،‬وﻳﻔﺮ ‪ 90‬درﺟﻪ دوران ﻣﻲﻳﺎﺑﺪ‪.‬‬

‫‪269‬‬
‫ﺷﻜﻞ )‪ (7- 7‬اﺳﻜﻦ ﭘﺮﺗﻮﻫﺎ ﺑﺮ روي ﺳﻄﺢ وﻳﻔﺮ ]‪[3‬‬

‫روش دوم ﺑﻪ ‪ Mechanical Scanning‬ﻣﻌﺮوف اﺳﺖ‪ .‬در اﻳﻦ روش اﻣﺘﺪاد ﭘﺮﺗﻮ ﺛﺎﺑﺖ ﻣﻲﻣﺎﻧﺪ و وﻳﻔﺮﻫﺎ‬
‫ﺣﺮﻛﺖ ﻣﻲﻛﻨﻨﺪ‪).‬ﺷﻜﻞ ‪ (7- 8‬اﻳﻦ روش اﺑﺘﺪا در دﺳﺘﮕﺎهﻫﺎي ﻛﺎﺷﺖ ﻳﻮن ﺑﺎ ﺟﺮﻳﺎن ﺑﺎﻻ ﻣﻮرد‬
‫اﺳﺘﻔﺎده ﻗﺮار ﮔﺮﻓﺘﻪ اﺳﺖ‪ .‬اﮔﺮ ﺳﻄﺢ وﻳﻔﺮ در ﻣﻘﺎﺑﻞ ﻳﻮنﻫﺎ زاوﻳﻪ دار ﺑﺎﺷﺪ ﻋﻤﻖ ﻧﺎﺧﺎﻟﺼﻲ در ﻧﻘﺎط‬
‫ﻣﺨﺘﻠﻒ ﻣﺘﻔﺎوت و ﻛﻨﺘﺮل ﻧﺎﭘﺬﻳﺮ ﻣﻲﮔﺮدد‪.‬‬

‫ﺷﻜﻞ )‪ (7- 8‬اﺳﻜﻦ ﻣﻜﺎﻧﻴﻜﻲ ﺳﻄﺢ وﻳﻔﺮ‬

‫‪270‬‬
‫روش ﺳﻮم ‪ Shuttering‬ﻧﺎﻣﻴﺪه ﻣﻲﺷﻮد‪ .‬در اﻳﻦ روش اﺳﻜﻦ از ﻳﻚ ‪ Shutter‬ﻣﻜﺎﻧﻴﻜﻲ ﺑﺎ ﻳﻚ‬
‫ﻣﻴﺪان اﻟﻜﺘﺮﻳﻜﻲ ﺑﻬﺮه ﺑﺮده ﻣﻲ ﺷﻮد ﺗﺎ در ﻫﻨﮕﺎﻣﻲﻛﻪ وﻳﻔﺮ ﻣﻘﺎﺑﻞ ﻣﺴﻴﺮ ﺗﺎﺑﺶ ﭘﺮﺗﻮ ﻗﺮار ﻣﻲﮔﻴﺮد‪،‬‬
‫ﭘﺮﺗﻮ ﺑﻪ ﺳﻄﺢ وﻳﻔﺮ ﺗﺎﺑﻴﺪه ﺷﻮد و در ﺣﺎﻟﺘﻲ ﻛﻪ وﻳﻔﺮ ﻣﻘﺎﺑﻞ ﻣﺴﻴﺮ ﺗﺎﺑﺶ ﻧﻴﺴﺖ‪ ،‬ﭘﺮﺗﻮ ﺗﺎﺑﻴﺪه ﻧﮕﺮدد ‪.‬‬

‫‪- 8- 6- 8‬ﺧﻨﺜﻲ ﺳﺎزي ﭘﺮﺗﻮﻫﺎ‬

‫ﺑﺮﺧﻮرد ﻳﻮنﻫﺎي ﻣﺜﺒﺖ ﺑﻪ ﺳﻄﺢ ﻫﺪف ﺑﺎﻋﺚ اﻓﺰاﻳﺶ وﻟﺘﺎژ ﺳﻄﺢ آن ﺧﻮاﻫﺪ ﺷﺪ ﻛﻪ ﺑﺴﻴﺎر ﺧﻄﺮﻧﺎك‬
‫اﺳﺖ و ﮔﺎﻫﻲ ﺑﺎﻋﺚ ﺧﺮاﺑﻲ ﻗﻄﻌﻪ ﻣﻲﮔﺮدد‪ .‬از ﻃﺮف دﻳﮕﺮ وﻟﺘﺎژ ﺳﻄﺢ ﺑﺎﻋﺚ اﻧﺤﺮاف ﻳﻮنﻫﺎ از ﻣﺴﻴﺮ‬
‫ﻣﺴﺘﻘﻴﻢ ﺧﻮاﻫﺪ ﺷﺪ‪.‬‬
‫ﭘﺪﻳﺪه دﻳﮕﺮي ﻧﻴﺰ ﺑﻪ دﻟﻴﻞ ﻧﻴﺮوي داﻓﻌﻪاي ﻛﻪ ذرات درون ﭘﺮﺗﻮ‪ ،‬ﺑﺎ ﺑﺎر ﻫﻤﻨﺎم‪ ،‬ﺑﺮﻫﻢ دارﻧﺪ ﺑﻪ وﺟﻮد‬
‫ﻣﻲآﻳﺪ ﻛﻪ ﺑﺎﻋﺚ ﻣﻲ ﺷﻮد ﭘﺮﺗﻮ ﻳﻮن از ﻧﻘﻄﻪاي ﺑﻪ ﺑﻌﺪ ﺑﻪ ﺷﺪت واﮔﺮا ﺷﻮد ‪ 1‬و از ﺣﺎﻟﺖ ﭘﺮﺗﻮ ﻣﺘﻤﺮﻛﺰ‬
‫ﺧﺎرج ﮔﺮدد‪ .‬اﻳﻦ ﭘﺪﻳﺪه اﺛﺮ ﺑﺎر ﻓﻀﺎﻳﻲ ‪ 2‬ﻧﺎم دارد و در ﭘﺮﺗﻮﻫﺎي ﻛﻢ اﻧﺮژي و ﺑﺎ ﺟﺮﻳﺎن ﻳﻮن ﺑﺎﻻ ﺑﻴﺸﺘﺮ‬
‫رخ ﻣﻲدﻫﺪ‪ .‬ﺑﺮاي ﻛﺎﻫﺶ اﻳﻦ اﺛﺮ راهﻫﺎﻳﻲ وﺟﻮد دارد‪ .‬راه اول اﺗﺼﺎل ﻗﻄﻌﻪ ﻫﺪف ﺑﻪ وﻟﺘﺎژ ﻣﻨﻔﻲ‬
‫اﺳﺖ‪ .‬ﺑﺮاي اﻳﻦ ﻛﺎر ﻻزم اﺳﺖ ﺟﺮﻳﺎن ﻳﻮن ﻛﻢ ﺑﺎﺷﺪ ﺗﺎ ﺑﺎرﻫﺎ ﻓﺮﺻﺖ ﺗﺨﻠﻴﻪ ﭘﻴﺪا ﻛﻨﻨﺪ ‪.‬‬
‫راه دوم ﺧﻨﺜﻲ ﻛﺮدن ﭘﺮﺗﻮ ﻳﻮن اﺳﺖ‪ .‬در اﻳﻦ روش ﺗﻌﺪادي اﻟﻜﺘﺮون را ﺑﺎ ﺑﺎرﻫﺎي ﻣﺜﺒﺖ ﻫﻤﺮاه‬
‫ﻣﻲﻛﻨﻨﺪ ﺑﻪ ﻃﻮري ﻛﻪ ﻣﺠﻤﻮع آﻧﻬﺎ ﺣﺎﻟﺘﻲ ﺧﻨﺜﻲ و ﭘﻼﺳﻤﺎ ﻣﺎﻧﻨﺪ ﭘﻴﺪا ﻛﻨﺪ‪) .‬ﺷﻜﻞ ‪ ( 7- 9‬ﺑﺮاي اﻳﻦ‬
‫ﻛﺎر ﮔﺎﻫﻲ از ﺗﺎﺑﺶ ﭘﺮﺗﻮ اﻟﻜﺘﺮون ﻛﻢ اﻧﺮژي ﺑﻪ ﻃﺮف ذرات ﻳﻮن ﻣﺜﺒﺖ اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‪ .‬ﮔﺎﻫﻲ ﻧﻴﺰ از‬
‫ﺑﺨﺎر آب ﻛﻪ ﺑﺎ ﺳﺮﻋﺖ ﻣﻌﻴﻨﻲ در ﻣﺤﻔﻈﻪ ﺣﺮﻛﺖ ﻣﻲﻛﻨﺪ اﺳﺘﻔﺎده ﻣﻲ ﮔﺮدد]‪.[16‬‬

‫ﺷﻜﻞ )‪ (7- 9‬ﺧﻨﺜﻲ ﺳﺎزي ﭘﺮﺗﻮ ﺗﻮﺳﻂ اﻟﻜﺘﺮونﻫﺎي ﻛﻢ اﻧﺮژي و ﺟﻠﻮﮔﻴﺮي از واﮔﺮاﻳﻲ ﭘﺮﺗﻮﻫﺎ]‪[16‬‬

‫‪1 - Beam Blowup‬‬


‫‪2 - Space Charge‬‬
‫‪271‬‬
‫‪ - 9- 6- 8‬ﻣﺤﻔﻈﺔ ﻫﺪف‬

‫ﻗﺴﻤﺖ ﻧﻬﺎﻳﻲ ﻓﺮاﻳﻨﺪ ﻛﺎﺷﺖ ﻳﻮن‪ ،‬در اﻳﻦ ﻣﺤﻔﻈﻪ اﻧﺠﺎم ﻣﻲﮔﻴﺮد‪ .‬ﻛﻪ ﺷﺎﻣﻞ ﺳﻴﺴﺘﻢﻫﺎﻳﻲ ﺟﻬﺖ ﻗﺮار‬
‫دادن و ﺑﺮداﺷﺘﻦ وﻳﻔﺮ در ﻣﺤﻞﻫﺎي ﻣﺸﺨﺺ و ﻧﻴﺰ اﺳﻜﻦ ﻛﺮدن ﺳﻄﺢ وﻳﻔﺮ ﻣﻲ ﺑﺎﺷﺪ ‪ .‬دﺳﺘﮕﺎه ﻛﺎﺷﺖ‬
‫ﻳﻮن ﻣﻲﺗﻮاﻧﺪ در ﻫﺮ ﻣﺮﺗﺒﻪ ﻳﻚ وﻳﻔﺮ )‪ (Single-wafer Processing‬ﻳﺎ ﺗﻌﺪاد ﺑﻴﺸﺘﺮي وﻳﻔﺮ ‪(Batch‬‬

‫)‪ Processing‬را آﻻﻳﺶ ﻛﻨﺪ‪ .‬ﻣﺤﻔﻈﺔ ﻫﺪف ﺑﺎﻳﺪ ﺑﺴﻴﺎر ﺗﻤﻴﺰ ﺑﺎﺷﺪ ﺗﺎ آﻻﻳﺸﻲ دﻗﻴﻖ و ﻳﻜﻨﻮاﺧﺖ اﻳﺠﺎد‬
‫ﮔﺮدد‪ .‬ﺑﻪ ﻫﻤﻴﻦ ﻣﻨﻈﻮر از ﭘﻤﭗﻫﺎي ‪ Cryogenic‬ﺑﺮاي ﺧﻸ ﺳﺎزي اﺳﺘﻔﺎده ﻣﻲﮔﺮدد ﺗﺎ اﺗﻢﻫﺎﻳﻲ ﻧﻈﻴﺮ‬
‫ﻧﻴﺘﺮوژن و ﻫﻴﺪروژن را ﺑﻪ ﺳﺮﻋﺖ ﻣﻨﺠﻤﺪ ﻛﺮده و آﻻﻳﻨﺪهﻫﺎي ﻣﺤﻔﻈﻪ را ﺗﺎ ﺣﺪ اﻣﻜﺎن ﺑﺰداﻳﺪ‪.‬‬

‫ﺷﻜﻞ )‪(7- 10‬ﻗﻔﺲ ﻓﺎرادي و ﺧﻨﺜﻲ ﺳﺎزي ﭘﺮﺗﻮ ﻳﻮنﻫﺎ‬

‫ﺑﺮاي ﻛﺎﻫﺶ آﻻﻳﻨﺪﮔﻲ‪ ،‬ﻧﮕﻬﺪارﻧﺪه ﻫﺎي اﻟﻜﺘﺮواﺳﺘﺎﺗﻴﻜﻲ ﺑﻪ ﺟﺎي ﮔﻴﺮهﻫﺎي ﻣﻜﺎﻧﻴﻜﻲ ﻣﻮرد اﺳﺘﻔﺎده‬
‫ﻗﺮار ﻣﻲﮔﻴﺮد‪ .‬در اﻳﻦ ﻣﺤﻔﻈﻪ ﻳﻚ آﺷﻜﺎر ﺳﺎز ﺑﻪ ﻧﺎم ﻗﻔﺲ ﻓﺎراده وﺟﻮد دارد ﺗﺎ ﺗﻌﺪاد ﻳﻮنﻫﺎي‬
‫ﺗﺎﺑﻴﺪه ﺷﺪه ﺑﻪ ﺳﻄﺢ ﻗﻄﻌﺔ ﻫﺪف را ﺑﺎ دﻗﺖ ﺑﺎﻻﻳﻲ اﻧﺪازه ﺑﮕﻴﺮد‪) .‬ﺷﻜﻞ ‪( 7- 10‬‬

‫‪272‬‬
‫‪ -7-8‬ﻧﺤﻮة ﻧﻔﻮذ ﻳﻮنﻫﺎ در داﺧﻞ ﻗﻄﻌﺔ ﻫﺪف‬
‫ﻳﻮنﻫﺎ ﭘﺲ از ورود ﺑﻪ داﺧﻞ ﻗﻄﻌﻪ ﺑﻪ ﺗﺪرﻳﺞ اﻧﺮژي ﺧﻮد را از دﺳﺖ ﻣﻲدﻫﻨﺪ ﺗﺎ ﺳﺮاﻧﺠﺎم در ﻋﻤﻖ‬
‫ﻣﻌﻴﻨﻲ در داﺧﻞ ﻗﻄﻌﺔ ﻫﺪف ﻣﺘﻮﻗﻒ ﺷﻮﻧﺪ ‪ .‬ﺑﺎ داﻧﺴﺘﻦ ﻣﻜﺎﻧﻴﺰم ﻛﺎﻫﺶ اﻧﺮژي ﻳﻮنﻫﺎ ﻣﻲﺗﻮان ﺑﻪ ﻧﺤﻮة‬
‫ﺗﻮزﻳﻊ اﺗﻢﻫﺎي ﻛﺎﺷﺘﻪ ﺷﺪه ﭘﻲ ﺑﺮد ‪.‬‬
‫ﺑﻪ ﻃﻮر ﻛﻠﻲ دو ﻣﻜﺎﻧﻴﺰم در ﺗﻮﻗﻒ ﻳﻮنﻫﺎ ﻧﻘﺶ دارﻧﺪ ‪ .‬ﻣﻮرد اول‪ ،‬اﻧﺘﻘﺎل اﻧﺮژي ﺑﻪ ﻫﺴﺘﺔ اﺗﻢﻫﺎي‬
‫ﻗﻄﻌﺔ ﻫﺪف اﺳﺖ ﻛﻪ ﺑﻪ دﻟﻴﻞ ﺑﺮﺧﻮرد ﻳﻮنﻫﺎ ﺑﺎ اﺗﻢﻫﺎ ﺻﻮرت ﮔﺮﻓﺘﻪ و در ﻧﺘﻴﺠﺔ آن ﻋﻼوه ﺑﺮ اﻧﺤﺮاف‬
‫ﻳﻮنﻫﺎ از ﻣﺴﻴﺮ ﺧﻮد ﺗﻌﺪادي از اﺗﻢﻫﺎ ﻧﻴﺰ از ﺟﺎي ﺧﻮد در ﺷﺒﻜﻪ ﺟﺎ ﺑﺠﺎ ﻣﻲﺷﻮﻧﺪ و در ﻛﺮﻳﺴﺘﺎل‬
‫ﻧﻘﺺ اﻳﺠﺎد ﻣﻲﮔﺮدد ‪ .‬ﻣﻘﺪار اﻧﺮژي ﻛﻪ ﻫﺮ ﻳﻮن در واﺣﺪ ﻃﻮل از ﻣﺴﻴﺮ ﺣﺮﻛﺖ‪ ،‬ﺗﻮﺳﻂ ﻓﺮاﻳﻨﺪ‬
‫ﺗﻮﻗﻒ ﺳﺎزي ﺑﺮﺧﻮرد ﺑﺎ ﻫﺴﺘﺔ اﺗﻢﻫﺎ )‪ (Nuclear Stopping‬از دﺳﺖ ﻣﻲدﻫﺪ را ﺑﺎ )‪ Sn(E‬ﻧﻤﺎﻳﺶ‬
‫ﻣﻲدﻫﻴﻢ‪ .‬اﻳﻦ ﻣﻘﺪار ﺑﻪ ﺟﺮم ذرات ﻳﻮن و ﻗﻄﻌﻪ ﻫﺪف و ﻧﻴﺰ اﻧﺮژي ﻳﻮنﻫﺎ ﺑﺴﺘﮕﻲ دارد‪.‬‬
‫ﻣﻜﺎﻧﻴﺰم دﻳﮕﺮ‪ ،‬ﻧﺎﺷﻲ از ﺑﺮﺧﻮرد ﻳﻮن ﺑﺎ اﺑﺮ اﻟﻜﺘﺮوﻧﻲ اﻃﺮاف اﺗﻢﻫﺎﺳﺖ‪ .‬در اﻳﻦ ﺑﺮﺧﻮردﻫﺎ و ﺗﻘﺎﺑﻞﻫﺎي‬
‫ﻛﻮﻟﻨﻲ‪ ،‬اﻟﻜﺘﺮونﻫﺎ ﺑﻪ ﺗﺮازﻫﺎي ﺑﺎﻻﺗﺮ رﻓﺘﻪ ﻳﺎ آن ﻛﻪ ﺑﺎﻋﺚ ‪ Impact Ionization‬ﻣﻲﮔﺮدﻧﺪ ‪ .‬ﻣﻘﺪار اﻧﺮژي‬
‫ﻛﻪ ﻫﺮ ﻳﻮن در واﺣﺪ ﻃﻮل از ﻣﺴﻴﺮ ﺣﺮﻛﺖ‪ ،‬ﺗﻮﺳﻂ اﻳﻦ ﻣﻜﺎﻧﻴﺰم از دﺳﺖ ﻣﻲدﻫﺪ را ﺑﺎ )‪ Se(E‬ﻧﻤﺎﻳﺶ‬
‫ﻣﻲدﻫﻴﻢ‪ .‬اﻳﻦ ﻣﻘﺪار ﺑﻴﺸﺘﺮ ﺑﻪ اﻧﺮژي ﻳﻮنﻫﺎ ﺑﺴﺘﮕﻲ دارد و آﻧﺮا ﻣﻲﺗﻮان ﺑﻪ ﺻﻮرت‬
‫‪ Se(E) = Ke E‬ﻧﻮﺷﺖ ‪ .‬ﺛﺎﺑﺖ ‪ Ke‬در اﻳﻦ راﺑﻄﻪ ﺑﻪ ﺟﺮم ﻳﻮنﻫﺎي ﺗﺎﺑﻴﺪه ﺷﺪه و ﺟﺮم ﻣﻮﻟﻜﻮلﻫﺎي‬
‫ﻫﺪف ﺑﺴﺘﮕﻲ دارد ]‪.[2‬‬

‫‪273‬‬
‫ﻣﻲﺗﻮان رواﺑﻂ دﻗﻴﻖﺗﺮي ﺑﺎ اﺳﺘﻔﺎده از ﻓﺮﻣﻮل ‪: Scharff & Lindhard‬‬

‫‪νi‬‬
‫‪7 /6‬‬
‫‪2e 2 a0‬‬ ‫‪Z1‬‬ ‫‪Z2‬‬
‫= ^‪S e‬‬
‫)‪(٧- ٤‬‬
‫‪ε0‬‬ ‫‪(Z‬‬
‫‪1‬‬
‫‪2/3‬‬
‫‪+ Z2‬‬ ‫)‬
‫‪2/3 2 / 3‬‬ ‫‪ν0‬‬

‫و ﻓﺮﻣﻮل ‪: Bethe –Bloch‬‬


‫‪2∂Z 1 Z 2 e 4 m1 1‬‬ ‫‪ 4m E ‬‬
‫‪2‬‬
‫= ‪S‬‬
‫*‬
‫‪log e ‬‬
‫)‪(٧- ٥‬‬
‫‪e‬‬
‫‪(2∂ε 0 ) me E  m1 I ‬‬
‫‪2‬‬

‫ﺑﺮاي ﻣﻜﺎﻧﻴﺰم ﺗﻘﺎﺑﻞ ﻛﻮﻟﻨﻲ ﻛﻪ ﺑﺎ ﺗﺌﻮريﻫﺎي ﻛﻮاﻧﺘﻮم ﺑﻪ دﺳﺖ آﻣﺪهاﻧﺪ‪ ،‬ﻧﻮﺷﺖ‪ .‬در رواﺑﻂ ﻓﻮق‬
‫‪Z1‬ﻋﺪد اﺗﻤﻲ ﻳﻮﻧﻬﺎي ﻛﺎﺷﺘﻪ ﺷﺪه در ﻣﺎده و ‪ Z2‬ﻋﺪد اﺗﻤﻲ اﺗﻢﻫﺎي ﺷﺒﻜﻪ اﺳﺖ‪ ao.‬ﻧﻴﺰ ﺷﻌﺎع اﺗﻤﻲ‬
‫ﺑﻮﻫﺮ ﻣﻲ ﺑﺎﺷﺪ‪ .‬ﺑﻪ ﻃﻮري ﻛﻪ ‪[ 9 ] :‬‬
‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬
‫* ‪= ^ +‬‬
‫)‪(٧- ٦‬‬ ‫‪S e (E ) S e S e‬‬

‫در ﻣﺠﻤﻮع ﻣﻘﺪار ‪ Se‬ﺑﺎ اﻓﺰاﻳﺶ اﻧﺮژي ﻳﻮن زﻳﺎد ﻣﻲ ﺷﻮد اﻣﺎ ﻣﻘﺪار ‪ Sn‬اﺑﺘﺪا ﺑﻪ ﺻﻮرت ﺧﻄﻲ اﻓﺰاﻳﺶ‬
‫ﻣﻲﻳﺎﺑﺪ و ﺑﻪ ﻣﻘﺪار ﺣﺪاﻛﺜﺮ ﺧﻮد ﻣﻲرﺳﺪ ﺳﭙﺲ ﺷﺮوع ﺑﻪ ﻛﺎﻫﺶ ﻣﻲﻛﻨﺪ زﻳﺮا وﻗﺘﻲ دو ذره ﺑﺎ‬
‫ﺳﺮﻋﺖ ﺑﺴﻴﺎر زﻳﺎد ﺑﻪ ﻫﻢ ﺑﺮﺧﻮرد ﻣﻲﻛﻨﻨﺪ ﻓﺮﺻﺖ اﻧﺘﻘﺎل اﻧﺮژي را در ﻟﺤﻈﻪ ﺑﺮﺧﻮرد ﻧﺨﻮاﻫﻨﺪ‬
‫داﺷﺖ‪.‬‬
‫ﺷﻜﻞ ‪ 7- 11‬ﻧﺸﺎن ﻣﻲدﻫﺪ ﻛﻪ ﺑﺮاي ﻫﺮ ﻳﻮن ﺑﺎ اﻧﺮژي ﻛﻢ اﺛﺮ ﺑﺮﺧﻮردﻫﺎي ﻫﺴﺘﻪاي ﺑﻴﺸﺘﺮ اﺳﺖ وﻟﻲ‬
‫ﺑﺎ اﻓﺰاﻳﺶ اﻧﺮژي اﺛﺮ ﺗﻘﺎﺑﻞﻫﺎي ﻛﻮﻟﻨﻲ ﺑﻴﺸﺘﺮ ﻣﻲﮔﺮدد‪ .‬در اﻳﻦ ﺷﻜﻞ ﻣﻘﺪار اﻧﺮژي ﻳﻮن ﻛﻪ ﺑﻪ ازاي آن‬
‫‪ Se=Sn‬ﻧﻴﺰ ﻧﻤﺎﻳﺶ داده ﺷﺪه اﺳﺖ‪.‬ﻣﻼﺣﻈﻪ ﻣﻲ ﺷﻮد ﻛﻪ ﺑﺮاي ﻳﻮنﻫﺎي ﻛﻮﭼﻚ ‪ B+‬در اﻧﺮژيﻫﺎي‬
‫ﻣﻌﻤﻮل ﺑﻪ ﻛﺎر رﻓﺘﻪ در ﻛﺎﺷﺖ ﻳﻮن‪ ،‬ﻓﻘﻂ اﺛﺮ اﺑﺮ اﻟﻜﺘﺮوﻧﻲ ﻗﺎﺑﻞ ﻣﻼﺣﻈﻪ اﺳﺖ و ﺑﺮاي ﻳﻮنﻫﺎي ﺑﺰرگ‬

‫‪274‬‬
‫ﻧﻈﻴﺮ ‪ Ar+‬اﺛﺮ ﺑﺮﺧﻮردﻫﺎي اﺗﻤﻲ در اﻧﺮژيﻫﺎي ﻛﻤﺘﺮ ﻏﺎﻟﺐﺗﺮ اﺳﺖ و ﺑﺎ اﻓﺰاﻳﺶ اﻧﺮژي ﻳﻮﻧﻬﺎ ﺑﻪ ﺗﺪرﻳﺞ‬
‫ﺗﻘﺎﺑﻞﻫﺎي ﻛﻮﻟﻨﻲ ﻏﺎﻟﺐ ﻣﻲﮔﺮدد دﻳﺪه ﻣﻲﺷﻮد ]‪.[2‬‬

‫ﺷﻜﻞ ) ‪(7- 11‬ﻣﻜﺎﻧﻴﺰمﻫﺎي ﺗﻮﻗﻒ ﺑﺮﺧﻲ ﻳﻮنﻫﺎ ﺑﺮ ﺣﺴﺐ ﻣﻴﺰان اﻧﺮژي آﻧﻬﺎ‬

‫‪ -8-8‬ﺗﻮزﻳﻊ ﻳﻮن‬
‫ﻣﻬﻤﺘﺮﻳﻦ ﻣﺸﺨﺼﻪاي ﻛﻪ در ﻛﺎﺷﺖ ﻳﻮن ﺑﺎﻳﺪ ﺗﻌﻴﻴﻦ ﺷﻮد ‪،‬ﻧﺤﻮه ﺗﻮزﻳﻊ ﻳﻮن در داﺧﻞ ﺑﻠﻮر ﭘﺎﻳﻪ‬
‫ﻣﻲﺑﺎﺷﺪ‪.‬ﻳﻌﻨﻲ ﺑﻌﺪ از اﻳﻨﻜﻪ ﻳﻮﻧﻬﺎ ﺑﺎ اﻧﺮژي ﻣﺸﺨﺼﻲ ﺑﻪ ﺻﻮرت اﺷﻌﻪ ﺑﻪ ﻃﺮف وﻳﻔﺮ ﭘﺮﺗﺎب ﻣﻲﮔﺮدﻧﺪ‬
‫و وارد آن ﻣﻲﺷﻮﻧﺪ‪ ،‬در ﭼﻪ ﻋﻤﻘﻲ و ﺑﺎ ﭼﻪ ﺗﺮاﻛﻤﻲ ﻗﺮار ﻣﻲ ﮔﻴﺮﻧﺪ‪.‬ﻋﻠﺖ اﻫﻤﻴﺖ ﻧﺤﻮه ﺗﻮزﻳﻊ ﻳﻮن آن‬
‫اﺳﺖ ﻛﻪ ﺑﻪ ﻃﻮر ﻛﻠﻲ ‪ ،‬ﻫﺪف روش ﺗﺰرﻳﻖ ﻧﺎﺧﺎﻟﺼﻲ ﺑﻪ داﺧﻞ ﻳﻚ ﺑﻠﻮر ﭘﺎﻳﻪ ﻣﻲﺑﺎﺷﺪ و ﺑﺪﻳﻬﻲ اﺳﺖ‬
‫ﻛﻪ ﺑﺎﻳﺪ داﻧﺴﺖ ﺑﻌﺪ از اﻧﺠﺎم ﻋﻤﻞ ﻧﺎﺧﺎﻟﺼﻲ ﺑﻪ ﭼﻪ ﻧﺤﻮي ﺗﻮزﻳﻊ ﺷﺪه اﺳﺖ و ﻧﻴﺰ اﻃﻼﻋﺎت ﻻزم ﺑﺮاي‬
‫ارﺗﺒﺎط ﺑﻴﻦ ﺗﻮزﻳﻊ ﻧﺎﺧﺎﻟﺼﻴﻬﺎ ﺑﺎ اﻧﺮژي ﺗﻌﺪاد و ﻧﻮع ﻳﻮﻧﻬﺎ )ﻛﻪ ﺳﻪ ﻋﺎﻣﻞ اﺻﻠﻲ در ﻛﺎﺷﺖ ﻳﻮن‬
‫ﻫﺴﺘﻨﺪ( را اﺳﺘﻨﺒﺎط ﻧﻤﻮد ﺗﺎ ﺑﺘﻮان از ﻣﺰﻳﺖ ﺑﺰرگ اﻳﻦ روش ﻛﻪ ﻗﺎﺑﻞ ﻛﻨﺘﺮل و ﺗﻜﺮار ﭘﺬﻳﺮ ﺑﻮدن آن‬
‫اﺳﺖ اﺳﺘﻔﺎده ﻛﺮد ‪.‬‬
‫وﻗﺘﻲ ﻳﻮن وارد وﻳﻔﺮ ﻣﻲﺷﻮد در اﺛﺮ ﺑﺮﺧﻮرد ﺑﺎ اﺗﻤﻬﺎي ﺷﺒﻜﻪ ﺑﻪ ﺗﺪرﻳﺞ اﻧﺮژي ﺧﻮد را از دﺳﺖ‬
‫ﻣﻲدﻫﺪ ﺗﺎ اﻳﻨﻜﻪ در ﻧﻬﺎﻳﺖ ﺳﺮﻋﺘﺶ ﺑﻪ ﺻﻔﺮ ﺑﺮﺳﺪ و ﻣﺘﻮﻗﻒ ﺷﻮد‪.‬اﮔﺮ وﻳﻔﺮ ﺑﻲ ﺷﻜﻞ ﺑﺎﺷﺪ ‪ ،‬در اﻳﻦ‬
‫ﺻﻮرت ﻓﺮاﻳﻨﺪ ﺗﻮﻗﻒ ﻳﻮن ﺗﺼﺎدﻓﻲ ﺧﻮاﻫﺪ ﺑﻮد و ﻳﻮن ﻣﺴﻴﺮي ﺗﺼﺎدﻓﻲ در داﺧﻞ وﻳﻔﺮ ﻃﻲ ﻣﻲﻛﻨﺪ ‪،‬‬
‫ﻋﻠﺖ اﻳﻦ اﻣﺮ آن اﺳﺖ ﻛﻪ اﮔﺮ ﭼﻪ ﻳﻮﻧﻬﺎ داراي اﻧﺮژي ﻳﻜﺴﺎﻧﻲ ﻣﻲﺑﺎﺷﻨﺪ اﻣﺎ ﺟﻮن ﺗﻌﺪاد اﺗﻤﻬﺎي وﻳﻔﺮ‬
‫ﺧﻴﻠﻲ زﻳﺎد اﺳﺖ و ﻫﺮ ﻛﺪام در ﻣﻜﺎنﻫﺎي ﻣﺨﺘﻠﻔﻲ ﺑﻪ ﺻﻮرت ﺗﺼﺎدﻓﻲ ﻗﺮار ﮔﺮﻓﺘﻪاﻧﺪ ‪ ،‬ﺑﺎ ورود ﻳﻮن‬
‫ﺑﻪ داﺧﻞ وﻳﻔﺮ ﺑﺮﺧﻮردﻫﺎي ﻣﺘﻮاﻟﻲ اﺗﻔﺎق ﻣﻲاﻓﺘﺪ و در ﻧﻬﺎﻳﺖ ﺑﻪ ﻃﻮر دﻗﻴﻖ ﻣﺸﺨﺺ ﻧﻤﻲ ﺷﻮد ﻛﻪ‬
‫ﻳﻮن در ﭼﻪ ﻋﻤﻘﻲ ﻗﺮار ﻣﻲ ﮔﻴﺮد‪.‬ﺣﺘﻲ اﮔﺮ ﺷﺒﻜﻪ ﺑﻠﻮري ﺑﺎﺷ ﺪ ﺑﻪ ازاي ورود ﻫﺮ ﻳﻮن ﺷﺒﻜﻪ ﺑﻠﻮري ﺑﻪ‬

‫‪275‬‬
‫ﻫﻢ رﻳﺨﺘﻪ ﻣﻲﺷﻮد‪.‬ﺑﻪ ﻋﺒﺎرت دﻳﮕﺮ ﻫﺮ ﻳﻮن ﺑﺎ از دﺳﺖ دادن اﻧﺮژي ﺳﺒﺐ ﺟﺎ ﺑﺠﺎ ﺷﺪن اﺗﻢﻫﺎي‬
‫ﺷﺒﻜﻪ در ﺣﺪ ﺧﻮد ﻣﻲﺷﻮد و در ﻧﺘﻴﺠﻪ ﻳﻮن ﺑﻌﺪي ﻛﻪ وارد ﺷﺒﻜﻪ ﻣﻲ ﺷﻮد ﺑﺎ ﺷﻜﻞ ﺟﺪﻳﺪي از اﺗﻢﻫﺎ‬
‫ﻣﻮاﺟﻪ ﺷﺪه و ﺑﻪ اﻧﺪازه ﺧﻮد ﺗﻐﻴﻴﺮي در ﺷﺒﻜﻪ اﻳﺠﺎد ﻣﻲﻛﻨﺪ و ﺧﻮد ﻧﻴﺰ در ﻣﻜﺎﻧﻲ ﻛﻪ اﺣﺘﻤﺎﻻً ﺑﺎ‬
‫ﻣﻜﺎن ﻳﻮن ﻗﺒﻠﻲ ﻣﺘﻔﺎوت اﺳﺘﻘﺮار ﻣﻲﮔ ﻴﺮد‪ .‬از اﻳﻦ رو ﺗﺄﺛﻴﺮ ﻣﺘﻘﺎﺑﻞ ﻳﻮن ﺑﺎ اﺗﻤﻬﺎي ﺑﻠﻮر را ﻳﻚ ﭘﺪﻳﺪه‬
‫آﻣﺎري در ﻧﻈﺮ ﻣﻲﮔﻴ ﺮﻧﺪ و ﺗﻮزﻳﻊ ﻳﻮﻧﻬﺎي ﻛﺎﺷﺘﻪ ﺷﺪه را ﺑﺎ ﻣﻨﺤﻨﻴﻬﺎي آﻣﺎري و ﺗﻮاﺑﻊ ﺗﻮزﻳﻊ اﺣﺘﻤﺎل‬
‫ﺗﻘﺮﻳﺐ ﻣﻲزﻧﻨﺪ‪.‬ﻳﻌﻨﻲ ﺑﺮاي آﻧﻜﻪ ﻣﺤﻞ ﻗﺮار ﮔﺮﻓﺘﻦ ﻳﻮﻧﻬﺎ ﻣﺸﺨﺺ ﺷﻮد ﺑﺎﻳﺪ اﺣﺘﻤﺎل وﺟﻮد ﻳﻮن در‬
‫ﻋﻤﻘﻬﺎي ﻣﺨﺘﻠﻒ ﻣﻌﻴﻦ ﺑﺎﺷﺪ ﻛﻪ اﻳﻦ اﻣﺮ ﺑﻪ ﻣﻘﺪار اﻧﺮژي ‪ ،‬ﺗﻌﺪاد و ﻧﻮع ﻳﻮن ﺑﺴﺘﮕﻲ دارد‪ .‬ﺷﻜﻞ‬
‫)‪ (7- 12‬ﻧﻤﺎي ﻛﻠﻲ ﻣﺤﺪوده ﻳﻮن را ﻧﺸﺎن ﻣﻲدﻫﺪ‪.‬‬

‫ﺷﻜﻞ )‪(7- 12‬ﻧﻤﺎي ﻛﻠﻲ ﻣﺤﺪوده ﻳﻮن]‪[24‬‬

‫اﻟﻒ( ﻃﻮل ﻣﺠﻤﻮع ﻣﺴﻴﺮ )‪ (R‬ﻃﻮﻻﻧﻲﺗﺮ از رﻧﺞ ﺗﺼﻮﻳﺮ ﺷﺪه) ‪ (Rp‬اﺳﺖ‪ .‬ب( ﺗﻮزﻳﻊ ﻳﻮﻧﻬﺎي ﻛﺎﺷﺘﻪ‬
‫ﺷﺪه ﻛﻪ ﮔﻮﺳﻲ دو ﺑﻌﺪي اﺳﺖ در اﻳﻦ ﺷﻜﻞ ﺑﺮاي ﻣﺸﺎﻫﺪه ﺑﻬﺘﺮ ﺗﻮزﻳﻊ ﺟﻬﺖ ﻋﻤﻖ ﻛﻪ ﻣﺮد ﻧﻈﺮ‬
‫ﻣﻲﺑﺎﺷﺪ ﻣﻌﺎدل ﺑﺎ ﻣﺤﻮر ‪ x‬در ﻧﻈﺮ ﮔﺮﻓﺘﻪ ﺷﺪه اﺳﺖ‪ .‬ﻋﻤﻖ ﻣﺘﻮﺳﻄﻲ ﻛﻪ ﻳﻮن در داﺧﻞ ﺑﻠﻮر ﭘﺎﻳﻪ ﻃﻲ‬
‫ﻣﻲﻛﻨﺪ ﺑﺮاﺑﺮ ‪ Rp‬ﻣﻲﺑﺎﺷﺪ ﻛﻪ ﻣﻌﺮف رﻧﺞ ﺗﺼﻮﻳﺮ ﺷﺪه در راﺳﺘﺎي ﻣﺴﻴﺮ ﻳﻮن اﺳﺖ‪.‬‬

‫‪276‬‬
‫ﻫﻤﺎﻧﮕﻮﻧﻪ ﻛﻪ در ﺷﻜﻞ ) ‪ (7- 12‬ﻣﺸﺎﻫﺪه ﻣﻲ ﺷﻮد ﻳﻮن ﻓﺎﺻﻠﻪ ﻣﺘﻮﺳﻄﻲ را ﺗﺎ ﻫﻨﮕﺎم ﺗﻮﻗﻒ ﻣﻲﭘﻴﻤﺎﻳﺪ‬
‫ﻛﻪ آن را ﺑﺎ ‪ R‬ﻧﻤﺎﻳﺶ ﻣﻲدﻫﻨﺪ‪.‬اﻳﻦ ﻓﺎﺻﻠﻪ ﺗﺮﻛﻴﺒﻲ از ﺣﺮﻛﺖ ﻋﻤﻘﻲ و ﺣﺮﻛﺖ ﻃﻮﻟﻲ ﻣﻲ ﺑﺎﺷﺪ‪ ،‬در واﻗﻊ‬
‫ﻳﻮن وارد ﺷﺪه ﺿﻤﻦ ﻧﻔﻮذ ﻋﻤﻘﻲ داراي ﻧﻮﺳﺎﻧﺎت ﻃﻮﻟﻲ ﻧﻴﺰ اﺳﺖ ‪.‬ﻳﻮﻧﻬﺎ ﺑﻪ دو ﮔﺮوه ﺧﻮش ﻳﻤﻦ و ﺑﺪ‬
‫ﻳﻤﻦ ﺗﻘﺴﻴﻢ ﻣﻲﺷﻮﻧﺪ ‪ .‬ﭘﺪﻳﺪه ﭘﺮاﻛﻨﺪﮔﻲ ﺑﺮاي ﻳﻮﻧﻬﺎي ﺧﻮش ﻳﻤﻦ در ﻳﻚ ﻓﺎﺻﻠﻪ داده ﺷﺪه ﺧﻴﻠﻲ‬
‫ﻛﻢ اﺳﺖ و در ﻧﻬﺎﻳﺖ در ﻋﻤﻖ ‪ Rp‬ﻣﺴﺘﻘﺮ ﻣﻲﮔﺮدﻧﺪ‪.‬ﻳﻮﻧﻬﺎي ﺑﺪﻳﻤﻦ داراي ﭘﺮاﻛﻨﺪﮔﻲ ﺑﻴﺸﺘﺮي از‬
‫ﻣﻴﺰان از ﻣﻴﺰان ﻣﺘﻮﺳﻂ ﺗﻌﺪاد ﺑﺮﺧﻮردﻫﺎ ﻣﻲﺑﺎﺷﻨﺪ و در ﻧﻬﺎﻳﺖ در ﻣﻜﺎﻧﻲ ﺑﻪ ﻏﻴﺮ از ‪ Rp‬ﻗﺮار‬
‫ﻣﻲﮔﻴﺮﻧﺪ ‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ ﻣﺠﻤﻮﻋﺎً ﺑﺮاي ﻳﻮﻧﻬﺎ ﺗﻔﺮق وﺟﻮد دارد‪.‬‬
‫از اﻳﻦ رو ﺑﻪ ﻏﻴﺮ از ﻋﻤﻖ ﻣﺘﻮﺳﻂ ‪ ،‬ﺑﺎﻳﺪ از ﻛﻤﻴﺖﻫﺎي آﻣﺎري ﭘﺮاﻛﻨﺪﮔﻲ ﻧﻴﺰ اﺳﺘﻔﺎده ﻧﻤﻮد ﻛﻪ ﻣﻌﻤﻮﻻً‬
‫اﻧﺤﺮاف ﻣﻌﻴﺎر اﺳﺘﺎﻧﺪارد ﺑﺮاي اﻳﻦ ﻣﻨﻈﻮر ﻣﻨﺎﺳﺐ ﻣﻲﺑﺎﺷﺪ ﻛﻪ در ﺑﺴﻴﺎري از ﺗﻮزﻳﻊﻫﺎي اﺣﺘﻤﺎل‬
‫ﻣﺎﻧﻨﺪ ﺗﻮزﻳﻊ ﮔﻮﺳﻲ ﺑﻜﺎر ﻣﻲرود ‪.‬‬
‫ﺑﺮاي ﺗﻮزﻳﻊ ﻳﻮن ‪ σp‬يا ‪ ∆Rp‬ﻣﻌﺮف اﻧﺤﺮاف ﻣﻌﻴﺎر اﺳﺘﺎﻧﺪارد در ﺟﻬﺖ ﻋﻤﻖ و ﻳﺎ در واﻗﻊ ﺗﻔﺮق‬
‫ﻋﻤﻖ ﻣﻲﺑﺎﺷﺪ ‪[24] .‬‬

‫ ﻣﺪل رﻳﺎﺿﻲ ﺑﺮاي ﺗﻮﺻﻴﻒ ﺗﺮاﻛﻢ ﻳﻮنﻫﺎ در روش ﻛﺎﺷﺖ ﻳﻮن‬


‫در روش ﻛﺎﺷﺖ ﻳﻮن ﺗﻌﺪاد ﻳﻮنﻫﺎي ﻛﺎﺷﺘﻪ ﺷﺪه در ﻗﻄﻌﺔ ﻫﺪف‪ ،‬از ﭼﮕﺎﻟﻲ ﺟﺮﻳﺎن ﭘﺮﺗﻮﻫﺎ )ﺗﻌﺪاد‬
‫ﻳﻮﻧﻬﺎي ﺗﺎﺑﻴﺪه ﺷﺪه ﺑﺮ واﺣﺪ ﺳﻄﺢ( و ﻣﺪت زﻣﺎن ﺗﺎﺑﺶ ﻳﻮن ﺑﺮ ﺳﻄﺢ ﻗﻄﻌﻪ ﺑﺪﺳﺖ ﻣﻲآﻳﺪ‪ .‬ﻣﺤﻞ‬
‫اﺳﺘﻘﺮار ﻳﻮنﻫﺎ در داﺧﻞ ﻗﻄﻌﺔ ﻫﺪف‪ ،‬ﺗﺎﺑﻊ اﻧﺮژي ﻳﻮنﻫﺎ‪ ،‬ﺟﻬﺖ رﺷﺪ ﻛﺮﻳﺴﺘﺎل ﻗﻄﻌﺔ ﻫﺪف و‬
‫ﻧﻮع ﻣﻜﺎﻧﻴﺰم ﺗﻮﻗﻒ ﻳﻮنﻫﺎ ﻣﻲ ﺑﺎﺷﺪ]‪ .[1‬ﺑﻪ ﻃﻮر ﻛﻠﻲ ﻣﻲﺗﻮان ﺗﻐﻴﻴﺮات اﻧﺮژي ﻳﻮن ﺑﺮ واﺣﺪ ﻃﻮل‬
‫را ﺑﻪ ﺻﻮرت راﺑﻄﺔ ‪ 7- 4‬ﻧﻮﺷﺖ‪.‬‬
‫‪dE‬‬
‫= ) ‪S (E‬‬ ‫)‪= Sn ( E) + Se ( E‬‬
‫‪dx‬‬ ‫)‪(٧- ٧‬‬
‫ﻛﻞ ﻣﺴﺎﻓﺘﻲ ﻛﻪ ﻳﻮن ﺗﺎ ﺗﻮﻗﻒ ﻛﺎﻣﻞ ﻃﻲ ﻣﻲﻛﻨﺪ‪ ،R ،‬از راﺑﻄﺔ ‪ 7- 5‬ﺑﺪﺳﺖ ﻣﻲآﻳﺪ ﻛﻪ در اﻳﻦ راﺑﻄﻪ‬
‫‪ Eo‬اﻧﺮژي اوﻟﻴﺔ ﻳﻮن اﺳﺖ ‪.‬‬

‫‪R‬‬ ‫‪E‬‬ ‫‪0‬‬


‫‪dE‬‬
‫= ‪R‬‬ ‫∫‬ ‫= ‪dx‬‬ ‫∫‬ ‫)‪(٧- ٨‬‬
‫‪0‬‬ ‫‪0‬‬
‫‪S‬‬ ‫‪n‬‬ ‫) ‪(E ) + S e(E‬‬
‫اﮔﺮ ﻓﺮض ﻛﻨﻴﻢ ﻗﻄﻌﻪ ﻫﺪف آﻣﻮرف اﺳﺖ‪ ،‬ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ اﻳﻨﻜﻪ ﻣﺴﻴﺮ ﻃﻲ ﺷﺪه و ﺑﺮﺧﻮردﻫﺎﻳﻲ ﻛﻪ ﻫﺮ‬
‫ذره دارد ﺗﺼﺎدﻓﻲ اﺳﺖ ﻋﻤﻖ ﻧﻔﻮذ ﻧﻴﺰ از ﻳﻚ ﺗﻮزﻳﻊ ﺗﺼﺎدﻓﻲ ﺗﺒﻌﻴﺖ ﺧﻮاﻫﺪ ﻛﺮد‪ .‬ﺗﺌﻮري ‪ LSS‬ﺑﺎ اﻳﻦ‬
‫‪277‬‬
‫ﻓﺮض ﻛﻪ ﻗﻄﻌﻪ ﻛﺎﻣﻼً آﻣﻮرف ﺑﺎﺷﺪ و اﻧﺪازه و اﻧﺮژي ذرات ﺑﻴﺶ از اﻧﺪازه ﺑﺰرگ ﻳﺎ ﻛﻮﭼﻚ ﻧﺒﺎﺷﺪ‪،‬‬
‫ﻧﺸﺎن ﻣﻲدﻫﺪ ﻛﻪ در ﻧﻬﺎﻳﺖ ﺗﻮزﻳﻊ ﻧﺎﺧﺎﻟﺼﻲ‪ ،‬ﮔﻮﺳﻲ ﺧﻮاﻫﺪ ﺑﻮد‪ .‬در ﺗﻮزﻳﻊ ﮔﻮﺳﻲ‪ ،‬ﭼﮕﺎﻟﻲ ﻧﺎﺧﺎﻟﺼﻲ‬
‫ﺑﻪ ﺻﻮرت راﺑﻄﺔ ‪ 7- 6‬اﺳﺖ ﻛﻪ در اﻳﻦ راﺑﻄﻪ ‪ Q‬دوز‪ ١ 1‬ﻳﺎ ﻣﻘﺪار ﻧﺎﺧﺎﻟﺼﻲ ﺗﺰرﻳﻖ ﺷﺪه در واﺣﺪ ﺳﻄﺢ‬
‫ﻗﻄﻌﺔ ﻫﺪف اﺳﺖ و ‪ Rp‬ﻣﺘﻮﺳﻂ ﻋﻤﻖ ﻧﻔﻮذ ﻳﻮنﻫﺎ اﺳﺖ‪..‬‬

‫= )‪N ( x‬‬
‫‪Q‬‬
‫‪exp(−‬‬
‫(‬ ‫)‪x − Rp‬‬
‫‪2‬‬
‫)‬
‫)‪(٧- ٩‬‬
‫‪2π ∆Rp‬‬ ‫‪2∆Rp 2‬‬

‫ﻣﺘﻮﺳﻂ ﻋﻤﻖ ﻧﻔﻮذ ﻳﻮنﻫﺎ ﺑﻪ ﻛﻤﻚ راﺑﻄﺔ ﺗﻘﺮﻳﺒﻲ ‪ 7- 7‬ﻗﺎﺑﻞ ﻣﺤﺎﺳﺒﻪ اﺳﺖ ‪.‬‬
‫)‪(٧- ١٠‬‬
‫‪R‬‬
‫= ‪Rp‬‬
‫‪1+ M 2 M1‬‬
‫ﻫﻤﭽﻨﻴﻦ اﻧﺤﺮاف ﻣﻌﻴﺎر از ﻋﻤﻖ ﻧﻔﻮذ )‪ (ΔRp‬ﺗﻮﺳﻂ راﺑﻄﺔ ﺗﻘﺮﻳﺒﻲ ‪ 7- 8‬ﺑﺪﺳﺖ ﻣﻲآﻳﺪ ‪.‬‬

‫‪2  M 1 M 2‬‬ ‫‪‬‬


‫)‪ Rp ( ٧- ١١‬‬
‫= ‪∆Rp‬‬
‫‪3  M 1 + M 2‬‬ ‫‪‬‬
‫‪‬‬
‫ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ ﻧﻮع ﻳﻮن ﺗﺎﺑﻴﺪه ﺷﺪه ﺑﻪ ﻣﺎده ﻣﻲﺗﻮان ﻣﻘﺎدﻳﺮ ‪ Rp‬و ‪ ΔRp‬را از روي ﮔﺮافﻫﺎﻳﻲ ﺑﺮ ﺣﺴﺐ‬
‫اﻧﺮژي اوﻟﻴﻪ ذرات ﺑﺪﺳﺖ آورد ]‪ .[2‬در ﺷﻜﻞﻫﺎي ‪ 7- 13‬و ‪ 7 - 14‬ﻣﻨﺤﻨﻲﻫﺎي ﻣﺘﻮﺳﻂ ﻋﻤﻖ ﻧﻔﻮذ و‬
‫اﻧﺤﺮاف ﻣﻌﻴﺎر از ﻋﻤﻖ ﻧﻔﻮذ ﺑﺮ ﺣﺴﺐ اﻧﺮژي ﻳﻮنﻫﺎ‪ ،‬ﺑﺮاي ﭼﻨﺪ ﻧﻤﻮﻧﻪ از ﻋﻨﺎﺻﺮ ﻣﺨﺘﻠﻒ آورده ﺷﺪه‬
‫اﺳﺖ‪.‬‬

‫ﺷﻜﻞ )‪ (7- 13‬ﻣﺘﻮﺳﻂ ﻋﻤﻖ ﻧﻔﻮذ ﺑﺮ ﺣﺴﺐ اﻧﺮژي ﻛﺎﺷﺖ ﻳﻮن ﺑﺮاي ﻋﻨﺎﺻﺮ ﻣﺨﺘﻠﻒ]‪[3‬‬

‫‪1 - Dose‬‬
‫‪278‬‬
‫ﺷﻜﻞ )‪ (7- 14‬اﻧﺤﺮاف ﻣﻌﻴﺎر ﻋﻤﻖ ﻧﻔﻮذ ﺑﺮ ﺣﺴﺐ اﻧﺮژي ﻛﺎﺷﺖ ﻳﻮن ﺑﺮاي ﻋﻨﺎﺻﺮ ﻣﺨﺘﻠﻒ]‪[3‬‬

‫‪ -9-8‬ﺗﻐﻴﻴﺮ ﻣﺪل ﺗﻮزﻳﻊ ﮔﻮﺳﻲ و ﺗﻄﺒﻴﻖ آن ﺑﺎ ﺗﺮاﻛﻢ ﻳﻮنﻫﺎ در ﻛﺮﻳﺴﺘﺎل‬


‫ﺗﻮزﻳﻊ ﭼﮕﺎﻟﻲ ﻧﺎﺧﺎﻟﺼﻲ ﭘﺲ از ﻛﺎﺷﺖ ﻳﻮن ﻛﺎﻣﻼً ﺑﻪ ﺻﻮرت ﻳﻚ ﺗﻮزﻳﻊ ﮔﻮﺳﻲ ﻧﻴﺴﺖ‪ .‬ﺑﺮاي ﻣﺜﺎل‬
‫ﻳﻮنﻫﺎي ﺳﺒﻚﺗﺮ ﻧﻈﻴﺮ ‪ B+‬ﭘﺲ از ﺑﺮﺧﻮرد‪ ،‬ﺑﺎ اﺣﺘﻤﺎل ﺑﻴﺸﺘﺮي ﺑﻪ ﻋﻘﺐ‪ ١3‬ﻣﻨﺤﺮف ﻣﻲ ﺷﻮﻧﺪ و ﺑﺎﻋﺚ‬
‫ﻣﻲﮔﺮدﻧﺪ ﺗﺎ اﻧﺤﺮاف ﻣﻌﻴﺎر ﻋﻤﻖ ﻧﻔﻮذ در ﺳﻤﺖ ﻧﺰدﻳﻚ ﺑﻪ ﺳﻄﺢ ﺗﺎﺑﺶ ﻳﻮن‪ ،‬ﺑﻴﺸﺘﺮ ﮔﺮدد و ﺗﻮزﻳﻊ‬
‫ﻧﺎﺧﺎﻟﺼﻲ ﺑﺮ ﺣﺴﺐ ﻓﺎﺻﻠﻪ از ‪ RP‬ﻧﺎﻣﺘﻘﺎرن ﻣﻲ ﮔﺮدد‪ .‬وﻟﻲ ﻳﻮنﻫﺎي ﺳﻨﮕﻴﻦﺗﺮ ﻧﻈﻴﺮ ‪ ،As‬ﺑﻴﺸﺘﺮ در‬
‫اﻋﻤﺎق ﻗﻄﻌﺔ ﻫﺪف ‪ x>RP‬ﺗﺠﻤﻊ ﻣﻲﻳ ﺎﺑﻨﺪ ]‪.[21‬‬
‫ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ ﻣﻄﺎﻟﺐ ﻓﻮق‪ ،‬ﺗﺌﻮري ‪ LSS‬ﺑﺮاي ﺗﻮﺻﻴﻒ ﺗﺮاﻛﻢ ﻧﺎﺧﺎﻟﺼﻲ ﺑﻪ روش ﮔﻮﺳﻲ ﻧﻴﺎزﻣﻨﺪ اﺻﻼﺣﺎﺗﻲ‬
‫اﺳﺖ ‪ ،‬ﻟﺬا ﺗﻮزﻳﻊ دﻳﮕﺮي ﺑﺎ ﻧﺎم ‪ Pearson Type IV‬ﺑﻴﺎن ﺑﻬﺘﺮي در اﻳﻦ ﻣﻮرد اراﺋﻪ ﻣﻲ ﻛﻨﺪ‪ .‬اﻳﻦ ﺗﻮزﻳﻊ‬
‫ﺑﻪ ﺻﻮرت راﺑﻄﺔ ‪ ٧- ٩‬ﺑﻴﺎن ﻣﻲﺷﻮد‪.[10،11] :‬‬
‫‪−m‬‬
‫‪  x − Rp n  2 ‬‬ ‫‪‬‬ ‫‪ x − Rp n ‬‬
‫‪f ( x) = k 1 + ‬‬ ‫‪−  ‬‬ ‫‪exp− n tan −1 ‬‬ ‫‪− ‬‬
‫)‪(٧- ١٢‬‬ ‫‪  A‬‬ ‫‪r  ‬‬ ‫‪‬‬ ‫‪ A‬‬ ‫‪r ‬‬

‫ﺑﺮاي ﺗﻌﻴﻴﻦ ﻣﻘﺎدﻳﺮ ‪ m ، r ، n ، A‬و ‪ k‬ﻣﻲﺗﻮاﻧﻴﺪ ﺑﻪ ﻣﺮاﺟﻊ ]‪ [10‬و ]‪.[11‬ﻣﺮاﺟﻌﻪ ﻛﻨﻴﺪ ‪.‬‬
‫رواﺑﻂ ‪ ، Pearson‬ﻣﺪلﻫﺎي دﻳﮕﺮي ﺑﺎ ﻋﻨﻮان ‪ type II ، type I‬و ‪ ...‬ﻧﻴﺰ دارد‪.‬‬
‫ﺷﻜﻞ ‪ 7- 15‬ﺗﻮزﻳﻊ ﻧﺎﻣﺘﻘﺎرن ﻧﺎﺧﺎﻟﺼﻲ در ﻛﺎﺷﺖ ﻳﻮن ‪ B+‬در ‪ Si‬آﻣﻮرف را ﺑﺮاي ﭼﻨﺪ اﻧﺮژي اوﻟﻴﻪ‬
‫ﻣﺨﺘﻠﻒ ﺑﺎ اﺳﺘﻔﺎده از ﻣﻌﺎدﻟﻪ ‪ Pearson Type IV‬ﻧﺸﺎن ﻣﻲدﻫﺪ‪ .‬در اﻳﻦ ﺷﻜﻞ ﻧﻘﺎط روي ﺷﻜﻞ ﺑﻴﺎﻧﮕﺮ‬

‫‪1 - Back scattering‬‬


‫‪279‬‬
‫ﻣﻘﺎدﻳﺮ اﻧﺪازه ﮔﻴﺮي ﺷﺪة ﻏﻠﻈﺖ ﻧﺎﺧﺎﻟﺼﻲ در اﻋﻤﺎق ﻣﺨﺘﻠﻒ ﻗﻄﻌﺔ ﻫﺪف ﭘﺲ از اﻧﺠﺎم ﻛﺎﺷﺖ ﻳﻮن‬
‫ﻣﻲﺑﺎﺷﺪ و ﻣﻨﺤﻨﻲﻫﺎي ﻣﺸﻜﻲ رﻧﮓ ﻧﻴﺰ ﭘﻴﺶ ﺑﻴﻨﻲ ﻣﺪل ‪ Pearson Type IV‬ﺑﺮاي ﺗﻮﺻﻴﻒ ﺗﺮاﻛﻢ‬
‫ﻧﺎﺧﺎﻟﺼﻲ را ﻧﺸﺎن ﻣﻲدﻫﺪ‪.‬‬

‫ﺷﻜﻞ )‪ (7- 15‬ﺗﻮزﻳﻊ ﻧﺎ ﻣﺘﻘﺎرن ﻧﺎﺧﺎﻟﺼﻲ در ﻛﺎﺷﺖ ﻳﻮن ‪ B+‬در ‪ Si‬آﻣﻮرف‪ ،‬ﺑﺮاي ﭼﻨﺪ اﻧﺮژي اوﻟﻴﻪ ﻣﺨﺘﻠﻒ]‪[4‬‬

‫ﺷﻜﻞ ‪ 7- 16‬ﻣﻴﺰان ﻧﻔﻮذ ﺑﺮن را در ﻣﻮاد ﻣﺨﺘﻠﻒ ﻧﺸﺎن ﻣﻲدﻫﺪ‪.‬‬

‫ﺷﻜﻞ ‪7- 16‬ﻣﻴﺰان ﻧﻔﻮذ ﺑﺮن در ﻣﻮاد ﻣﺨﺘﻠﻒ‬

‫‪280‬‬
‫اﻳﺠﺎد ﻧﻘﺺ در اﺛﺮ ﻛﺎﺷﺖ ﻳﻮن‬ ‫‪-10-8‬‬
‫در ﻃﻲ ﻣﺮﺣﻠﺔ ﻛﺎﺷﺖ ﻳﻮن در ﻳﻚ ﺷﺒﻜﺔ ﻛﺮﻳﺴﺘﺎﻟﻲ ‪ ،‬ﺑﺮﺧﻮرد ﻳﻮنﻫﺎ ﺑﺎ اﺗﻢﻫﺎي ﺷﺒﻜﻪ ﻣﻨﺠﺮ ﺑﻪ‬
‫ﻧﻘﺺﻫﺎﻳﻲ در ﺷﺒﻜﺔ ﻛﺮﻳﺴﺘﺎل ﻣﻲﮔﺮدد‪ .‬ﺑﻪ ﻃﻮر ﻛﻠﻲ ﺳﻪ ﻧﻮع ﻧﻘﺺ ﻣﻤﻜﻦ اﺳﺖ اﻳﺠﺎد ﮔﺮدد‪ .‬اوﻟﻴﻦ‬
‫ﻧﻮع ﻧﻘﺺ ﻛﻪ ﺑﻪ ‪ Lattice Damage‬ﻣﺸﻬﻮر اﺳﺖ ‪ ،‬ﻫﻨﮕﺎﻣﻲ رخ ﻣﻲدﻫﺪ ﻛﻪ ﻳﻮن ﻧﺎﺧﺎﻟﺼﻲ ﺑﻪ اﺗﻢ‬
‫ﻣﻴﺰﺑﺎن ﺑﺮﺧﻮرد ﻛﺮده و آن را از ﻣﺤﻞ اﺳﺘﻘﺮارش در ﺷﺒﻜﻪ ﺟﺎ ﺑﺠﺎ ﻣﻲﻛﻨﺪ ‪ .‬ﻧﻮع دوم ﻛﻪ ‪Damage‬‬

‫‪ Cluster‬ﻧﺎﻣﻴﺪه ﻣﻲ ﺷﻮد‪ ،‬زﻣﺎﻧﻲ اﺗﻔﺎق ﻣﻲاﻓﺘﺪ ﻛﻪ ﺧﻮد اﺗﻢﻫﺎي ﺷﺒﻜﻪ ﻛﻪ در اﺛﺮ ﺑﺮﺧﻮرد ﻳﻮنﻫﺎي‬
‫ﻧﺎﺧﺎﻟﺼﻲ‪ ،‬اﻧﺮژﻳﺸﺎن اﻓﺰاﻳﺶ ﻳﺎﻓﺘﻪ اﺳﺖ ﺑﻪ ﺳﺎﻳﺮ اﺗﻢﻫﺎي ﺷﺒﻜﻪ ﺑﺮﺧﻮرد ﻛﺮده و ﺑﻪ ﺻﻮرت ﺧﻮﺷﻪ وار‬
‫ﺳﺎﻳﺮ اﺗﻢﻫﺎي ﻣﻴﺰﺑﺎن را ﺟﺎ ﺑﺠﺎ ﻧﻤﺎﻳﻨﺪ‪ .‬ﻧﻮع ﺳﻮم ﻧﺎﻛﺎﻣﻠﻲ ﻛﻪ ﻣﺘﺪاولﺗﺮﻳﻦ ﻧﻘﺼﻲ اﺳﺖ ﻛﻪ روش‬
‫ﻛﺎﺷﺖ ﻳﻮن اﻳﺠﺎد ﻣﻲ ﻛﻨﺪ ﺑﻪ ‪ Vacancy-Interstitial‬ﻣﻌﺮوف اﺳﺖ ‪ .‬در اﻳﻦ ﺣﺎﻟﺖ اﺗﻢﻫﺎي ﻣﻴﺰﺑﺎن در‬
‫اﺛﺮ ﺑﺮﺧﻮرد ﻳﻮنﻫﺎ از ﻣﺤﻞ اﺳﺘﻘﺮارﺷﺎن در ﺷﺒﻜﻪ ﺧﺎرج ﮔﺮدﻳﺪه و ﺑﻪ ﺻﻮرت ﻳﻚ ﻧﺎﻛﺎﻣﻠﻲ ﺑﻴﻦ‬
‫اﺗﻤﻲ)‪ ( Interstitial‬و ﻧﻴﺰ ﻳﻚ ﺟﺎي ﺧﺎﻟﻲ )‪ ( Vacancy‬در ﺷﺒﻜﻪ ﻇﺎﻫﺮ ﻣﻲﺷﻮﻧﺪ]‪.[1‬‬
‫ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ ﻣﻄﺎﻟﺐ ﻓﻮق‪ ،‬ﻳﻮنﻫﺎ در اﺛﺮ ﺑﺮﺧﻮرد ﺑﺎ اﺗﻢﻫﺎي ﺷﺒﻜﻪ ﻣﻲﺗﻮاﻧﻨﺪ درﺧﺘﻲ از ﺧﺮاﺑﻲ در داﺧﻞ‬
‫ﻗﻄﻌﺔ ﻫﺪف اﻳﺠﺎد ﻧﻤﺎﻳﻨﺪ‪ .‬در ﺷﻜﻞﻫﺎي ‪ 7- 16‬و ‪ 7- 17‬ﻧﻤﺎﻳﻲ از ﻧﺤﻮة اﻳﺠﺎد ﻧﺎﻛﺎﻣﻠﻲ در ﺷﺒﻜﻪ‬
‫ﻧﺸﺎن داده ﺷﺪه اﺳﺖ‪.‬‬

‫ﺷﻜﻞ )‪ (7- 16‬ﺑﺮﺧﻮرد ﻳﻮن ﺑﻪ اﺗﻢﻫﺎي ﺳﻴﻠﻴﻜﻮن و ﺟﺎ ﺑﺠﺎﻳﻲ اﺗﻢﻫﺎ و اﻧﺤﺮاف ﻳﻮن از ﻣﺴﻴﺮ ﺣﺮﻛﺘﺶ ]‪[3‬‬
‫ﻫﻤﺎن ﻃﻮر ﻛﻪ ﮔﻔﺘﻪ ﺷﺪ ﻳﻮنﻫﺎي ﻛﻮﭼﻚ و ﭘﺮ اﻧﺮژي‪ ،‬ﺑﻴﺸﺘﺮ اﻧﺮژي ﺧﻮد را از ﻃﺮﻳﻖ ﺗﻘﺎﺑﻞﻫﺎي‬
‫ﻛﻮﻟﻨﻲ از دﺳﺖ ﻣﻲدﻫﻨﺪ ﻟﺬا در اﺑﺘﺪاي ورود ﺑﻪ ﻣﺎده ﻛﻤﺘﺮ ﺑﺎﻋﺚ اﻳﺠﺎد ﻧﻘﺺ در ﺷﺒﻜﺔ ﻣﻴﺰﺑﺎن‬
‫ﻣﻲﺷﻮﻧﺪ‪ .‬وﻟﻲ در ﭘﺎﻳﺎن ﻣﺴﻴﺮ ﺧﻮد ﻛﻪ ﻣﻘﺪاري از اﻧﺮژي ﺧﻮد را از دﺳﺖ دادهاﻧﺪ ﻣﻲﺗﻮاﻧﻨﺪ ﺑﺎ‬
‫ﺑﺮﺧﻮرد ﻣﺆﺛﺮ ﺑﺎ ﻫﺴﺘﻪﻫﺎ ﺧﺮاﺑﻲﻫﺎﻳﻲ را ﺑﻪ وﺟﻮد آورﻧﺪ‪ .‬اﻟﺒﺘﻪ اﻧﺮژي اﻳﻦ ﺑﺮﺧﻮردﻫﺎ و ﺣﺠﻢ ﻧﺎﺣﻴﻪ‬
‫ﺧﺮاب ﺷﺪه ﭼﻨﺪان زﻳﺎد ﻧﻴﺴﺖ‪.‬‬

‫‪281‬‬
‫ﺷﻜﻞ )‪ (7- 17‬ﺣﺮﻛﺖ ﺗﺼﺎدﻓﻲ ذرات ﺑﻮر ﻫﻨﮕﺎم ﻛﺎﺷﺖ در ﺳﻴﻠﻴﻜﻮن‪ .‬ﺗﺤﻠﻴﻞ ﺑﻪ روش ‪[11]Monte Carlo‬‬

‫در ﻣﻘﺎﺑﻞ‪ ،‬ﻳﻮنﻫﺎي ﺑﺰرگ از ﻫﻤﺎن اﺑﺘﺪاي ورود ﺑﺎ ﺑﺮﺧﻮرد ﺑﻪ ﻫﺴﺘﺔ اﺗﻢﻫﺎي ﻣﻴﺰﺑﺎن‪ ،‬ﺑﺎﻋﺚ‬
‫ﺧﺮاﺑﻲﻫﺎي وﺳﻴﻊ در ﺷﺒﻜﺔ ﻛﺮﻳﺴﺘﺎﻟﻲ ﻣﻲ ﺷﻮﻧﺪ‪ .‬در اﻳﻦ ﺣﺎﻟﺖ وﺳﻌﺖ و ﻣﻘﺪار ﺧﺮاﺑﻲ آن ﻗﺪر زﻳﺎد‬
‫اﺳﺖ ﻛﻪ ﻣﻲﺗﻮاﻧﺪ ﺳﻄﺢ ﻗﻄﻌﻪ ﻫﺪف را از ﺣﺎﻟﺖ ﻛﺮﻳﺴﺘﺎﻟﻲ ﺧﺎرج ﻛﺮده و ﺑﻪ ﺣﺎﻟﺖ آﻣﻮرف درآورد‪.‬‬
‫در ﻛﺎرﺑﺮدﻫﺎﻳﻲ ﻛﻪ ﻻزم ﺑﺎﺷﺪ ﺗﺎ ﺳﻄﺢ ﻗﻄﻌﺔ ﻫﺪف ﺑﻪ ﺣﺎﻟﺖ آﻣﻮرف در آﻳﺪ‪ ،‬ﺑﺎ اﺳﺘﻔﺎده از ﺑﻤﺒﺎران‬
‫ﺳﻄﺢ‪ ،‬ﺗﻮﺳﻂ ﻳﻮنﻫﺎي ﺳﻨﮕﻴﻦ و ﭘﺮ اﻧﺮژي ﻧﻈﻴﺮ آرﮔﻮن ﻣﻲﺗﻮان ﺑﻪ اﻳﻦ ﻫﺪف دﺳﺖ ﻳﺎﻓﺖ‪.‬‬
‫در ﺷﻜﻞ ‪ 7- 18‬ﻣﺤﻞ اﻳﺠﺎد ﻧﻘﺺ در ﺷﺒﻜﻪ ﺑﺮاي ﻳﻚ ﻳﻮن ﺳﺒﻚ و ﻳﻚ ﻳﻮن ﺳﻨﮕﻴﻦ ﻣﻘﺎﻳﺴﻪ‬
‫ﮔﺮدﻳﺪه اﺳﺖ‪ .‬ﻫﻤﺎﻧﻄﻮر ﻛﻪ اﻧﺘﻈﺎر ﻣﻲرود درﺧﺖ ﺧﺮاﺑﻲ اﻳﺠﺎد ﺷﺪه ﺗﻮﺳﻂ ﻳﻮنﻫﺎي ﺑﺰرگ و ﻛﻮﭼﻚ‬
‫ﺑﺎ ﻳﻜﺪﻳﮕﺮ ﺗﻔﺎوت دارﻧﺪ و ﺻﺪﻣﺎت ﻧﺎﺷﻲ از ﻳﻮنﻫﺎي ﺳﻨﮕﻴﻦ ﺑﻪ ﻣﺮاﺗﺐ ﺑﻴﺸﺘﺮ اﺳﺖ‪.‬‬

‫‪282‬‬
‫ﺷﻜﻞ‪ (7- 18‬ﻣﻘﺎﻳﺴﺔ درﺧﺖ ﺧﺮاﺑﻲ اﻳﺠﺎد ﺷﺪه ﺗﻮﺳﻂ ﻳﻮنﻫﺎي ﺳﺒﻚ و ﺳﻨﮕﻴﻦ ]‪[3‬‬

‫ﺗﺮﻣﻴﻢ ﺣﺮارﺗﻲ )‪(Thermal Annealing‬‬ ‫‪-11-8‬‬


‫اﻳﺠﺎد ﻧﻘﺺ در ﺷﺒﻜﺔ ﻛﺮﻳﺴﺘﺎﻟﻲ ﺑﺎﻋﺚ اﻓﺖ ﺷﺪﻳﺪ ﻋﻤﻠﻜﺮد ﻗﻄﻌﻪ ﻣﻲ ﮔﺮدد‪ .‬ﺑﻪ ﻋﻨﻮان ﻣﺜﺎل ﺑﺎ ﻛﺎﻫﺶ‬
‫ﻧﻈﻢ و ﻳﻜﻨﻮاﺧﺘﻲ ﺷﺒﻜﻪ ‪ ،‬ﺑﺎﻋﺚ اﻓﺰاﻳﺶ ﺟﺮﻳﺎن ﻧﺸﺘﻲ و ﻛﺎﻫﺶ وﻟﺘﺎژ ﺷﻜﺴﺖ ﻣﻲﮔﺮدد‪ .‬ﻟﺬا ﺑﺎﻳﺪ‬
‫ﺑﺮاي ﺑﺎزﮔﺮداﻧﺪن اﺗﻢﻫﺎي ﺷﺒﻜﻪ در ﻣﺤﻞﻫﺎﻳﺸﺎن و ﺑﻬﺒﻮد ﻋﻤﻠﻜﺮد ﻣﺪار ﭼﺎرهاي اﻧﺪﻳﺸﻴﺪ‪ .‬روﺷﻲ‬
‫ﺑﻨﺎم ﺗﺮﻣﻴﻢ ﺣﺮارﺗﻲ )‪ (Thermal Annealing‬در ﻛﻮرهﻫﺎي ﻧﻔﻮذ و در دﻣﺎﻳﻲ ﭘﺎﻳﻴﻦﺗﺮ از دﻣﺎي ﻓﺮاﻳﻨﺪ‬
‫ﻧﻔﻮذ ﺑﺮاي ﺗﺮﻣﻴﻢ ﺷﺒﻜﻪ ﭘﻴﺸﻨﻬﺎد ﮔﺮدﻳﺪه اﺳﺖ ‪.‬‬
‫در اﻳﻦ روش ﻗﻄﻌﻪ را در ﻛﻮرة ﻧﻔﻮذ ﺑﺎ اﺗﻤﺴﻔﺮ ﻫﻴﺪروژن و در دﻣﺎﻳﻲ ﺑﻴﻦ ‪ 600‬اﻟﻲ ‪ 1000‬درﺟﺔ‬
‫ﺳﺎﻧﺘﻲﮔﺮاد ﺑﻪ ﻣﺪت ‪ 15‬اﻟﻲ ‪ 30‬دﻗﻴﻘﻪ ﻗﺮار ﻣﻲدﻫﻨﺪ]‪ .[1‬ﺗﻌﻴﻴﻦ دﻗﻴﻖ دﻣﺎ و ﻣﺪت زﻣﺎن ﭘﺮوﺳﻪ‬
‫ﺗﻮﺳﻂ ﺟﺪاول ﻣﻌﻴﻨﻲ ﺗﻌﻴﻴﻦ ﻣﻲﺷﻮد‪.‬‬

‫‪283‬‬
‫روش دﻳﮕﺮي ﺑﻨﺎم ﻓﺮاﻳﻨﺪ ﺣﺮارﺗﻲ ﺳﺮﻳﻊ )‪ (RTP‬ﻧﻴﺰ وﺟﻮد دارد ﻛﻪ از ﻃﺮﻳﻖ ﺗﺎﺑﺶ ﺣﺮارﺗﻲ ﺑﻪ ﺳﻄﺢ‬
‫در ﻣﺪت زﻣﺎن ﻛﻤﺘﺮي ﻧﺴﺒﺖ ﺑﻪ ﺗﺮﻣﻴﻢ ﺣﺮارﺗﻲ ‪ ،‬ﺗﺮﻣﻴﻢ را اﻧﺠﺎم ﻣﻲدﻫﺪ‪ .‬ﺑﻪ ﻋﻨﻮان ﻳﻚ ﻣﻌﻴﺎر‬
‫ﺳﺮاﻧﮕﺸﺘﻲ ﻗﻄﻌﻪ ﺑﻪ ﻣﺪت ‪ 30‬ﺛﺎﻧﻴﻪ در دﻣﺎي ‪ 1150‬درﺟﺔ ﺳﺎﻧﺘﻲ ﮔﺮاد ﻗﺮار ﻣﻲﮔﻴﺮد‪ .‬ﺣﺴﻦ اﻳﻦ روش‬
‫ﺳﺮﻋﺖ ﺑﺎﻻي ﻓﺮاﻳﻨﺪ اﺳﺖ و اﻳﻨﻜﻪ اﺗﻢﻫﺎي دور از ﺳﻄﺢ ﻓﺮﺻﺖ ﻧﻤﻲﻳﺎﺑﻨﺪ ﺗﺎ دﻣﺎﻳﺸﺎن ﺑﺎﻻ رود و‬
‫ﭘﺮوﻓﺎﻳﻞ ﻧﺎﺧﺎﻟﺼﻲ را ﺑﺮ ﻫﻢ ﺑﺰﻧﻨﺪ]‪.[1،3‬‬
‫در ﺻﻮرﺗﻴﻜﻪ ﺳﻄﺢ وﻳﻔﺮ ﻳﻚ ﻻﻳﺔ آﻣﻮرف ﺑﺎﺷﺪ ﻣﻲﺗﻮان ﻧﻘﺺﻫﺎ را ﺑﺎ ﻳﻚ ﻓﺮاﻳﻨﺪ ﻛﺎﺷﺖ ﻳﻮن ﻣﺠﺪد‪،‬‬
‫ﺗﺮﻣﻴﻢ ﻛﺮد‪ .‬در اﻳﻦ ﺣﺎﻟﺖ از ﻳﻮنﻫﺎي ﺳﺒﻜﻲ ﻧﻈﻴﺮ اﻛﺴﻴﮋن ﻳﺎ ﻧﺌﻮن اﺳﺘﻔﺎده ﻣﻲﺷﻮد]‪.[1‬‬
‫ﺑﺎﻳﺪ ﺗﻮﺟﻪ داﺷﺖ ﻛﻪ ﻓﺮاﻳﻨﺪﻫﺎي ﺗﺮﻣﻴﻢ ﺣﺮارﺗﻲ‪ ،‬ﻃﺒﻖ ﻣﻜﺎﻧﻴﺰﻣﻲ ﻧﻈﻴﺮ ﻓﺮاﻳﻨﺪ ﻧﻔﻮذ ﺣﺮارﺗﻲ‪ ،‬ﭘﺮوﻓﻴﻞ‬
‫ﻧﺎﺧﺎﻟﺼﻲ را ﺗﻐﻴﻴﺮ ﻣﻲدﻫﻨﺪ ‪ .‬اﻟﺒﺘﻪ ﻣﺘﻮﺳﻂ ﻋﻤﻖ ﻧﻔﻮذ ﺗﻐﻴﻴﺮ ﻧﻤﻲﻛﻨﺪ وﻟﻲ اﻧﺤﺮاف ﻣﻌﻴﺎر ﻋﻤﻖ ﻧﻔﻮذ ﺑﺮ‬
‫ﺣﺴﺐ دﻣﺎي ﻓﺮاﻳﻨﺪ‪ ،‬ﻧﻮع ﻧﺎﺧﺎﻟﺼﻲ ﺷﺒﻜﻪ و ﻣﺪت زﻣﺎن ﻓﺮاﻳﻨﺪ ﺗﻐﻴﻴﺮ ﻣﻲ ﻛﻨﺪ‪ .‬ﻛﻪ در راﺑﻄﺔ ‪٧- ١٠‬‬
‫ﭘﺮوﻓﻴﻞ ﺟﺪﻳﺪ ﭘﺲ از ﺗﺮﻣﻴﻢ ﺣﺮارﺗﻲ‪ ،‬در ﻳﻚ ﻓﺮاﻳﻨﺪ ﺑﺎ ﺗﻮزﻳﻊ ﮔﻮﺳﻲ ﻧﺸﺎن داده ﺷﺪه اﺳﺖ‪ .‬در اﻳﻦ‬
‫راﺑﻄﻪ ‪ D‬ﺿﺮﻳﺐ ﻧﻔﻮذ و ‪ t‬ﻣﺪت زﻣﺎن ﻓﺮاﻳﻨﺪ ﺗﺮﻣﻴﻢ ﺣﺮارﺗﻲ اﺳﺖ ‪.‬‬

‫= )‪N (x‬‬
‫‪Q‬‬ ‫‪‬‬
‫‪‬‬
‫‪exp  −‬‬
‫(‬ ‫) ‪x − Rp‬‬
‫‪2‬‬
‫‪‬‬
‫‪‬‬
‫‪2π‬‬ ‫‪∆ Rp 2 + 2 Dt‬‬ ‫(‬
‫‪ 2 ∆ Rp + Dt‬‬
‫‪2‬‬
‫)‬ ‫‪‬‬
‫‪‬‬
‫)‪(٧- ١٣‬‬

‫در ﺷﻜﻞ ‪ 7- 19‬ﺗﻐﻴﻴﺮ ﺗﻮزﻳﻊ ﻧﺎ ﺧﺎﻟﺼﻲ ﭘﺲ از اﻧﺠﺎم ﺗﺮﻣﻴﻢ ﺣﺮارﺗﻲ‪ ،‬ﻧﻤﺎﻳﺶ داده ﺷﺪه اﺳﺖ‪ .‬ﻣﺤﻮر‬
‫ﻋﻤﻮدي ﻧﻤﻮدار ﺑﻴﺎﻧﮕﺮ ﺗﻌﺪاد ﻳﻮنﻫﺎي ﻧﺎﺧﺎﻟﺼﻲ در واﺣﺪ ﺣﺠﻢ اﺳﺖ و ﻣﺤﻮر اﻓﻘﻲ ﺑﻴﺎﻧﮕﺮ ﻋﻤﻖ‬
‫ﻻزم ﺑﻪ ذﻛﺮ اﺳﺖ ﻛﻪ ﺳﻄﺢ زﻳﺮ ﻧﻤﻮدار ﭘﺮوﻓﻴﻞ و ‪ RP‬ﭘﺲ از ﺗﺮﻣﻴﻢ ﺣﺮارﺗﻲ‬ ‫ﻛﺎﺷﺖ ﻳﻮنﻫﺎ اﺳﺖ‪.‬‬
‫ﺗﻐﻴﻴﺮ ﻧﻤﻲﻛﻨﺪ ‪ ،‬در ﺣﺎﻟﻴﻜﻪ ‪ ΔRp‬اﻓﺰاﻳﺶ و ﺣﺪاﻛﺜﺮ ﻏﻠﻈﺖ ) ﺑﻴﺸﻴﻨﺔ ﻧﻤﻮدار ( ﻛﺎﻫﺶ ﻣﻲﻳﺎﺑﺪ‪.‬‬

‫ﺷﻜﻞ )‪ (7- 19‬ﺗﻐﻴﻴﺮ ﺗﻮزﻳﻊ ﻧﺎﺧﺎﻟﺼﻲ ﭘﺲ از ﺗﺮﻣﻴﻢ ﺣﺮارﺗﻲ ]‪[ 22‬‬

‫‪284‬‬
‫ﻛﺎﻧﺎل زﻧﻲ)‪( Channeling‬‬ ‫‪-12-8‬‬
‫ﻳﻜﻲ دﻳﮕﺮ از ﻣﻮاردي ﻛﻪ ﺗﺌﻮري ‪ LSS‬ﻗﺎدر ﺑﻪ ﺗﻮﺟﻴﻪ آن ﻧﻴﺴﺖ ﭘﺪﻳﺪه ﻛﺎﻧﺎل زﻧ ﻲ )‪ (channeling‬اﺳﺖ‪.‬‬
‫ﺑﺮاي ﺑﻴﺎن رواﺑﻂ ﻣﺮﺑﻮط ﺑﻪ ﺗﻮزﻳﻊ ﻳﻮنﻫﺎ در ﻗﻄﻌﺔ ﻫﺪف ﺑﺎ ﻓﺮض ﻳﻜﻨﻮاﺧﺖ و آﻣﻮرف ﺑﻮدن ﻗﻄﻌﺔ‬
‫ﻫﺪف ﺑﻪ ﺗﺎﺑﻊ ﺗﻮزﻳﻊ ﺗﺼﺎدﻓﻲ ﮔﻮﺳﻲ رﺳﻴﺪﻳﻢ ‪ .‬ﻟﻜﻦ ﺟﺰ در ﻣﻮاردي ﻣﺎﻧﻨﺪ ﻛﺎﺷﺖ ﻳﻮن در ‪ SiO2‬و ﻳﺎ‬
‫‪ Si3N4‬ﺑﻴﺸﺘﺮ اوﻗﺎت ﺑﺎ ﻣﻮادي ﻣﺎﻧﻨﺪ ﺳﻴﻠﻴﺴﻴﻮم ﺑﺎ ﺳﺎﺧﺘﺎر ﻛﺮﻳﺴﺘﺎﻟﻲ ﺳﺮ و ﻛﺎر دارﻳﻢ‪ .‬ﺑﺎ ﻧﮕﺎه ﺑﻪ ﺳﻄﺢ‬
‫ﻛﺮﻳﺴﺘﺎلﻫﺎ از ﺟﻬﺎت ﺧﺎﺻﻲ ﻣﺜﻞ > ‪ < 110‬و ﻳ ﺎ >‪ < 111‬ﻣﺘﻮﺟﻪ ﻣﻲﺷﻮﻳﻢ ﻣﻴﺎن ﺷﺒﻜﻪ ﻣﻨﻈﻢ ﻛﺮﻳﺴﺘﺎﻟﻲ‬
‫ﻛﺎﻧﺎلﻫﺎي ﻛﺎﻣﻼً ﻣﺴﺘﻘﻴﻤﻲ وﺟﻮد دارد ﻛﻪ ﺧﺼﻮﺻﺎً ﻳﻮنﻫﺎي ﻛﻮﭼﻚ ﻣﻲﺗﻮاﻧﻨﺪ در آن ﻛﺎﻧﺎلﻫﺎ ﺑﻪ‬
‫راﺣﺘﻲ ﺑﻪ راه ﺧﻮد اداﻣﻪ دﻫﻨﺪ)ﺷﻜﻞ ‪ (7- 20‬و در ﻧﺘﻴﺠﻪ ﻣﺴﺎﻓﺖ ﺑﺴﻴﺎر ﻃﻮﻻﻧﻲﺗﺮي را ﻧﺴﺒﺖ ﺑﻪ‬
‫ﻣﺴﺎﻓﺖ ﺗﻨﻈﻴﻢ ﺷﺪه ﺑﺮاي اﺳﺘﻘﺮار ﻳﻮن ﻫﺎ‪ ،‬ﻃﻲ ﻛﻨﻨﺪ‪.‬‬

‫ﺷﻜﻞ )‪ (7- 20‬اﻟﻒ (‬

‫‪285‬‬
‫ﺷﻜﻞ )‪ (7- 20‬ب( روزﻧﻪﻫﺎي ﻣﻮﺟﻮد در ﺷﺒﻜﺔ ﻛﺮﻳﺴﺘﺎﻟﻲ در ﺟﻬﺖﻫﺎي رﺷﺪ ﻣﺨﺘﻠﻒ ]‪[3‬‬

‫در ﺷﻜﻞ ‪ 7- 21‬ﻧﺤﻮة ﺣﺮﻛﺖ ﻳﻚ ﻳﻮن را در ﻣﻴﺎن روزﻧﺔ ﺑﻴﻦ اﺗﻢﻫﺎي ﺷﺒﻜﺔ ﻛﺮﻳﺴﺘﺎل ﻧﺸﺎن‬
‫ﻣﻲدﻫﺪ‪ .‬در ﻧﺘﻴﺠﻪ ﺗﻮزﻳﻊ ﻧﺎﺧﺎﻟﺼﻲ از ﺣﺎﻟﺖ ﮔﻮﺳﻲ ﺧﺎرج ﺷﺪه و ﺑﻪ ﺻﻮرت ﺷﻜ ﻞ ‪ 7- 12‬در ﻣﻲآﻳﺪ ‪.‬‬

‫ﺷﻜﻞ )‪ (7- 21‬ﻧﺤﻮة ﺣﺮﻛﺖ ﻳﻮن در روزﻧﻪﻫﺎي ﺷﺒﻜﺔ ﻛﺮﻳﺴﺘﺎﻟﻲ ﺑﺮ ﺣﺴﺐ زاوﻳﺔ ورودش ﺑﻪ داﺧﻞ ﺷﺒﻜﻪ]‪[3‬‬

‫‪286‬‬
‫ﺷﻜﻞ )‪ (7- 22‬ﺗﻐﻴﻴﺮ ﺗﻮزﻳﻊ ﻧﺎﺧﺎﻟﺼﻲ ﺑﻪ ﺳﺒﺐ اﺛﺮ ﻛﺎﻧﺎل زﻧﻲ ]‪[3‬‬

‫ﻳﻮنﻫﺎي ﻛﻮﭼﻚ ﺑﻪ راﺣﺘﻲ ﻣﻲﺗﻮاﻧﻨﺪ ﺗﺎ ﻋﻤﻖ زﻳﺎد ﻧﻔﻮذ ﻛﻨﻨﺪ وﻟﻲ ﻳﻮنﻫﺎي ﺑﺰرگ ﭼﻮن ﺑﺎﻋﺚ ﺧﺮاﺑﻲ‬
‫و آﻣﻮرف ﺷﺪن ﻣﺎده در ﻣﺴﻴﺮ ﺧﻮد ﻣﻲﺷﻮﻧﺪ ﺗﺎﺑﻊ ﺗﻮزﻳﻊ ﻧﺎﺧﺎﻟﺼﻲ ﻧﺎﺷﻲ از ﻧﻔﻮذ آﻧﻬﺎ ﺑﻪ ﺣﺎﻟﺖ‬
‫ﮔﻮﺳﻲ ﻧﺰدﻳﻚﺗﺮ اﺳﺖ‪ .‬اﻟﺒﺘﻪ در ﻫﺮ ﺻﻮرت ﻧﻘﻄﻪ ﻗﻠﻪ ﻧﻤﻮدار ﺗﻮزﻳﻊ ﺟﺎ ﺑﺠﺎ ﻧﺨﻮاﻫﺪ ﺷﺪ‪.[1،2] .‬‬
‫اﻳﻦ ﭘﺪﻳﺪه ﺑﺎﻋﺚ ﻣﻲﺷﻮد ﻛﻪ اﮔﺮﭼﻪ ﻣﻘﺪار دوز ﺗﻐﻴﻴﺮي ﻧﻜﺮده اﺳﺖ وﻟﻲ ﻣﻘﺪار ‪ ، µ‬ﺗﺤﺮك ﭘﺬﻳﺮي‬
‫ﺣﺎﻣﻞﻫﺎ‪ ،‬در ﺗﻮزﻳﻊﻫﺎﻳﻲ ﻛﻪ ﻛﺎﻧﺎل زﻧﻲ دارﻧﺪ از ‪ µ‬ﺗﻮزﻳﻊ ﮔﻮﺳﻲ ﻛﻤﺘﺮ ﺑﺎﺷﺪ‪ .‬اﻳﻦ ﻣﺴﺄﻟﻪ ﻣﺸﻜﻼﺗﻲ را‬
‫در ﻃﺮاﺣﻲ و اﺟﺮاي ﻣﻘﺎوﻣﺖﻫﺎ و ﻧﻴﺰ ﭘﺮوﻓﺎﻳﻞﻫﺎي وﻳﮋه ﺑﻪ وﺟﻮد ﻣﻲآورد]‪.[3‬‬
‫ﺑﺮاي ﺟﻠﻮﮔﻴﺮي از اﻳﻦ ﭘﺪﻳﺪه ﻫﻨﮕﺎم ﺗﺎﺑﺶ ﭘﺮﺗﻮ ﻳﻮﻧﻲ ﺳﻌﻲ ﻣﻲﻛﻨﻨﺪ ﭘﺮﺗﻮ را ﻧﺴﺒﺖ ﺑﻪ ﺟﻬﺎت اﺻﻠﻲ ﺑﺎ‬
‫اﻧﺪﻛﻲ زاوﻳﻪ ﺑﺘﺎﺑﺎﻧﻨﺪ‪ .‬ﻛﻢﺗﺮﻳﻦ زاوﻳﻪاي ﻛﻪ ﺑﺎﻋﺚ ﻣﻲﺷﻮد اﺛﺮ ﻛﺎﻧﺎل زﻧﻲ از ﺑﻴﻦ ﺑﺮود زاوﻳﻪ ﺑﺤﺮاﻧﻲ‪،‬‬
‫‪ αcr‬ﻧﺎﻣﻴﺪه ﻣﻲ ﺷﻮد و ﺑﺎ ‪ 1 E 0‬ﻣﺘﻨﺎﺳﺐ اﺳﺖ و ﺑﺮاي اﻧﺮژيﻫﺎي ﻣﻌﻤﻮل اﺳﺘﻔﺎده ﺷﺪه در ﻛﺎﺷﺖ‬
‫ﻳﻮن ﻳﻌﻨﻲ ‪ ، 30 keV – 300 keV‬در ﺣﺪود ‪ 2‬ﺗﺎ ‪ 7‬درﺟﻪ اﺳﺖ]‪.[2‬‬
‫اﻟﺒﺘﻪ رﻋﺎﻳﺖ اﻳﻦ زاوﻳﻪ ﻫﻤﻮاره ﻛﺎﻓﻲ ﻧﻴﺴﺖ زﻳﺮا اوﻻً زاوﻳﻪ دﻳﺪ ﭘﺮﺗﻮ را ﻋﻮض ﻣﻲﻛﻨﺪ و ﻣﻤﻜﻦ اﺳﺖ‬
‫ﺑﺮﺧﻲ ﻗﺴﻤﺖﻫﺎي ﺳﻄﺢ ﻫﺪف در ﺳﺎﻳﻪ ﻣﺎﺳﻚ ﻛﺎﺷﺖ ﻳﻮن ﻗﺮار ﮔﻴﺮﻧﺪ‪ .‬در ﺷﻜﻞ ‪ 7- 23‬ﻧﻤﻮﻧﻪاي از‬
‫ﻣﻤﺎﻧﻌﺖ ﻻﻳﺔ ﻣﺎﺳﻚ )‪ ، (Mask Shadowing‬ﻣﺸﺎﻫﺪه ﻣﻲ ﮔﺮدد‪ .‬اﻳﻦ ﭘﺪﻳﺪه ﺑﺎﻋﺚ ﻋﺪم ﻛﻨﺘﺮل ﭘﺬﻳﺮي‬
‫روي ﭘﺮوﻓﻴﻞ ﻧﺎﺧﺎﻟﺼﻲ ﻣﻲ ﮔﺮدد‪ .‬ﺑﺎ ﻛﻤﻚ ﺗﺮﻣﻴﻢ ﺣﺮارﺗﻲ ﻣﻲﺗﻮان ﺗﺎ ﺣﺪي اﻳﻦ ﻣﺸﻜﻞ را رﻓﻊ ﻛﺮد‪.‬‬

‫‪287‬‬
‫ﺷﻜﻞ)‪ (7- 23‬اﻳﺠﺎد اﺛﺮ ‪ Shadowing‬در اﺛﺮ زاوﻳﻪ دار ﺗﺎﺑﺎﻧﺪن ﭘﺮﺗﻮﻫﺎ ﺑﻪ ﺳﻄﺢ ﻗﻄﻌﻪ‬

‫ﻫﻤﭽﻨﻴﻦ ﮔﺎﻫﻲ ﺑﻪ دﻟﻴﻞ ﺑﺎز ﺷﺪن ﭘﺮﺗﻮﻫﺎي ﻳﻮﻧﻲ ﻫﻨﮕﺎم ﻧﺰدﻳﻚ ﺷﺪن ﺑﻪ ﻗﻄﻌﻪ‪ ،‬ﻛﻪ در اﺛﺮ داﻓﻌﻪ‬
‫ﻛﻮﻟﻨﻲ ﻳﻮنﻫﺎ و ﺑﺎر اﻟﻘﺎ ﺷﺪه روي ﺳﻄﺢ رخ ﻣﻲدﻫﺪ‪ ،‬و ﻳﺎ ﺑﻪ دﻟﻴﻞ ﺧﻤﻴﺪه ﺑﻮدن ﺳﻄﺢ ﻗﻄﻌﻪ‪ ،‬ﺟﻬﺖ‬
‫ﺣﺮﻛﺖ ﺑﺮﺧﻲ ﻳﻮنﻫﺎ ﺑﺎ ﺟﻬﺖ ﻛﺮﻳﺴﺘﺎﻟﻲ ﻳﻜﻲ ﻣﻲﺷﻮد و در ﺑﺮﺧﻲ ﻧﻮاﺣﻲ ﭘﺪﻳﺪه ﻛﺎﻧﺎل زﻧ ﻲ ﺑﺮوز‬
‫ﻣﻲﻛﻨﺪ‪).‬ﺷﻜﻞ ‪ ( 7- 24‬اﻳﻦ ﻋﺎﻣﻞ ﺑﺎﻋﺚ ﻣﻲﺷﻮد ﻣﻴﺎن ﻧﻮاﺣﻲ ﻣﺨﺘﻠﻒ ﻣﻘﺪار ﻣﻘﺎوﻣﺖ ﺳﻄﺤﻲ ﺗﺎ‬
‫ﺣﺪود ‪ 25%‬ﺗﻔﺎوت ﻛﻨﺪ ]‪.[3‬‬

‫ﺷﻜﻞ)‪ (7- 24‬اﻧﺤﺮاف ﭘﺮﺗﻮﻫﺎي ﺗﺎﺑﺶ از زاوﻳﺔ ﺗﻌﻴﻴﻦ ﺷﺪه‪ ،‬در اﺛﺮ ﭘﺮاﻛﻨﺪﮔﻲ ﻳﻮنﻫﺎ و ﻧﻴﺰ ﻧﺎﺻﺎﻓﻲ ﺳﻄﺢ ]‪[3‬‬

‫در ﻣﺠﻤﻮع ﺑﺮاي ﻛﺎﻫﺶ اﺣﺘﻤﺎل ﻛﺎﻧﺎل زﻧﻲ ﻛﺎرﻫﺎي زﻳﺮ را ﻣﻲﺗﻮان اﻧﺠﺎم داد]‪:[1،3‬‬
‫وﻳﻔﺮ را در ﺣﻴﻦ ﻓﺮاﻳﻨﺪ ﻛﺎﺷﺖ ﭼﺮﺧﺎﻧﺪ ﺗﺎ ﻣﻨﺎﻓﺬ ﻛﺮﻳﺴﺘﺎﻟﻲ از دﻳﺪ ﭘﺮﺗﻮ ﻳﻮﻧﻲ ﺑﺴﺘﻪ ﺷﻮﻧﺪ ‪.‬‬
‫ﻳﻚ ﻻﻳﺔ آﻣﻮرف ﻣﺎﻧﻨﺪ اﻛﺴﻴﺪ ﺳﻴﻠﻴﺴﻴﻮم روي ﺳﻄﺢ ﻧﺸﺎﻧﺪ ‪.‬‬

‫‪288‬‬
‫ﺳﻄﺢ را ﺑﺎ ﻛﺎﺷﺖ اوﻟﻴﻪ ﻣﻮاد ﺳﻨﮕﻴﻦ ﻣﺎﻧﻨﺪ ‪ Si, Ge‬و ‪ Ar‬ﻛﻪ ﺑﺮ روي ﺧﻮاص ﻗﻄﻌﻪ ﻫﺪف ﺑﻲ اﺛﺮ‬
‫ﻫﺴﺘﻨﺪ ﺑﻪ آﻣﻮرف ﺗﺒﺪﻳﻞ ﻛﺮد ‪.‬‬
‫اﺳﺘﻔﺎده از روش ﻧﺸﺎﻧﺪن ﻻﻳﻪ آﻣﻮرف ﻧﺴﺒﺖ ﺑﻪ ﺑﻘﻴﻪ روشﻫﺎ از ﻣﺰﻳﺖﻫﺎي ﺑﻴﺸﺘﺮي ﺑﺮﺧﻮردار اﺳﺖ‪،‬‬
‫زﻳﺮا ﻣﺎﺳﻚ )ﻓﻮﺗﻮ رزﻳﺴﺖ( ‪ P.R‬ﻣﺴﺘﻘﻴﻤﺎً ﺑﺮ روي ﻗﻄﻌﻪ ﻫﺪف ﻗﺮار ﻧﻤﻲﮔﻴﺮد و ﭘﺲ از ﻛﺎﺷﺖ ﻳﻮن ﺑﻪ‬
‫راﺣﺘﻲ از روي آن ﺑﺮداﺷﺘﻪ ﻣﻲ ﺷﻮد‪.‬ﻫﻤﭽﻨﻴﻦ از ﺗﺒﺨﻴﺮ ﻣﻮاد ﻓﺮار ﻣﺎﻧﻨﺪ ‪ As‬ﻃﻲ ﻓﺮاﻳﻨﺪ ﻛﺎﺷﺖ‬
‫ﺟﻠﻮﮔﻴﺮي ﻣﻲﻛﻨﺪ‪ .‬ﺑﺮاي اﻳﻦ ﻛﺎر ﻣﻲﺗﻮان ﺑﺠﺎي ﻓﻮﺗﻮرزﻳﺴﺖ از اﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻮن ‪ ،‬ﻧﻴﺘﺮﻳﺪ ﺳﻴﻠﻴﻜﻮن‬
‫و ﻳﺎ ﻳﻚ ﻻﻳﺔ ﻧﺎزك ﻓﻠﺰي ﻧﻈﻴ ﺮ آﻟﻮﻣﻴﻨﻴﻮم اﺳﺘﻔﺎده ﻛﺮد ]‪.[1،3‬‬

‫دﺳﺘﮕﺎه ﻛﺎﺷﺖ ﻳﻮن ﺑﺎ ﻣﻨﺒﻊ ﭘﻼﺳﻤﺎ‬ ‫‪-13-8‬‬


‫ﻳﻜﻲ از ﻣﻌﺎﻳﺐ اﺳﺘﻔﺎده از ﭘﺮﺗﻮ ﻳﻮن اﻳﻦ اﺳﺖ ﻛﻪ ﻫﺪف ﺣﺘﻤﺎً ﺑﺎﻳﺪ در ﺧﻂ دﻳﺪ آن ﺑﺎﺷﺪ در ﻏﻴﺮ اﻳﻦ‬
‫ﺻﻮرت ﻛﺎﺷﺖ ﻳﻮن در ﺷﻴﺎرﻫﺎي ﻋﻤﻴﻖ دﺷﻮار ﺧﻮاﻫﺪ ﺷﺪ و ﻗﺴﻤﺘﻲ از ﺳﻄﺢ در ﺳﺎﻳﻪ ﻣﺎﺳﻚ ﻗﺮار‬
‫ﺧﻮاﻫﺪ ﮔﺮﻓﺖ‪ .‬از ﻣﺸﻜﻼت دﻳﮕﺮ‪ ،‬ﻃﻮل زﻳﺎد دﺳﺘﮕﺎه و ﺟﺮﻳﺎن و ﺗﻮان ﻛﻢ آن اﺳﺖ زﻳﺮا ﺑﺮﺧﻲ از‬
‫ﻳﻮنﻫﺎ در اﺛﺮ ﺑﺮﺧﻮرد ﺑﺎ ﺑﺪﻧﻪ ﻏﻴﺮ ﻗﺎﺑﻞ اﺳﺘﻔﺎده ﻣﻲ ﮔﺮدﻧﺪ ‪.‬‬
‫از ﻃﺮف دﻳﮕﺮ در آن روش ﻻزم ﺑﻮد ﭘﺮﺗﻮ ﻳﻮﻧﻲ ﺳﻄﺢ ﻗﻄﻌﻪ را اﺳﻜﻦ ﻛﻨﺪ ﻛﻪ ﻓﺮاﻳﻨﺪي ﺑﺴﻴﺎر وﻗﺖ‬
‫ﮔﻴﺮ ﺑﻮد‪ ،‬ﺳﺮﻋﺖ ﻛﺎر را ﭘﺎﻳﻴﻦ ﻣﻲآورد و از ﻳﻜﻨﻮاﺧﺘﻲ ﻧﻬﺎﻳﻲ ﻣﻲﻛ ﺎﺳﺖ ‪.‬‬
‫در روﺷﻲ ﻛﻪ ﺟﺎن ﻛﻨﺮاد ‪ 1‬از داﻧﺸﮕﺎه وﻳﺴﻜﺎﻧﺴﻴﻦ ‪ 1‬ﺷﻬﺮ ﻣﺎدﻳﺴﻮن‪ 28‬در ﺳﺎل ‪ 1990‬اﺑﺪاع ﻛﺮد ﻗﻄﻌﻪ‬
‫ﻫﺪف درون ﻣﺤﻔﻈﻪ ﺗﻮﻟﻴﺪ ﻳﻮن ﻗﺮار ﻣﻲﮔﻴﺮد و ﭘﺎﻟﺲﻫﺎي ﺑﺴﻴﺎر ﻗﻮي وﻟﺘﺎژ ﻣﻨﻔﻲ ﺑﻪ آن اﻋﻤﺎل‬

‫‪1 - John Conrad‬‬


‫‪289‬‬
‫ﻣﻲﺷﻮد‪ .‬در ﻧﺘﻴﺠﻪ ﻳﻮنﻫﺎي ﻣﺜﺒﺖ ﺑﻪ ﻃﺮف ﻫﺪف ﺳﺮﻋﺖ ﮔﺮﻓﺘﻪ و ﺑﺎ اﻧﺮژي زﻳﺎد در آن ﻧﻔﻮذ‬
‫ﻣﻲﻛﻨﻨﺪ‪ .‬اﻳﻦ روش ﺑﺎ ﻋﻨﺎوﻳﻦ ‪ PIII‬و ‪ PBII‬ﻧﻴﺰ ﺷﻨﺎﺧﺘﻪ ﻣﻲﺷﻮد‪ .‬ﺑﺎ اﻳﻦ ﻛﺎر ﻣﺸﻜﻞ ﺧﻂ دﻳﺪ از ﺑﻴﻦ‬
‫رﻓﺘﻪ و ﺳﺮﻋﺖ ﻛﺎر ﺳﻴﺴﺘﻢ ﺗﺎ ﺣﺪ زﻳﺎدي ﺑﺎﻻ ﻣﻲرود‪ .‬در آﺧﺮ ﻧﻴﺰ ﺳﻄﺢ ﻳﻜﻨﻮاﺧﺘﻲ از ﻧﻈﺮ ﺗﻮزﻳﻊ‬
‫ﻧﺎﺧﺎﻟﺼﻲ اﻳﺠﺎد ﻣﻲﮔﺮدد ]‪.[18،17‬‬

‫ﺷﻜﻞ ) ‪ (٧- ٢٥‬دﺳﺘﮕﺎه ‪[4] PSII‬‬

‫ﺑﺮاي ﺗﻮﻟﻴﺪ ﻣﺤﻴﻂ ﭘﻼﺳﻤﺎ ﻣﻲﺗﻮان از ‪ RF Plasma Source‬اﺳﺘﻔﺎده ﻛﺮد ‪.‬‬

‫‪1 - Wisconsin‬‬
‫‪2 - Madison‬‬
‫‪290‬‬
‫اﻳﻦ روش ﻋﻼوه ﺑﺮ ﺻﻨﻌﺖ ﻧﻴﻤﻪ ﻫﺎدي در ﺻﻨﺎﻳﻊ ﭘﻠﻴﻤﺮ‪ ،‬ﺳﺮاﻣﻴﻚ‪ ،‬ﭘﺮدازش ﺳﻄﻮح ﻓﻠﺰي و ﺗﻮﻟﻴﺪ‬
‫ﭘﻼﺳﺘﻴﻚﻫﺎ ﻛﺎرﺑﺮد دارد ]‪.[4‬ﺑﻪ ﻋﻨﻮان ﻣﺜﺎل از اﻳﻦ روش ﺑﺮاي ﺗﻮﻟﻴﺪ زاﻧﻮي ﻣﺼﻨﻮﻋﻲ ﻛﻪ ﺧﺎﺻﻴﺖ‬
‫ﺿﺪ ﺳﺎﻳﺶ‪ ،‬ﺿﺪ ﺧﻮردﮔﻲ و ﺿﺪ ورﻗﻪ ﺷﺪن ﺳﻄﺢ دارد اﺳﺘﻔﺎده ﺷﺪه اﺳﺖ ]‪ .[5‬ﻣﺰاﻳﺎي اﻳﻦ روش را‬
‫ﻣﻲﺗﻮان ﺑﻪ ﺻﻮرت زﻳﺮ ﺧﻼﺻﻪ ﻛﺮد]‪:[4‬‬
‫ﻳﻜﻨﻮاﺧﺘﻲ در ﺳﻄﻮح ﺳﻪ ﺑﻌﺪي و ﺑﺰرگ ‪.‬‬
‫ﻫﺰﻳﻨﻪ ﻛﻤﺘﺮ و ﺳﺎدﮔﻲ ﺑﻴﺸﺘﺮ ‪.‬‬
‫ﺗﺰرﻳﻖ ﻧﺎﺧﺎﻟﺼﻲ ﺑﺎﻻ؛ زﻳﺮا اﻳﻦ روش ﻣﺤﺪودﻳﺖ ﺟﺮﻳﺎن ﻳﻮن ﻧﺪارد‪.‬‬
‫اﻣﻜﺎن ﺑﻴﺸﺘﺮ ﺧﻨﺜﻲ ﻛﺮدن ﺑﺎرﻫﺎي ﺟﻤﻊ ﺷﺪه در ﺳﻄﺢ ‪.‬‬
‫ﺗﻐﻴﻴﺮ ﻧﺪادن اﺑﻌﺎد ﺳﻄﺢ و ﻋﺪم اﻳﺠﺎد ﺗﻐﻴﻴﺮ ﺷﻜﻞ در ﻗﻄﻌﻪ ﺑﻪ دﻟﻴﻞ ﻛﻢ ﺑﻮدن دﻣﺎي ﻛﺎر ‪.‬‬
‫ﻗﺎﺑﻠﻴﺖ اﻧﻄﺒﺎق ﺑﺎ ﺳﺎﻳﺮ روشﻫﺎي ﭘﺮدازش ﺳﻄﺢ ‪.‬‬

‫ﻣﺸﻜﻼت و ﻣﻌﺎﻳﺐ روش ﻛﺎﺷﺖ ﻳﻮن‬ ‫‪-14-8‬‬


‫ﻋﻠﻲ رﻏﻢ ﻣﺰاﻳﺎ و ﻛﺎرﺑﺮدﻫﺎي ذﻛﺮ ﺷﺪه ﺑﺮﺧﻲ ﻣﻌﺎﻳﺐ را ﻧﻴﺰ ﺑﺮاي اﻳﻦ روش ﻣﻲﺗﻮان ذﻛﺮ ﻛﺮد‪ .‬اﻟﺒﺘﻪ‬
‫اﻳﻦ ﻣﻮارد ﻣﺎﻧﻊ رﺷﺪ وﺳﻴﻊ اﺳﺘﻔﺎده از آن در ﺻﻨﻌﺖ ﻧﺸﺪه اﺳﺖ ‪.‬‬
‫اﻳﺠﺎد ﺧﺮاﺑﻲ در ﺷﺒﻜﻪ ﻛﻪ در ﻓﺼﻮل ﻗﺒﻞ راﺟﻊ ﺑﻪ آن ﺗﻮﺿﻴﺢ داده ﺷﺪ ]‪.[3،2،1‬‬
‫ﻫﺰﻳﻨﻪ اوﻟﻴﻪ و ﺳﺮﻣﺎﻳﻪاي ﺧﺮﻳﺪ ﺗﺠﻬﻴﺰات ﺑﺎﻻ اﺳﺖ ]‪.[12‬‬
‫ﻫﺰﻳﻨﻪ آﻣﻮزش ﻛﺎرﺑﺮان و ﺗﻜﻨﺴﻴﻦﻫﺎ زﻳﺎد اﺳﺖ]‪.[12‬‬
‫در روش ﭘﺮﺗﻮ ﻳﻮﻧﻲ ﺷﻜﻞ و وﺳﻌﺖ ﺳﻄﺢ ﺑﻪ دﻟﻴﻞ اﻳﻨﻜﻪ ﻻزم اﺳﺖ در ﺧﻂ دﻳﺪ ﭘﺮﺗﻮ ﺑﺎﺷﺪ‬
‫ﻣﺤﺪود ﻣﻲ ﺷﻮد]‪.[12‬‬
‫ﺧﻄﺮات ﻧﺎﺷﻲ از ﻛﺎر ﺑﺎ وﻟﺘﺎژ ﺑﺎﻻ ]‪.[19‬‬
‫ﺗﻮﻟﻴﺪ اﺷﻌﻪ ‪ X‬در ﻣﺮاﺣﻞ ﻣﺨﺘﻠﻒ از ﺟﻤﻠﻪ ﺗﻮﻟﻴﺪ ﭘﻼﺳﻤﺎ و ‪.[19] ...‬‬
‫در ﺻﻮرت ﻧﻴﺎز ﺑﻪ اﻳﺠﺎد ﻧﺎﺧﺎﻟﺼﻲ ﺑﺎ ﭼﮕﺎﻟﻲ ﺑﺎﻻ‪ ،‬ﺳﺮﻋﺖ ﭘﺎﻳﻴﻦ ﻣﻲآﻳﺪ]‪.[3‬‬
‫ﻋﻤﻖ ﭘﻴﻮﻧﺪﻫﺎي ﺗﺸﻜﻴﻞ ﺷﺪه ﺑﻪ ﭼﻨﺪ ﻣﻴﻜﺮون ﻣﺤﺪود ﻣﻲ ﺷﻮد]‪.[1‬‬

‫‪291‬‬
‫ﭼﺸﻢ اﻧﺪاز ﻫﺎي آﻳﻨﺪه‬ ‫‪-15-8‬‬
‫اﻣﺮوزي را ﻓﺮاﻫﻢ ﻛﻨﺪ‪ .‬ﻗﻄﺮ‬ ‫‪VLSI‬‬ ‫ﺗﻜﻨﻮﻟﻮژي ﺟﺪﻳﺪ ﺑﺎﻳﺪ ﺑﺘﻮاﻧﺪ ﻧﻴﺎزﻫﺎي ﺳﺎﺧﺖ ﻣﺪارت ﻣﺠﺘﻤﻊ‬
‫وﻳﻔﺮﻫﺎﻳﻲ ﻛﻪ اﻣﺮوزه اﺳﺘﻔﺎده ﻣﻲﺷﻮد ﺑﻪ ﺑﻴﺶ از ‪ 300 mm‬رﺳﻴﺪه اﺳﺖ ﻟﺬا ﺑﺮاي ﺣﻔﻆ ﻳﻜﻨﻮاﺧﺘﻲ از‬
‫ﻳﻚ ﻧﻘﻄﻪ ﺗﺎ ﻧﻘﻄﻪاي دﻳﮕﺮ و از ﻳﻚ وﻳﻔﺮ ﺑﻪ وﻳﻔﺮي دﻳﮕﺮ‪ ،‬ﺑﺎﻳﺪ ﻛﻨﺘﺮل دﻗﻴﻘﻲ روي زاوﻳﻪ ﺗﺎﺑﺶ‬
‫ﭘﺮﺗﻮﻫﺎ اﻋﻤﺎل ﺷﻮد‪ .‬ذرات رﻳﺰ درون ﻣﺤﻔﻈﻪ ﻣﻲﺗﻮاﻧﻨﺪ ﻫﻤﺮاه ﻳﻮنﻫﺎ روي ﺳﻄﺢ وﻳﻔﺮ ﺑﻨﺸﻴﻨﻨﺪ‪.‬‬
‫‪ 0.125‬ﻣﻲﺗﻮاﻧﻨﺪ ﻣﺪار را ﻏﻴﺮ ﻗﺎﺑﻞ اﺳﺘﻔﺎده ﻛﻨﻨﺪ‪ .‬ﻟﺬا ﻛﻨﺘﺮل دﻗﻴﻖ‬ ‫‪μm‬‬ ‫ذرهاي ﺣﺘﻲ ﺑﻪ ﻛﻮﭼﻜﻲ‬
‫ﺗﻤﻴﺰي ﻣﺤﻔﻈﻪ اﻫﻤﻴﺖ ﺑﻴﺸﺘﺮي ﭘﻴﺪا ﻣﻲﻛﻨﺪ‪ .‬ﺳﺮﻋﺖ در ﺳﻴﺴﺘﻢﻫﺎي ﺟﺪﻳﺪ ﺑﺎﻳﺪ اﻓﺰاﻳﺶ ﻳﺎﺑﺪ ﺗﺎ در‬
‫ﺑﺎزار اﻣﺮوز ﻗﺎﺑﻞ رﻗﺎﺑﺖ ﺑﺎﺷﻨﺪ ]‪.[8‬‬
‫ﺗﺤﻘﻴﻘﺎﺗﻲ ﺑﺮ روي ﺗﻮﻟﻴﺪ ﭘﻴﻮﻧﺪﻫﺎي ﻛﻢ ﻋﻤﻖ در ﺣﺪ ﺗﻜﻨﻮﻟﻮژي ‪ ULSI‬و ﺑﻪ ﻓﺮمﻫﺎي ‪ n+/p‬و ‪ p+/n‬ﺑﻪ‬
‫روش ‪ PIII‬اﻧﺠﺎم ﺷﺪه اﺳﺖ‪ .‬و ﺑﺮ روي اﺑﻌﺎد ‪ 20- 40 nm‬ﻛﺎر ﻣﻲ ﺷﻮد ] ‪.[ 18‬‬
‫ﻻزم اﺳﺖ روشﻫﺎي ﺗﻮﻟﻴﺪ ﻳﻮن ارزاﻧﺘﺮ و ﺑﺎ ﭼﮕﺎﻟﻲ ﻳﻮن ﺑﻴﺸﺘﺮي ﻳﺎﻓﺖ ﺷﻮﻧﺪ‪ .‬اﺳﺘﻔﺎده از ﻣﻴﺪانﻫﺎي‬
‫ﻣﻐﻨﺎﻃﻴﺴﻲ و ﻟﻴﺰر ﺑﺮاي اﻳﻦ ﻣﻮﺿﻮع در ﺣﺎل ﺑﺮرﺳﻲ اﺳﺖ‪ .‬در ﮔﺮوه ﻓﻴﺰﻳﻚ ﭘﻼﺳﻤﺎ‪ ، 1P-24 ،‬اﻳﻦ‬
‫روشﻫﺎ ﺑﻪ ﻛﺎر رﻓﺘﻪ اﺳﺖ و ﺗﻮاﻧﺴﺘﻪاﻧﺪ ﺑﻪ ﻛﻤﻚ ﻟﻴﺰر ﺑﻪ ﭼﮕﺎﻟﻲ ‪ 1026‬ﻳﻮن ﻳﺎ اﻟﻜﺘﺮون ﺑﺮ ﺳﺎﻧﺘﻴﻤﺘﺮ‬
‫ﻣﻜﻌﺐ ﺑﺮﺳﻨﺪ ‪ .‬اﻳﻦ ﺗﺤﻘﻴﻘﺎت ﺑﺮ روي ﺣﺎﻟﺖ ﻣﺎده در دﻣﺎﻫﺎي ﺑﺴﻴﺎر ﺑﺎﻻ ‪ ،‬ﭘﻼﺳﻤﺎي ﺳﺮد ‪ ،‬ﻫﻤﺠﻮﺷﻲ‬
‫در دام ﻣﻐﻨﺎﻃﻴﺴﻲ ‪ 2‬و‪ ...‬ﺻﻮرت ﻣﻲﮔﻴﺮد و اﻣﻴﺪوارﻧﺪ ﺑﻪ اﻧﺮژي ارزان ﻗﻴﻤﺖ دﺳﺖ ﻳﺎﺑﻨﺪ‪.[20].‬‬
‫ﺑﺴﻴﺎري از ﻣﺤﻘﻘﺎن ﻣﻌﺘﻘﺪﻧﺪ ﻛﻪ ﺻﻨﻌﺖ ﺧﻮدرو در آﻳﻨﺪه ﺑﻴﺸﺘﺮﻳﻦ ﻣﺼﺮف اﻳﻦ ﺗﻜﻨﻮﻟﻮژي را ﭘﻴﺪا‬
‫ﺧﻮاﻫﺪ ﻛﺮد‪ .‬از اﻳﻦ ﺗﻜﻨﻮﻟﻮژي در اﻓﺰاﻳﺶ ﻃﻮل ﻋﻤﺮ ﻣﺤﺼﻮﻻت و ﺗﻮﻟﻴﺪ ﺳﻮﺧﺖﻫﺎ و روﻏﻦﻫﺎي ﺟﺪﻳﺪ‬
‫ﻧﻴﺰ اﺳﺘﻔﺎده ﺷﺪه اﺳﺖ ]‪.[6‬‬

‫ﻣﺮاﺟﻊ‪:‬‬

‫‪[1] Microelectronic Fabrication, Peter Van Zant, Mc Graw- Hill, 5 ed, 2004‬‬
‫‪[2] Semiconductor Devices Physics and Thechnology S. M. SZE John Wiley & Sons , 1985‬‬
‫‪[3] INTRODUCTION TO ION IMPLANTATION , Dr. Lynn Fuller/Dr. Renan Turkman,‬‬
‫‪www.rit.edu/~lffeee/IMPLANT.pdf‬‬

‫)‪1 - The Plasma Physics Group (P-24‬‬


‫‪2 - Magnetic Confinement Fusion‬‬
‫‪292‬‬
[4] http://psii.kist.re.kr/Teams/psii/introd/fra_intro.html
[5] http://silver.neep.wisc.edu/psii/intro.htm
[6] http://www.dtic.mil/natibo/docs/ibp-2.pdf
[7] A Tutorial on Ion Sources , Richard Scrivens ,
cern.ch/AB-seminar/talks/AB.Seminar.rs.pdf
[8] http://www.aip.org/tip/INPHFA/vol-9/iss-3/p12.html
[9] Wolfhard Miller and Subroto Mukherjee, Plasma-based ion implantation, CURRENT
SCIENCE, VOL. 83, NO.3, 10 AUGUST 2002
[10] Representation of ion implantation by distribution curves profiles Pearson frequency, D
G Ashworth, R Oven and B Mundin
[11] A Guide to the Pearson Type IV Distribution, Joel Heinrich, University of Pennsylvania,
December 21, 2004
[12] http://p2library.nfesc.navy.mil/P2_Opportunity_Handbook/1_12.html
[13] Ian G. Brawn , The Physics and Technology of Ion Sources, Second, Revised and
Extended Edition , October 2004, john wiley (Only from Index).
[14] HANDBOOK OF PLASMA IMMERSION ION IMPLANTATION AND DEPOSITION, Edited by
Andre Anders, Lawrence Berkeley National Laboratory (Only from Index).
[15] http://www.strz.uni-giessen.de/~ezr/english/intro.html
[16] http://www.casetechnology.com/implanter/neutral.html
[17] http://www-p24.lanl.gov/high/psii.pdf
[18] B. L. Yang and others , n+/p Ultra-Shallow Junction Formation With Plasma Immersion
Ion Implantation http://plasmalab.berkeley.edu/publications/yang/hkedm.pdf
[19] www.engr.sjsu.edu/cme/cmecourses/MATE_EE129/129Lectures/129S02LN14-
15IonImpl.pdf
[20] The Plasma Physics Group (P-24) Progress Report 1997–1998 , www-
p24.lanl.gov/over/P24.pdf
[21] Fundamentals of Semiconductor Processing Technology, Badih El-Kare, Kluwer
Academic Publisher,1995
[22] Ion Implantation, Alan Doolittle, Jeorgia Technology
[23] Graduate Student Presentations, Lecture6: Ion Impolantation, Silicon Processing Lab
[24] Semiconductor Devices Physics and Thechnology S. M. SZE John Wiley & Sons , 1988

293
‫ﻓﺼﻞ ‪ -9‬ﻟﻴﺘﻮﮔﺮاﻓﻲ ﻛﻼﺳﻴﻚ‬

‫‪ -1-9‬ﻣﻘﺪﻣﻪ‬
‫وﻇﻴﻔﻪ اﺻﻠﻲ اﻟﮕﻮﮔﺬاري ﺑﻪ ﻃﻮر ﻛﻠﻲ ﻗﺮار دادن اﻟﮕﻮﻫﺎﻳﻲ ﻣﺘﺸﻜﻞ از ﭘﻨﺠﺮهﻫﺎ و ﺟﺰﻳﺮهﻫﺎ روي‬
‫ﺳﻄﺢ وﻳﻔﺮ اﺳﺖ‪ ،‬ﺗﺎ ﺑﺘﻮاﻧﻴﻢ در ﭘﻨﺠﺮهﻫﺎي اﻳﺠﺎد ﺷﺪه اﻋﻤﺎﻟﻲ ﻣﺎﻧﻨﺪ ﻓﻠﺰ ﻧﺸﺎﻧﻲ ﻳﺎ ‪ Doping‬را اﻧﺠﺎم‬
‫دﻫﻴﻢ‪) .‬ﺷﻜﻞ‪(4.1‬‬
‫ﺑﻪ ﻋﻤﻠﻴﺎت اﻟﮕﻮ ﮔﺬاري ﻫﻤﭽﻨﻴﻦ ﻓﻮﺗﻮﻟﻴﺘﻮﮔﺮاﻓﻲ‪ ،‬ﻣﺎﺳﻚ ﮔﺬاري ﻧﻮري‪ ،‬ﻣﺎﺳﻚ ﮔﺬاري‪ ،‬زداﻳﺶ‬
‫اﻛﺴﻴﺪ )‪ ، (OR‬زداﻳﺶ ﻓﻠﺰ )‪ (MR‬و ﻣﻴﻜﺮو ﻟﻴﺘﻮﮔﺮاﻓﻲ ﻣﻲﮔﻮﻳﻨﺪ‪.‬‬
‫ﻟﻴﺘﻮﮔﺮاﻓﻲ دو ﻫﺪف را دﻧﺒﺎل ﻣﻲﻛﻨﺪ‪:‬‬
‫اﻳﺠﺎد اﻟﮕﻮ ﺑﺎ اﺑﻌﺎد ﺗﻌﻴﻴﻦ ﺷﺪه در ﻣﺮﺣﻠﻪ ﻃﺮاﺣﻲ روي وﻳﻔﺮ‬
‫ﺟﺎﮔﺬاري ﺻﺤﻴﺢ اﻟﮕﻮي ﻣﺪار روي ﺳﻄﺢ وﻳﻔﺮ اﺳﺖ‪.‬‬
‫ﻛﻨﺘﺮل اﺑﻌﺎد و ﻣﻴﺰان ﻧﺎ ﺧﺎﻟﺼﻲ ﻫ ﺎ اﻣﺮي دﺷﻮار اﺳﺖ زﻳﺮا ﻫﺮ ﻣﺮﺣﻠﻪ اي از ﭘﺮوﺳﻪ اﻟﮕﻮ ﮔﺬاري‬
‫ﺗﻐﻴﻴﺮات و ﻣﺸﻜﻼﺗﻲ را ﺑﻪ ﻫﻤﺮاه دارد‪ .‬در ﻳﻚ ﻣﺪار‪ ,‬ﻣﺎﺳﻚ ﮔﺬاري ﻧﺎﻣﻨﻈﻢ ﻣﻨﺠﺮ ﺑﻪ اﻳﺠﺎد ﺧﻄﺎ و‬
‫ﻧﻘﺺ در ﻗﻄﻌﻪ ﺳﺎﺧﺘﻪ ﺷﺪه ﻣﻲ ﺷﻮد‪ .‬ﻋﻼوه ﺑﺮ ﻛﻨﺘﺮل اﺑﻌﺎد و ﻫﻢ ﺟﻬﺖ ﺑﻮدن اﻟﮕﻮﻫﺎ‪ ،‬ﻛﻨﺘﺮل ﺳﻄﻮح‬
‫ﻧﺎﻛﺎﻣﻠﻲﻫﺎ در وﻳﻔﺮ ﻧﻴﺰ ﺿﺮوري اﺳﺖ‪.‬‬

‫ﺷﻜﻞ‪ 4.1‬اﻳﺠﺎد ﺣﻔﺮه وﺟﺰﻳﺮه روي ﺳﻄﺢ وﻳﻔﺮ‬

‫ﻓﺘﻮﻟﻴﺘﻮﮔﺮاﻓﻲ‪ ،‬روﻧﺪ اﻧﺘﻘﺎل اﻟﮕﻮﻫﺎﻳﻲ از اﺷﻜﺎل ﻫﻨﺪﺳﻲ واﻗﻊ ﺑﺮ ﻳﻚ ﻧﻘﺎب ﺑﻪ ﻻﻳﻪ ﻧﺎزﻛﻲ از ﻣﺎده اي‬
‫ﺣﺴﺎس ﺑﻪ ﺗﺸﻌﺸﻊ )‪ (Photo Sensitive‬و ﻣﻘﺎوم در ﺑﺮاﺑﺮ زداﻳﺶ)‪ (Etch Resist‬ﺑﻪ ﻧﺎم ‪Photoresist‬‬

‫‪294‬‬
‫را‬ ‫ﻫﺎدي‬ ‫ﻧﻴﻤﻪ‬ ‫ﭘﻮﻟﻚ‬ ‫ﺳﻄﺢ‬ ‫ﻛﻪ‬ ‫اﺳﺖ‬
‫ﻣﻲ ﭘﻮﺷﺎﻧﺪ‪ .‬اﻳﻦ اﻟﮕﻮﻫﺎ )‪ (Patterns‬ﻣﻨﺎﻃﻖ ﮔﻮﻧﺎﮔﻮﻧﻲ ﻧﻈﻴﺮ ﻣﻨﺎﻃﻖ ﻛﺎﺷﺖ‪ ،‬ﭘﻨﺠﺮه ﻫﺎي اﺗﺼﺎل و‬
‫ﺳﻄﻮح ﻧﻮاﺣﻲ اﺗﺼﺎل را در ﻣﺪار ﻣﺠﺘﻤﻊ ﻣﺸﺨﺺ ﻣﻲ ﻛﻨﻨﺪ‪ .‬در واﻗﻊ ﻟﻴﺘﻮﮔﺮاﻓﻲ روش ﻛﭙﻲ ﺗﺼﻮﻳﺮ ﻳﺎ‬
‫ﺗﺼﺎوﻳﺮ از ﺟﺴﻢ ﺑﻪ ﺟﺴﻢ دﻳﮕﺮ ﻣﻲ ﺑﺎﺷﺪ ‪.‬‬
‫ﻓﺘﻮرزﻳﺴﺖ ﻳﻚ ﭘﻠﻴﻤﺮ ﺣﺴﺎس ﺑﻪ ﻧﻮر اﺳﺖ ﻛﻪ روي ﺳﻄﺢ ﻣﻮرد ﻧﻈﺮ ﻛﺸﻴﺪه ﻣﻲ ﺷﻮد ﻣﺸﺎﺑﻪ ﺑﺎ آﻧﭽﻪ‬
‫ﺑﺮ روي ﻓﻴﻠﻢ ﻫﺎي ﻋﻜﺲ ﺑﺮداري ﻛﺸﻴﺪه ﻣﻲ ﺷﻮد‪ .‬ﺑﺎ ﺗﺎﺑﻴﺪن ﻧﻮر از ﻃﺮﻳﻖ ﻣﺎﺳﻚ ﺑﻪ ﻓﺘﻮرزﻳﺴﺖ و‬
‫ﮔﺬﺷﺘﻦ از ﻣﺮﺣﻠﻪ ﻇﻬﻮر ﻓﺘﻮرزﻳﺴﺖ )ﻣﺮﺣﻠﻪ اي ﻣﺸﺎﺑﻪ ﺑﺎ ﻇﻬﻮر ﻋﻜﺲ(‪ ،‬ﺗﺼﻮﻳﺮ ﻣﻮرد ﻧﻈﺮ روي‬
‫ﺻﻔﺤﻪ ﺗﺸﻜﻴﻞ ﻣﻲ ﺷﻮد ﻛﻪ در واﻗﻊ‪ ،‬در ﺗﻜﻨﻮﻟﻮژي ﺳﺎﺧﺖ ﻧﻴﻤﻪ ﻫﺎدي‪ ،‬ﺧﺼﻮﺻﺎً ﺗﻜﻨﻮﻟﻮژي‬
‫ﺳﻴﻠﻴﻜﻮن‪ ،‬ﻫﺪف ﺑﺎز ﻛﺮدن ﻳﻚ ﭘﻨﺠﺮه اي در ﻻﻳﻪ اي از ‪ sio2‬ﻣﻲ ﺑﺎﺷﺪ ﻛﻪ اﻳﻦ ﭘﻨﺠﺮه ﺑﺮاي آﻻﻳﺶ‬
‫)ﻧﻔﻮذ ﻳﺎ ﻛﺎﺷﺖ ﻳﻮن ( و ﻓﻠﺰ ﻧﺸﺎﻧﻲ ﺑﻜﺎر ﻣﻲ رود‪.‬‬

‫‪ -2-9‬ﻣﺮوري ﺑﺮ ﻓﺮآﻳﻨﺪ ﻣﺎﺳﻚ ﮔﺬاري ﻧﻮري‬


‫ﻓﻮﺗﻮﻟﻴﺘﻮﮔﺮاﻓﻲ ﻳﻚ ﻓﺮآﻳﻨﺪ ﭼﻨﺪ ﻣﺮﺣﻠﻪاي اﻧﺘﻘﺎل اﻟﮕﻮ اﺳﺖ‪ ،‬ﻣﺸﺎﺑﻪ ﺑﺎ ﻋﻜﺲ ﺑﺮداري و‬
‫اﺳﺘﻨﺴﻴﻞ ﻛﺮدن‪ .‬اﻟﮕﻮ ﻣﻮرد ﻧﻈﺮ اﺑﺘﺪا روي ﻣﺎﺳﻚﻫﺎي ﻧﻮري اﻳﺠﺎد ﻣﻲ ﺷﻮد و روي ﻻﻳﻪﻫﺎي ﺳﻄﺢ‬
‫وﻳﻔﺮ از ﻃﺮﻳﻖ ﻣﺮاﺣﻞ ﻣﺎﺳﻚ ﮔﺬاري ﻧﻮري ﻣﻨﺘﻘﻞ ﻣﻲ ﺷﻮد‪.‬‬
‫ﻋﻤﻞ اﻧﺘﻘﺎل در دو ﻣﺮﺣﻠﻪ اﻧﺠﺎم ﻣﻲ ﺷﻮد‪ .‬اﺑﺘﺪا اﻟﮕﻮي اﻳﺠﺎد ﺷﺪه روي ﻣﺎﺳﻚ روي ﻳﻚ ﻻﻳﻪ از‬
‫ﻓﻮﺗﻮرزﻳﺴﺖ ﻣﻨﺘﻘﻞ ﻣﻲ ﺷﻮد )ﺷﻜﻞ‪ .(4.2‬ﻓﻮﺗﻮرزﻳﺴﺖ ﻳﻚ ﻣﺎده ﺣﺴﺎس ﺑﻪ ﻧﻮر اﺳﺖ ﻣﺸﺎﺑﻪ ﺑﺎ‬
‫ﭘﻮﺷﺸﻲ ﻛﻪ روي ﻓﻴﻠﻢ ﻋﻜﺎﺳﻲ ﻗﺮار دارد‪ .‬ﻫﻨﮕﺎﻣﻲ ﻛﻪ در ﻣﻌﺮض ﻧﻮر ﻗﺮار ﻣﻲﮔﻴﺮد ﺳﺎﺧﺘﺎر و ﺧﻮاص‬
‫آن ﺗﻐﻴﻴﺮ ﻣﻲ ﻛﻨﺪ‪ ،‬در ﻣﺜﺎﻟﻲ ﻛﻪ در ﺷﻜﻞ ‪ 4.2‬و ‪ 4.3‬ﻧﺸﺎن داده ﺷﺪه اﺳﺖ‪ .‬ﻓﻮﺗﻮرزﻳﺴﺖ ﻧﺎﺣﻴﻪاي‬
‫ﻛﻪ در ﻣﻌﺮض ﻧﻮر ﺑﻮده اﺳﺖ از ﻳﻚ ﺣﺎﻟﺖ ﺣﻞ ﺷﺪﻧﻲ ﺑﻪ ﺣﺎﻟﺖ ﻏﻴﺮ ﻗﺎﺑﻞ ﺣ ﻞ ﺗﺒﺪﻳﻞ ﻣﻲ ﺷﻮد; ﺑﻪ اﻳﻦ‬
‫ﻧﻮع رزﻳﺴﺖ‪ ،‬رزﻳﺴﺖ ﻣﻨﻔﻲ ﮔﻮﻳﻨﺪ و ﺗﻐﻴﻴﺮ ﺷﻴﻤﻴﺎﻳﻲ ﻛﻪ در آن رخ داده اﺳﺖ ﭘﻠﻴﻤﺮﻳﺰاﺳﻴﻮن ﻧﺎﻣﻴﺪه‬
‫ﻣﻲ ﺷﻮد‪ .‬زدودن ﻗﺴﻤﺘﻬﺎي ﺣﻞ ﺷﺪﻧﻲ ﻓﺘﻮرزﻳﺴﺖ ﺑﺎ ﺣﻼﻟﻬﺎي ﺷﻴﻤﻴﺎﻳﻲ ﻣﻨﺠﺮ ﺑﻪ ﺑﻮﺟﻮد آﻣﺪن‬
‫ﺣﻔﺮه در ﻻﻳﻪ رزﻳﺴﺖ ﻣﻲ ﺷﻮد ﻛﻪ ﻣﺘﻨﺎﻇﺮ ﺑﺎ اﻟﮕﻮي ﺗﻴﺮه روي ﻣﺎﺳﻚ اﺳﺖ‪.‬‬
‫دوﻣﻴﻦ اﻧﺘﻘﺎل ﻣﺮﺑﻮط ﺑﻪ اﻧﺘﻘﺎل ﺷﻜﻞ از ﻻﻳﻪ ﻓﻮﺗﻮرزﻳﺴﺖ ﺑﻪ ﻻﻳﻪ ﺳﻄﺢ وﻳﻔﺮ اﺳﺖ‪.‬‬
‫)ﺷﻜﻞ‪ .(4.3‬اﻧﺘﻘﺎل زﻣﺎﻧﻲ اﺗﻔﺎﻗﻲ ﻣﻲاﻓﺘﺪ ﻛﻪ زداﻳﻨﺪهﻫﺎ ﻗﺴﻤﺘﻲ از ﻻﻳﻪ ﺑﺎﻻﻳﻲ وﻳﻔﺮ را ﻛﻪ ﺑﺎ‬

‫‪295‬‬
‫ﻓﺘﻮرزﻳﺴﺖ ﭘﻮﺷﺎﻧﺪه ﻧﺸﺪه اﺳﺖ ﺑﺰداﻳﻨﺪ‪ .‬ﺳﺎﺧﺘﺎر ﺷﻴﻤﻴﺎﻳﻲ ﻓﺘﻮرزﻳﺴﺖ ﺑﻪ ﮔﻮﻧﻪاي اﺳﺖ ﻛﻪ در‬
‫ﻣﺤﻠﻮﻟﻬﺎي ﺷﻴﻤﻴﺎﻳﻲ ﺣﻞ ﻧﻤﻲ ﺷﻮﻧﺪ)ﻳﺎ ﺑﻪ ﻛﻨﺪي ﺣﻞ ﻣﻲ ﺷﻮﻧﺪ(‪ .‬آﻧﻬﺎ در اﺻﻄﻼح ‪Etch-resistant‬‬

‫ﻫﺴﺘﻨﺪ ﺑﻨﺎﺑﺮاﻳﻦ ﻧﺎم آﻧﻬﺎ رزﻳﺴﺖ ﻳﺎ ﻓﺘﻮرزﻳﺴﺖ اﺳﺖ ‪ .‬در ﻣﺜﺎﻟﻬﺎي ﻣﻄﺮح ﺷﺪه در ﺷﻜﻞ )‪ (4.2‬و‬
‫)‪ (4.3‬ﻧﺘﻴﺠﻪ‪ ,‬اﻳﺠﺎد ﻳﻚ ﺣﻔﺮه در ﺳﻄﺢ وﻳﻔﺮ اﺳﺖ ‪.‬‬

‫ﺷﻜﻞ ‪ 4.2‬اوﻟﻴﻦ ﻣﺮﺣﻠﻪ‪ ‬اﻧﺘﻘﺎ ل‬

‫ﺷﻜﻞ‪ 4.3‬دوﻣﻴﻦ ﻣﺮﺣﻠﻪ‪ ‬اﻧﺘﻘﺎل‬

‫‪296‬‬
‫‪ -3-9‬اﻧﻮاع ﻣﺎﺳﻚ‬
‫ﻣﺎﺳﻜﻲ ﻛﻪ ﺷﻜﻞ اﻟﮕﻮ ﺑﺮ روي آن در ﻧﻮاﺣﻲ ﻣﺎت ﻗﺮار ﮔﺮﻓﺘﻪ اﺳﺖ‪ ،‬ﻣﺎﺳﻚ ﺑﺎ زﻣﻴﻨﻪ روﺷﻦ ﻧﺎﻣﻴﺪه‬
‫ﻣﻲ ﺷﻮد)ﺷﻜﻞ ‪ .( 4- 4‬اﻟﮕﻮ ﻣﻲ ﺗﻮاﻧﺪ ﺑﺼﻮرت ﺷﻔﺎف روي ﻳﻚ ﻣﺎﺳﻚ ﺗﻴﺮه ﻗﺮار ﮔﻴﺮد ﻛﻪ ﺑﻪ اﻳﻦ ﻧﻮع‬
‫ﻣﺎﺳﻚ‪ ،‬ﻣﺎﺳﻚ ﺑﺎ زﻣﻴﻨﻪ ﺗﻴﺮه ﻣﻲﮔﻮﻳﻨﺪ )ﺷﻜﻞ ‪ .(4- 5‬اﮔﺮ ﻣﺮاﺣﻞ ﺷﺮح داده ﺷﺪه در ﺷﻜﻞ )‪ (4.2‬و‬
‫)‪ (4.3‬ﺑﺎ ﻣﺎﺳﻚ ﺑﺎ زﻣﻴﻨﻪ ﺗﻴﺮه اﻧﺠﺎم ﺷﻮد‪ ,‬ﻧﺘﻴﺠﻪ اﻳﺠﺎد ﺟﺰﻳﺮه روي وﻳﻔﺮ ﺧﻮاﻫﺪ ﺑﻮد‪ ) .‬ﺷﻜﻞ ‪(4.6‬‬

‫ﺷﻜﻞ‪ 4.4‬ﻣﺎﺳﻚ ﺑﺎ زﻣﻴﻨﻪ روﺷﻦ‬

‫ﺷﻜﻞ ‪ 4.5‬ﻣﺎﺳﻚ ﺑﺎ زﻣﻴﻨﻪ ﺗﻴﺮه‬

‫ﺷﻜﻞ‪ 4.6‬اﺳﺘﻔﺎده از ﻓﺘﻮ رزﻳﺴﺖ ﻣﺜﺒﺖ و ﻣﺎﺳﻚ ﺑﺎ زﻣﻴﻨﻪ روﺷﻦ‬

‫‪297‬‬
‫‪ -4-9‬اﻧﻮاع ﻓﺘﻮرزﻳﺴﺖ‬

‫‪ - 1- 4- 9‬ﻓﺘﻮرزﻳﺴﺖ ﻣﺜﺒﺖ‬

‫ﻓﺘﻮرزﻳﺴﺖ ﻣﺜﺒﺖ ﻳﻚ ﻣﺎده ﻏﻴﺮ ﻗﺎﺑﻞ ﺣﻞ اﺳﺖ ﻛﻪ در ﻣﻌﺮض ﻧﻮر ﺳﺎﺧﺘﺎر ﺷﻴﻤﻴﺎﻳﻲ آن ﺗﻐﻴﻴﺮ ﻛﺮده‬
‫و آن ﻗﺴﻤﺖ ﻫﺎي ﻧﻮر دﻳﺪه ﺑﻪ ﻣﺎده ﻗﺎﺑﻞ ﺣﻞ ﺗﺒﺪﻳﻞ ﻣﻲ ﺷﻮﻧﺪ‪ .‬اﻳﻦ ﺗﻐﻴﻴﺮ ‪ Photo Solubilization‬ﻧﺎم‬
‫دارد‪ .‬ﺷﻜﻞ )‪ (4.6‬ﻧﺸﺎن ﻣﻲ دﻫﺪ ﻛﻪ وﻗﺘﻲ از ﻣﺎﺳﻚ ﺑﺎ زﻣﻴﻨﻪ روﺷﻦ و ﻓﺘﻮرزﻳﺴﺖ ﻣﺜﺒﺖ اﺳﺘﻔﺎده‬
‫ﺷﻮد ﻳﻚ ﺟﺰﻳﺮه ﺑﻮﺟﻮد ﻣﻲ آﻳﺪ‪.‬‬

‫‪ - 2- 4- 9‬ﻓﺘﻮرزﻳﺴﺖ ﻣﻨﻔﻲ‬

‫ﻓﺘﻮرزﻳﺴﺖ ﻣﻨﻔﻲ ﻳﻚ ﻣﺎده ﻗﺎﺑﻞ ﺣﻞ اﺳﺖ ﻛﻪ در ﻣﻌﺮض ﻧﻮر ﻧﻮر ﺳﺎﺧﺘﺎر ﺷﻴﻤﻴﺎﻳﻲ آن ﺗﻐﻴﻴﺮ ﻛﺮده و‬
‫ﻗﺴﻤﺖ ﻫﺎي ﻧﻮر دﻳﺪه ﺑﻪ ﻣﺎده ﻏﻴﺮ ﻗﺎﺑﻞ ﺣﻞ ﺗﺒﺪﻳﻞ ﻣﻲ ﺷﻮﻧﺪ‪ .‬ﻣﺎﻧﻨﺪ ﻓﺘﻮرزﻳﺴﺖ ﻣﺜﺒﺖ از دو ﺑﺨﺶ‬
‫ﭘﻠﻴﻤﺮ و ﻗﺴﻤﺖ ﺣﺴﺎس ﺑﻪ ﻧﻮر ﺗﺸﻜﻴﻞ ﺷﺪه اﺳﺖ‪ .‬ﺑﻌﺪ از ﻧﻮردﻫﻲ ﻣﺎدة ﭘﻠﻴﻤﺮ ﺣﺴﺎس ﺑﻪ ﻧﻮر‪ ،‬اﻧﺮژي‬
‫ﺗﺒﺪﻳﻞ‬ ‫ﺷﻴﻤﻴﺎﻳﻲ‬ ‫اﻧﺮژي‬ ‫ﺑﻪ‬ ‫را‬ ‫آن‬ ‫و‬ ‫ﻛﺮده‬ ‫ﺟﺬب‬ ‫را‬ ‫ﻧﻮري‬
‫ﻣﻲ ﻛﻨﺪ ﺗﺎ واﻛﻨﺶ زﻧﺠﻴﺮي را آﻏﺎز ﻛﻨﺪ ‪ .‬اﻳﻦ واﻛﻨﺶ ﻣﻮﺟﺐ ﺑﻬﻢ ﭘﻴﻮﺳﺘﻦ ﻣﻮﻟﻜﻮﻟﻬﺎي ﭘﻠﻴﻤﺮ ﻣﻲ‬
‫ﺷﻮد‪ .‬ﭘﻠﻴﻤﺮ ﺑﻬﻢ ﭘﻴﻮﺳﺘﻪ داراي وزن ﻣﻮﻟﻜﻮﻟﻲ ﺑﺎﻻﺗﺮي اﺳﺖ و در ﻣﺤﻠﻮل ﻇﻬﻮر‪ ،‬ﻏﻴﺮﻗﺎﺑﻞ ﺣﻞ ﻣﻲ‬
‫ﺷﻮد‪ .‬در ﻧﺘﻴﺠﻪ ﻇﻬﻮر‪ ،‬ﺳﻄﺢ ﻧﻮر ﻧﺪﻳﺪه را از ﺑﻴﻦ ﻣﻲ ﺑﺮد‪ .‬ﻳﻚ اﺷﻜﺎل ﻋﻤﺪة رزﻳﺴﺖ ﻧﻮري ﻣﻨﻔﻲ اﻳﻦ‬
‫اﺳﺖ ﻛﻪ در روﻧﺪ ﻇﻬﻮر ﺗﻤﺎم ﺟﺮم رزﻳﺴﺖ ﺑﺎ ﺟﺬب ﺣﻼل ﻇﻬﻮر ﻣﺘﻮرم ﻣﻲ ﺷﻮد اﻳﻦ ﻋﻤﻞ ﺗﻮرم‪،‬‬
‫ﺗﻔﻜﻴﻚ ﻳﺎ ‪ Resolution‬رزﻳﺴﺖ ﻧﻮري ﻣﻨﻔﻲ را ﻣﺤﺪود ﻣﻲ ﻛﻨﺪ‪ .‬ﻣﻌﻤﻮﻻً ﻓﺘﻮرزﻳﺴﺖ ﻫﺎي ﻣﻨﻔﻲ در‬
‫ﻣﺤﻴﻂ ﻧﻴﺘﺮوژن ﺗﺤﺖ ﺗﺎﺑﺶ ﻗﺮار ﻣﻲ ﮔﻴﺮﻧﺪ ﭼﻮن ﻛﻪ اﻛﺴﻴﮋن ﻣﻤﻜﻦ اﺳﺖ ﺑﺎ ذرات ﻓﻌﺎل ﻓﺘﻮرزﻳﺴﺖ‬
‫واﻛﻨﺶ داده و ﻣﺎﻧﻊ واﻛﻨﺶ آﻧﻬﺎ ﺑﺎ ﭘﻠﻴﻤﺮ ﺷﻮد‪.‬‬
‫ﻧﺘﺎﻳﺞ ﺑﺪﺳﺖ آﻣﺪه از ﻓﺮآﻳﻨﺪ ﻓﺘﻮﻟﻴﺘﻮﮔﺮاﻓﻲ ﺑﺎ اﺳﺘﻔﺎده از ﺗﺮﻛﻴﺒﺎت ﻣﺘﻔﺎوت ﻣﺎﺳﻚ و ﻧﻮع رزﻳﺴﺖ در‬
‫ﺟﺪول ‪ 4.1‬ﻧﺸﺎن داده ﺷﺪه اﺳﺖ‪ .‬اﻧﺘﺨﺎب ﻣﺎﺳﻚ و ﻧﻮع رزﻳﺴﺖ واﺑﺴﺘﻪ اﺳﺖ ﺑﻪ اﻳﻨﻜﻪ ﺗﺎ ﭼﻪ ﺣﺪي‬
‫ﻣﻲﺧﻮاﻫﻴﻢ اﺑﻌﺎد را ﻛﻨﺘﺮل ﻛﻨﻴﻢ و ﺗﺎ ﭼﻪ اﻧﺪازه ﻧﻴﺎز ﺑﻪ ﻣﺤﺎﻓﻈﺖ از اﻳﺠﺎد ﻧﺎﻛﺎﻣﻠﻲ در ﺳﻄﺢ وﻳﻔﺮ‬
‫اﺳﺖ‪.‬‬

‫‪298‬‬
‫‪Photoresist Polarity‬‬
‫‪Negative‬‬ ‫‪Positive‬‬
‫‪Light‬‬
‫‪Hole‬‬ ‫‪Island‬‬
‫‪Mask‬‬ ‫‪Field‬‬
‫‪Polarity‬‬ ‫‪Dark‬‬
‫‪Island‬‬ ‫‪Hole‬‬
‫‪Field‬‬

‫ﺟﺪول ‪. 4.1‬ﺗﺮﻛﻴﺐ رزﻳﺴﺖ ﺑﺎ ﻣﺎﺳﻚ‬

‫‪ - 3- 4- 9‬ﺳﺎﺧﺘﺎر ﺷﻴﻤﻴﺎﻳﻲ ﻓﺘﻮرزﻳﺴﺖ‬

‫ﻓﺘﻮرزﻳﺴﺖ ﻫﺎ در ﺻﻨﻌﺖ ﭼﺎپ ﺑﺮاي ﻳﻚ ﻗﺮن اﺳﺘﻔﺎده ﻣﻲ ﺷﺪﻧﺪ‪ .‬در ﺳﺎل ‪ 1920‬آﻧﻬﺎ ﻛﺎرﺑﺮد‬
‫وﺳﻴﻌﻲ در ﺻﻨﻌﺖ ﻣﺪارات ﭼﺎﭘﻲ ﻳﺎﻓﺘﻨﺪ ‪ .‬در ﺳﺎل ‪ 1950‬ﺻﻨﻌﺖ ﻧﻴﻤﻪ ﻫﺎدي اﻳﻦ ﺗﻜﻨﻮﻟﻮژي را ﺑﺮاي‬
‫‪Light‬‬
‫ﺳﺎﺧﺖ وﻳﻔﺮ ﺑﻜﺎر ﺑﺮد‪ .‬در اواﺧﺮ ‪ 1950‬ﻓﺘﻮرزﻳﺴﺖ ﻣﺜﺒﺖ و ﻣﻨﻔﻲ ﺑﺮاي اﺳﺘﻔﺎده در ﻧﻴﻤﻪ ﻫﺎدﻳﻬﺎ‬
‫ﺗﻮﺳﻂ ‪ Eastman Kodak‬و ‪ Shipley Company‬ﻣﻌﺮﻓﻲ ﺷﺪﻧﺪ‪ .‬ﻓﺘﻮرزﻳﺴﺖ در واﻗﻊ ﻗﻠﺐ ﻓﺮآﻳﻨﺪ‬
‫ﻣﺎﺳﻚﮔﺬاري اﺳﺖ‪.‬‬
‫ﻣﻮاد ﺗﺸﻜﻴﻞ دﻫﻨﺪه ﻓﺘﻮرزﻳﺴﺖ‪ :‬ﻓﺘﻮرزﻳﺴﺖ ﻫﻢ ﺑﺮاي ﻛﺎرﺑﺮدﻫﺎي ﻋﻤﻮﻣﻲ و ﻫﻢ ﺑﺮاي‬
‫ﻛﺎرﺑﺮدﻫﺎي ﺧﺎص ﺳﺎﺧﺘﻪ ﻣﻲ ﺷﻮﻧﺪ‪ .‬آﻧﻬﺎ ﺑﻪ ﻣﻨﻈﻮر ﭘﺎﺳﺦ ﺑﻪ ﻃﻮل ﻣﻮﺟﻬﺎي ﻣﺸﺨﺼﻲ از ﻧﻮر و ﺑﻪ‬
‫ﻣﻨﺎﺑﻊ ﻣﺨﺘﻠﻒ ﻧﻮر ﺗﻨﻈﻴﻢ ﺷﺪهاﻧﺪ‪ .‬ﻓﺘﻮرزﻳﺴﺖﻫﺎ ﺑﻪ ﻣﻨﻈﻮر ﭼﺴﺒﻴﺪن ﺑﻪ ﺳﻄﻮح ﺧﺎﺻﻲ درﺳﺖ ﻣﻲ‬
‫ﺷﻮﻧﺪ و داراي ﻣﺸﺨﺼﺎت ﺷﺎر ﺣﺮارﺗﻲ وﻳﮋهاي ﻫﺴﺘﻨﺪ اﻳﻦ ﺧﺼﻮﺻﻴﺎت از ﻧﻮع‪ ،‬ﻛﻤﻴﺖ و ﺷﻴﻮه‬
‫ﻣﺨﻠﻮط ﻛﺮدن ﻋﻨﺎﺻﺮ ﺷﻴﻤﻴﺎﻳﻲ ﺗﺸﻜﻴﻞ دﻫﻨﺪه آن ﻧﺎﺷﻲ ﻣﻲ ﺷﻮد‪ .‬در واﻗﻊ ﭼﻬﺎر ﻋﻨﺼﺮ اﺻﻠﻲ در‬
‫ﻓﺘﻮرزﻳﺴﺖ وﺟﻮد دارد‪ :‬ﭘﻠﻴﻤﺮﻫﺎ ‪ ،‬ﺣﻼلﻫﺎ‪ ،‬ﻣﺎده ﺣﺴﺎس ﺑﻪ ﻧﻮر و ﻣﻮاد اﻓﺰاﻳﺸﻲ ﻛﻪ در ﺟﺪول ‪4.2‬‬
‫ﻧﺸﺎن داده ﺷﺪه اﻧﺪ‪.‬‬

‫‪299‬‬
‫‪Polymer structure change from soluble to polymerized (or‬‬
‫‪Polymer vice versa) when exposed by the exposure source in an‬‬
‫‪aligner.‬‬

‫‪Solvent‬‬ ‫‪Thins resist to allow application of thin layers by spinning.‬‬

‫‪Controls and modifies chemical reaction of resist during‬‬


‫‪Sensitizer‬‬
‫‪exposure.‬‬

‫‪Additives‬‬ ‫‪Various added chemical to achieve process results.‬‬

‫ﺟﺪول ‪. 4.2‬ﻣﻮاد ﺗﺸﻜﻴﻞ دﻫﻨﺪه رزﻳﺴﺖ‬

‫‪ - 4- 4- 9‬ﭘﻠﻴﻤﺮﻫﺎي ﺣﺴﺎس ﺑﻪ ﻧﻮر و ﺣﺴﺎس ﺑﻪ اﻧﺮژي‬

‫ﻋﻨﺎﺻﺮي ﻛﻪ در ﺧﺎﺻﻴﺖ ﺣﺴﺎس ﺑﻪ ﻧﻮر ﺑﻮدن ﻓﺘﻮرزﻳﺴﺖ دﺧﺎﻟﺖ دارﻧﺪ در واﻗﻊ ﭘﻠﻴﻤﺮﻫﺎي‬
‫ﺣﺴﺎس ﺑﻪ اﻧﺮژي و ﻧﻮر ﻫﺴﺘﻨﺪ‪ .‬ﭘﻠﻴﻤﺮﻫﺎ ﮔﺮوﻫﻬﺎﻳﻲ از ﻣﻮﻟﻜﻮﻟﻬﺎي ﺳﻨﮕﻴﻦ و ﺑﺰرگ ﻫﺴﺘﻨﺪ ﻛﻪ ﺷﺎﻣﻞ‬
‫ﻛﺮﺑﻦ‪ ،‬ﻫﻴﺪروژن و اﻛﺴﻴﮋن ﻫﺴﺘﻨﺪ‪ .‬ﭘﻼﺳﺘﻴﻚ در واﻗﻊ ﻓﺮﻣﻲ از ﭘﻠﻴﻤﺮ اﺳﺖ رزﻳﺴﺖﻫﺎﻳﻲ ﻛﻪ اﻏﻠﺐ‬
‫اﺳﺘﻔﺎده ﻣﻲ ﺷﻮﻧﺪ ﻃﻮري ﻃﺮاﺣﻲ ﺷﺪهاﻧﺪ ﻛﻪ ﺑﻪ ﻣﻨﺎﺑﻊ ﻧﻮر ﻣﺎوراء ﺑﻨﻔﺶ و ﻟﻴﺰر ﭘﺎﺳﺦ ﻣﻴﺪﻫﻨﺪ‪ .‬و‬
‫رزﻳﺴﺖﻫﺎي ﻧﻮري ﮔﻔﺘﻪ ﻣﻲ ﺷﻮﻧﺪ‪ .‬ﻣﺎﺑﻘﻲ ﺑﻪ اﺷﻌﻪ ‪ X‬و ﭘﺮﺗﻮ اﻟﻜﺘﺮوﻧﻲ ﭘﺎﺳﺦ ﻣﻴﺪﻫﻨﺪ‪.‬‬
‫در رزﻳﺴﺖ ﻣﻨﻔﻲ ﭘﻠﻴﻤﺮﻫﺎ ﺑﻌﺪ از اﻳﻨﻜﻪ در ﻣﻌﺮض ﻣﻨﺒﻊ ﻧﻮر ﻳﺎ اﻧﺮژي ﻗﺮار ﮔﺮﻓﺘﻨﺪ ﭘﻠﻴﻤﺮاﻳﺰ‬
‫ﻣﻲﺷﻮﻧﺪ ‪.‬‬
‫ﭘﻠﻴﻤﺮﻳﺰاﺳﻴﻮن رزﻳﺴﺖ ﻣﻨﻔﻲ در ﻣﻌﺮض ﮔﺮﻣﺎ ﻳﺎ ﻧﻮر ﻣﻌﻤﻮﻟﻲ ﻧﻴﺰ اﻧﺠﺎم ﻣﻲﺷﻮد‪ ،‬ﺑﺮاي‬
‫ﺟﻠﻮﮔﻴﺮي از اﻳﻨﻜﻪ ﺑﻪ ﻃﻮر ﺗﺼﺎدﻓﻲ در ﻣﻌﺮض ﻧﻮر ﻗﺮار ﮔﻴﺮد‪ ،‬ﻣﺤﻠﻬﺎﻳﻲ ﻛﻪ ﺑﺮاي اﻧﺠﺎم ﻣﺎﺳﻚ ﮔﺬاري‬
‫ﻧﻮري ﺑﻜﺎر ﻣﻲ رود‪ ،‬از ﻧﻮر زرد ﻳﺎ ﻓﻴﻠﺘﺮ زرد اﺳﺘﻔﺎده ﻣﻲﻛﻨﻨﺪ‪ .‬ﭘﻠﻴﻤﺮ ﻓﻮﺗﻮرزﻳﺴﺖ ﻣﺜﺒﺖ ‪Phenol-‬‬

‫‪ Formaldehyde‬ﻧﺎم دارد‪ .‬ﻫﻤﭽﻨﻴﻦ ‪ Phenol-Formaldehyde Novolak‬ﻧﻴﺰ ﻧﺎﻣﻴﺪه ﻣﻲﺷﻮد‪ .‬ﭘﻠﻴﻤﺮ‬


‫داﺧﻞ رزﻳﺴﺖ ﻣﺜﺒﺖ ﻏﻴﺮ ﻗﺎﺑﻞ ﺣﻞ اﺳﺖ ﺑﻌﺪ از اﻳﻨﻜﻪ در ﻣﻌﺮض اﻧﺮژي ﻧﻮر ﻣﻨﺎﺳﺐ ﻗﺮار ﮔﺮﻓﺖ‬
‫رزﻳﺴﺖ ﺑﻪ ﻳﻚ ﻣﺎده ﻗﺎﺑﻞ ﺣﻞ ﺗﺒﺪﻳﻞ ﻣﻲ ﺷﻮد اﻳﻦ واﻛﻨﺶ ‪ Photo solubilization‬ﻧﺎم دارد‪ .‬اﻳﻦ‬

‫‪300‬‬
‫ﻗﺴﻤﺖ از رزﻳﺴﺖ ﻛﻪ ﺗﺤﺖ ﺗﺄﺛﻴﺮ ﻧﻮر ﻗﺎﺑﻞ ﺣﻞ ﺷﺪه اﺳﺖ در ﻣﺮﺣﻠﻪ ﻇﻬﻮر ﺗﻮﺳﻂ ﻳﻚ ﺣﻼل زدوده‬
‫ﻣﻲ ﺷﻮد‪.‬‬
‫ﻓﺘﻮرزﻳﺴﺖﻫﺎ ﺑﻪ ﺷﻜﻠﻬﺎي زﻳﺎدي از اﻧﺮژي ﭘﺎﺳﺦ ﻣﻴﺪﻫﻨﺪ ‪ .‬ﻣﺜﻞ ﻧﻮر‪ ،‬ﺗﺸﻌﺸﻌﺎت ﺣﺮارﺗﻲ و ﻏﻴﺮه و ﻳﺎ‬
‫ﻗﺴﻤﺖ ﻣﺸﺨﺼﻲ از ﻃﻴﻒ اﻟﻜﺘﺮوﻣﻐﻨﺎﻃﻴﺴﻲ )ﻣﺎﻧﻨﺪ ‪. ( line , DUV, UV‬‬
‫ﺑﻪ ﻋﻨﻮان ﻣﺜﺎل رزﻳﺴﺖ ﻣﺜﺒﺖ )‪ Novalk (Novalk-based Positive resist‬ﺳﻨﺘﻲ ﺑﺎ ﻣﻨﺒﻊ‬
‫ﺗﺤﺮﻳﻚ ‪ I-line‬ﺑﻬﺘﺮﻳﻦ ﭘﺎﺳﺦ را ﻣﻴﺪﻫﺪ‪ .‬ﺗﻮﻟﻴﺪ ﻛﻨﻨﺪﮔﺎن رزﻳﺴﺖ‪ ،‬رزﻳﺴﺖﻫﺎي ﺗﻘﻮﻳﺖ ﺷﺪه ﺑﻪ‬
‫ﺻﻮرت ﺷﻴﻤﻴﺎﻳﻲ را ﺑﺮاي اﻳﻦ ﻣﻨﺎﺑﻊ ﺗﺤﺮﻳﻚ ﺳﺎﺧﺘﻪ ا ﻧﺪ‪ .‬ﻣﻨﻈﻮر از ﺗﻘﻮﻳﺖ ﺑﻪ ﺻﻮرت ﺷﻴﻤﻴﺎﻳﻲ اﻳﻦ‬
‫اﺳﺖ ﻛﻪ ﺷﺪت واﻛﻨﺶ ﺷﻴﻤﻴﺎﻳﻲ ﭘﻠﻴﻤﺮ را ﺑﺎ اﺿﺎﻓﻪ ﻛﺮدن ﻣﻮاد اﻓﺰودﻧﻲ ﺷﻴﻤﻴﺎﻳﻲ زﻳﺎد ﻛﺮدﻧﺪ ‪ .‬در‬
‫ﺟﺪول )‪ (4.3‬اﺳﺎﻣﻲ ﺗﻌﺪادي از رزﻳﺴﺖﻫﺎ ﻧﻮع ﭘﻠﻴﻤﺮ و ﻧﻮع ﻣﺜﺒﺖ و ﻣﻨﻔﻲ ﺑﻮدن آن و ﺣﺴﺎﺳﻴﺖ‬
‫ﻧﻮري ﻛﻪ در ﻣﻌﺮض آن ﻗﺮار ﻣﻲﮔﻴﺮﻧﺪ آورده ﺷﺪه اﺳﺖ‪.‬‬

‫‪Resist‬‬ ‫‪Polymer‬‬ ‫‪Polarity‬‬ ‫‪Sensitivity‬‬ ‫‪Exposure‬‬


‫)‪(coul/cm2‬‬ ‫‪radiation‬‬
‫‪Positive (M-Cresol-‬‬ ‫‪Novolak‬‬ ‫‪+‬‬ ‫‪3_5E-5‬‬ ‫‪UV‬‬
‫)‪formaldehyde‬‬
‫‪Negative‬‬ ‫‪Poly Isoprene‬‬ ‫‪-‬‬ ‫‪3_5E-5‬‬ ‫‪UV‬‬
‫‪PMMA‬‬ ‫‪Poly-(Methyl‬‬ ‫‪+‬‬ ‫‪5E-5‬‬ ‫‪E-Beam‬‬
‫)‪Methacrylate‬‬
‫‪PMIPK Isopropenyl‬‬ ‫‪Poly-(Methyl‬‬ ‫‪+‬‬ ‫‪E-5‬‬ ‫‪E-Beam/‬‬
‫‪Ketone‬‬ ‫‪Deep UV‬‬
‫‪PBS‬‬ ‫)‪Poly-(Butene-1-sulfone‬‬ ‫‪+‬‬ ‫‪2E-6‬‬ ‫‪E-Beam‬‬
‫‪TFECA‬‬ ‫‪Poly-(Trifluoroethyl‬‬ ‫‪+‬‬ ‫‪8E-7‬‬ ‫‪E-Beam‬‬
‫)‪Chloroacrylate‬‬
‫‪COP(PCA) Copolymer-(α-Cyano Ethyl‬‬ ‫‪-‬‬ ‫‪5E-7‬‬ ‫‪E-Beam/‬‬
‫‪Acrylate- α-Amido Ethyl‬‬ ‫‪X-Ray‬‬
‫)‪Acrylate‬‬
‫‪PMPS Poly-(2-Methyl Pentene-1-‬‬ ‫‪+‬‬ ‫‪2E-7‬‬ ‫‪E-Beam‬‬
‫)‪Sulfone‬‬
‫ﺟﺪول ‪ 4.3‬ﻣﻘﺎﻳﺴﻪ رزﻳﺴﺖ‬

‫‪301‬‬
‫‪ - 5- 4- 9‬ﺣﻼلﻫﺎ‬

‫ﺑﻴﺸﺘﺮﻳﻦ ﺣﺠﻢ ﻓﺘﻮرزﻳﺴﺖ را ﺣﻼل ﺗﺸﻜﻴﻞ داده اﺳﺖ ‪ .‬در واﻗﻊ ﺣﻼل اﺳﺖ ﻛﻪ رزﻳﺴﺖ را ﺑﻪ ﺷﻜﻞ‬
‫ﻣﺎﻳﻊ در آورده و اﻣﻜﺎن ﺑﻪ ﻛﺎرﺑﺮدن ﻻﻳﻪاي از آن را روي ﺳﻄﺢ وﻳﻔﺮ ﻓﺮاﻫﻢ ﻛﺮده اﺳﺖ‪ .‬ﺑﺮاي‬
‫ﻓﺘﻮرزﻳﺴﺖ ﻣﻨﻔﻲ ﺣﻼل آن از ﻧﻮع ﻣﻮاد ﻣﻌﻄﺮ )‪ (Xylene‬اﺳﺖ‪.‬‬

‫‪ - 6- 4- 9‬ﻣﻮاد ﺣﺴﺎس ﺑﻪ ﻧﻮر)‪(sensitizer‬‬

‫ﺑﻪ رزﻳﺴﺖﻫﺎ ﺑﻪ ﻣﻨﻈﻮر ﻛﻨﺘﺮل واﻛﻨﺶ و ﻳﺎ اﻳﺠﺎد واﻛﻨﺶ‪ ,‬ﭘﻠﻴﻤﺮ اﺿﺎﻓﻪ ﻣﻲﺷﻮد‪ .‬زرﻳﺴﺖﻫﺎي‬
‫ﻣﻨﻔﻲ در ﻣﻌﺮض رﻧﺞ ﻣﻌﻴﻨﻲ از ﻃﻴﻒ ﻣﺎوراء ﺑﻨﻔﺶ ﭘﻠﻴﻤﺮاﻳﺰ ﻣﻲ ﺷﻮﻧﺪ‪.‬‬
‫‪ Sensitizer‬ﻫﺎ ﺑﻪ ﻣﻨﻈﻮر ﮔﺴﺘﺮش ﻋﺮض رﻧﺞ ﭘﺎ ﺳﺦ ﻳﺎ ﻣﺤﺪود ﻛﺮدن آن ﺑﻪ ﻃﻮل ﻣﻮﺟﻬﺎي‬
‫ﻣﺸﺨﺺ‪ ،‬اﺿﺎﻓﻪ ﻣﻲ ﺷﻮﻧﺪ‪.‬‬
‫‪ Sensitizer‬ﺑﺮاي ﻓﺘﻮرزﻳﺴﺖ ﻣﻨﻔﻲ‪ Bis - aryldiazide ،‬و ﺑﺮاي رزﻳﺴﺖ ﻣﺜﺒﺖ‪،‬‬
‫‪ O-Naphtha qui Nonediazide‬اﺳﺖ‪.‬‬

‫‪ - 7- 4- 9‬ﻣﻮاد اﻓﺰودﻧﻲ‬

‫ﺑﻪ ﻣﻨﻈﻮر رﺳﻴﺪن ﺑﻪ ﻧﺘﺎﻳﺞ ﻣﻄﻠﻮب در اﻧﺘﻬﺎي ﭘﺮوﺳﻪ ﻣﻮاد اﻓﺰودﻧﻲ ﮔﻮﻧﺎﮔﻮﻧﻲ ﺑﻪ رزﻳﺴﺖ اﺿﺎﻓﻪ ﻣﻲ‬
‫ﺷﻮد‪ .‬اﻳﻦ ﻣﻮاد اﻓﺰودﻧﻲ در رزﻳﺴﺖ ﻣﻨﻔﻲ ﻣﻲ ﺗﻮاﻧﺪ رﻧﮓ ﺑﺎﺷﺪ ﺑﺎﻋﺚ اﻓﺰاﻳﺶ ﺧﺎﺻﻴﺖ ﭼﺴﺒﻨﺪﮔﻲ‬
‫ﻣﻲ ﺷﻮد‪ .‬و اﺷﻌﻪﻫﺎي ﻧﻮر را در رزﻳﺴﺖ ﻛﻨﺘﺮل ﻣﻲ ﻛﻨﺪ ‪ .‬ﻓﻮﺗﻮرزﻳﺴﺖ ﻣﺜﺒﺖ داراي ﺳﻴﺴﺘﻢﻫﺎي‬
‫ﺑﺎزدارﻧﺪه اﻧﺤﻼل اﺳﺖ‪ ،‬در واﻗﻊ ﻫﻤﻴﻦ ﻣﻮاد اﻓﺰودﻧﻲ ﻫﺴﺘﻨﺪ ﻛﻪ از اﻧﺤﻼل ﻗﺴﻤﺘﻬﺎي از رزﻳﺴﺖ ﻛﻪ‬
‫در ﻣﻌﺮض ﻧﻮر ﻧﺒﻮده اﺳﺖ ﺟﻠﻮﮔﻴﺮي ﻣﻲ ﻛﻨﻨﺪ‪.‬‬
‫اﻧﺘﺨﺎب ﻓﺘﻮرزﻳﺴﺖ ﭘﺮوﺳﻪ ﭘﻴﭽﻴﺪهاي اﺳﺖ‪ .‬ﻧﺨﺴﺘﻴﻦ ﻋﺎﻣﻞ اﻋﻤﺎل ﺷﺪه در اﻧﺘﺨﺎب‪ ،‬اﺑﻌﺎد‬
‫ﻣﻮرد ﻧﻴﺎز روي ﺳﻄﺢ وﻳﻔﺮ اﺳﺖ‪ .‬اﺑﺘﺪا رزﻳﺴﺖ ﺑﺎﻳﺪ ﻗﺎﺑﻠﻴﺖ ﺗﻮﻟﻴﺪ اﻳﻦ اﺑﻌﺎد را داﺷﺘﻪ ﺑﺎﺷﺪ‪ .‬ﺑﻌﺪ از‬
‫آن ﺑﺎﻳﺪ ﺑﻪ ﺻﻮرت ﻳﻚ ‪ Etch barrier‬در ﺣﻴﻦ ﻋﻤﻞ ‪ Etching‬ﻋﻤﻞ ﻛﻨﺪ‪ .‬ﻛﻪ ﺑﻪ اﻳﻦ ﻣﻨﻈﻮر ﻧﻴﺎز ﺑﻪ‬
‫ﺿﺨﺎﻣﺖ ﻣﺸﺨﺼﻲ دارد‪ .‬در ﻧﻘﺶ ‪ ، Etch barrier‬ﻓﺘﻮرزﻳﺴﺖ ﺑﺎﻳﺪ ﻋﺎري از ﺣﻔﺮهﻫﺎي رﻳﺰ )‪(Pinholes‬‬

‫ﺑﺎﺷﺪ‪ ,‬ﻛﻪ ﺑﺎز ﻫﻢ ﻧﻴﺎز ﺑﻪ ﺿﺨﺎﻣﺖ ﻣﻌﻴﻨﻲ دارد‪ .‬ﻋﻼوه ﺑﺮ آن ﺑﺎﻳﺪ ﺑﺮوي ﺳﻄﺢ وﻳﻔﺮ ﺑﭽﺴﺒﺪ‪ .‬در اﻧﺘﺨﺎب‬
‫رزﻳﺴﺖ ﻣﻬﻨﺪس ﺑﺎﻳﺪ ﺑﻴﻦ ﻓﺎﻛﺘﻮرﻫﺎي اﻧﺘﺨﺎب‪ ,‬ﻣﻮازﻧﻪ)‪ (Trade off‬ﺑﺮﻗﺮار ﻛﻨﺪ‪.‬‬

‫‪302‬‬
‫‪ -5-9‬ﻓﺎﻛﺘﻮرﻫﺎي اﻧﺘﺨﺎب ﻓﺘﻮ رزﻳﺴﺖ‬

‫‪ - 1- 5- 9‬ﻗﺎﺑﻠﻴﺖ ﺗﻔﻜﻴﻚ )رزوﻟﻮﺷﻦ (‬

‫ﺗﻌﺮﻳﻒ‪ :‬ﻛﻮﭼﻜﺘﺮﻳﻦ ﻓﻀﺎي ﺑﺎزي ﻛﻪ روي ﻳﻚ ﻓﺘﻮرزﻳﺴﺖ ﻣﺸﺨﺺ ﻣﻲ ﺗﻮاﻧﺪ اﻳﺠﺎد ﻛﺮد‬
‫ﻗﺎﺑﻠﻴﺖ رزوﻟﻮﺷﻦ آن ﻧﺎﻣﻴﺪه ﻣﻴﺸﻮد‪ .‬ﻫﺮ ﭼﻪ اﻳﻦ ﻓﻀﺎ ﻛﻮﭼﻜﺘﺮ ﺑﺎﺷﺪ رزوﻟﻮﺷﻦ ﺑﺎﻻﺗﺮي دارد‪.‬‬
‫ﻻﻳﻪ رزﻳﺴﺖ ﺑﺎﻳﺪ ﺑﻪ اﻧﺪازه ﻛﺎﻓﻲ ﺿﺨﻴﻢ ﺑﺎﺷﺪ ﺗﺎ ﺑﻪ ﻋﻨﻮان ﻳﻚ ‪ Etch-barrier‬ﻋﻤﻞ ﻛﻨﺪ و‬
‫ﻫﻤﭽﻨﻴﻦ ﻋﺎري از ﺣﻔﺮهﻫﺎي رﻳﺰ ﺑﺎﺷﺪ‪ .‬ﺑﻪ ﻃﻮر ﻛﻠﻲ ﻣﻨﺎﻓﺬ ﻛﻮﭼﻜﺘﺮ در رزﻳﺴﺖﻫﺎي ﻧﺎزك اﻳﺠﺎد ﻣﻲ‬
‫ﺷﻮﻧﺪ‪ .‬اﻧﺘﺨﺎب ﺿﺨﺎﻣﺖ رزﻳﺴﺖ ﻣﻮازﻧﻪاي اﺳﺖ ﻛﻪ ﺑﻴﻦ اﻳﻦ دو ﻫﺪف‪ .‬ﻗﺎﺑﻠﻴﺖ ﻛﺎراﻳﻲ ﻳﻚ رزﻳﺴﺖ‬
‫ﻣﺸﺨﺺ ﺑﻪ رزوﻟﻮﺷﻦ و ﺿﺨﺎﻣﺖ ﻛﻪ ﺗﻮﺳﻂ ﭘﺎراﻣﺘﺮ ‪ Aspect Ratio‬اﻧﺪازهﮔﻴﺮي ﻣﻲ ﺷﻮد واﺑﺴﺘﻪ اﺳﺖ‪.‬‬
‫اﻳﻦ ﻣﻘﺪار ﺑﺮاﺑﺮ اﺳﺖ ﺑﺎ ﻧﺴﺒﺖ ﺿﺨﺎﻣﺖ رزﻳﺴﺖ ﺑﻪ ﭘﻬﻨﺎي ﺣﻔﺮه اﻳﺠﺎد ﺷﺪه)ﺷﻜﻞ ‪.(4.7‬رزﻳﺴﺖﻫﺎي‬
‫ﻣﺜﺒﺖ ‪ AR‬ﺑﺎﻻﺗﺮي در ﻣﻘﺎﻳﺴﻪ ﺑﺎ رزﻳﺴﺖﻫﺎي ﻣﻨﻔﻲ دارد ﺑﻪ اﻳﻦ ﻣﻌﻨﻲ ﻛﻪ ﺑﺮاي ﻳﻚ ﭘﻨﺠﺮه ﺑﺎ ﺳﺎﻳﺰ‬
‫ﻣﺸﺨﺺ ‪ ,‬ﻻﻳﻪ رزﻳﺴﺖ ﻣﻲ ﺗﻮاﻧﺪ ﻧﺎزﻛﺘﺮ ﺑﺎﺷﺪ ‪ .‬ﺗﻮاﻧﺎﻳﻲ رزﻳﺴﺖ ﻣﺜﺒﺖ در ﺑﻮﺟﻮد آوردن ﭘﻨﺠﺮه‬
‫ﻛﻮﭼﻜﺘﺮ ﻧﺘﻴﺠﻪ ﻣﻮﻟﻜﻮﻟﻬﺎي ﭘﻠﻴﻤﺮ ﺑﺎ ﺳﺎﻳﺰ ﻛﻮﭼﻜﺘﺮ اﺳﺖ‪.‬‬

‫‪T‬‬
‫= ‪Aspect Ratio‬‬
‫‪W‬‬
‫ﺷﻜﻞ ‪ .4.7‬ﻗﺎﺑﻠﻴﺖ رزوﻟﻮﺷﻦ‬

‫‪ - 2- 5- 9‬ﻗﺎﺑﻠﻴﺖ ﭼﺴﺒﻨﺪﮔﻲ‬

‫ﻓﺘﻮرزﻳﺴﺖ در ﻧﻘﺶ ‪ Etch barrier‬ﺑﺎﻳﺪ ﺑﻪ ﺧﻮﺑﻲ روي ﺳﻄﺢ ﺑﭽﺴﺒﺪ‪ .‬ﭼﺴﺒﻨﺪﮔﻲ ﻛﻢ ﻣﻨﺠﺮ ﺑﻪ اﻳﺠﺎد‬
‫ﺗﺼﺎوﻳﺮي ﻏﻴﺮ دﻗﻴﻖ ﻣﻲ ﺷﻮد‪ .‬رزﻳﺴﺖﻫﺎ در ﻣﻘﺪار ﭼﺴﺒﻨﺪﮔﻲ ﺑﻪ ﺳﻄﻮح ﻣﺨﺘﻠﻒ ﻛﻪ در ﺳﺎﺧﺖ‬
‫ﺗﺮاﺷﻪ ﺑﻜﺎر ﻣﻲ رود ﻓﺮق ﻣﻲ ﻛﻨﺪ ‪ .‬ﻓﺮآﻳﻨﺪ ﻣﺎﺳﻚ ﮔﺬاري ﻧﻮري‪ ،‬ﺷﺎﻣﻞ ﻳﻚ ﺳﺮي ﻣﺮاﺣﻞ ﻣﺸﺨﺺ‬

‫‪303‬‬
‫اﺳﺖ ﻛﻪ ﭼﺴﺒﻨﺪﮔﻲ ﻃﺒﻴﻌﻲ رزﻳﺴﺖ ﺑﻪ ﺳﻄﺢ وﻳﻔﺮ را ارﺗﻘﺎء ﻣﻴﺪﻫﺪ ‪ .‬ﺑﻪ ﻃﻮر ﻛﻠﻲ رزﻳﺴﺖﻫﺎي ﻣﻨﻔﻲ‬
‫ﻗﺪرت ﭼﺴﺒﻨﺪﮔﻲ ﺑﻴﺸﺘﺮي ﻧﺴﺐ ﺑﻪ رزﻳﺴﺖﻫﺎي ﻣﺜﺒﺖ دارﻧﺪ‪.‬‬

‫‪ - 3- 5- 9‬ﺳﺮﻋﺖ در ﻣﻌﺮض ﻧﻮر ﻗﺮار ﮔﺮﻓﺘﻦ ﻓﺘﻮرزﻳﺴﺖ‪ ،‬ﺣﺴﺎﺳﻴﺖ و ﻣﻨﺒﻊ ﺗﺤﺮﻳﻚ‬

‫اوﻟﻴﻦ واﻛﻨﺶ ﻓﺘﻮرزﻳﺴﺖ ﺗﻐﻴﻴﺮ در ﺳﺎﺧﺘﺎر آن در ﭘﺎﺳﺦ ﺑﻪ ﻧﻮر ﻳﺎ ﺗﺸﻌﺸﻌﻲ ﻛﻪ در ﻣﻌﺮض آن ﻗﺮار‬
‫ﻣﻲﮔﻴﺮد اﺳﺖ‪ .‬ﻣﻬﻤﺘﺮﻳﻦ ﻓﺎﻛﺘﻮر ﻓﺮآﻳﻨﺪ‪ ،‬ﺳﺮﻋﺘﻲ اﺳﺖ ﻛﻪ در واﻗﻊ واﻛﻨﺶ در آن ﺳﺮﻋﺖ رخ‬
‫ﻣﻴﺪﻫﺪ‪ .‬ﻓﺘﻮرزﻳﺴﺖ ﻧﻮع ﻣﻨﻔﻲ ﻧﻮﻋﺎً ﺑﻪ ‪ 5‬ﺗﺎ ‪ 15‬ﺛﺎﻧﻴﻪ زﻣﺎن ﻧﻴﺎز دارد‪ .‬در ﺣﺎﻟﻴﻜﻪ ﻓﺘﻮرزﻳﺴﺖ ﻣﺜﺒﺖ ‪3‬‬
‫ﺗﺎ ‪ 4‬ﺑﺮاﺑﺮ ﺑﻪ زﻣﺎن ﻃﻮﻻﻧﻲﺗﺮي ﻧﻴﺎز دارد‪.‬‬
‫ﺣﺴﺎﺳﻴﺖ رزﻳﺴﺖ واﺑﺴﺘﻪ اﺳﺖ ﺑﻪ ﻣﻘﺪار اﻧﺮژي ﻣﻮرد ﻧﻴﺎز ﺟﻬﺖ اﻧﺠﺎم ﭘﻠﻴﻤﺮﻳﺰاﺳﻴﻮن و‬
‫‪ .Photo solubilization‬ﻋﻼوه ﺑﺮ آن‪ ،‬ﺣﺴﺎﺳﻴﺖ‪ ,‬ﺑﻪ ﻃﻮل ﻣﻮج اﻧﺮژي ﺗﺎﺑﺸﻲ ﻧﻴﺰ واﺑﺴﺘﻪ اﺳﺖ‪ .‬ﻓﻬﻢ‬
‫اﻳﻦ ﻣﻄﻠﺐ ﻧﻴﺎز ﺑﻪ آﺷﻨﺎﻳﻲ ﺑﺎ ﻃﺒﻴﻌﺖ ﻣﻮج اﻟﻜﺘﺮوﻣﻐﻨﺎﻃﻴﺴﻲ دارد‪ .‬اﻣﻮاج ﺑﺎ ﻃﻮل ﻣﻮج ﻛﻮﺗﺎﻫﺘﺮ‬
‫اﻧﺮژيﻫﺎي ﺑﻴﺸﺘﺮي دارﻧﺪ‪.‬‬
‫ﻓﺘﻮرزﻳﺴﺖﻫﺎي ﻣﻨﻔﻲ و ﻣﺜﺒﺖ ﺑﻪ اﻧﺮژﻳﻬﺎي در رﻧﺞ ‪ UV‬و ‪ DUV‬ﭘﺎﺳﺦ ﻣﻴﺪﻫﻨﺪ ‪.‬‬
‫ﺑﻌﻀﻲ از ﻓﺘﻮرزﻳﺴﺖﻫﺎ ﺑﻪ ﻣﻨﻈﻮر ﭘﺎﺳﺦ ﺑﻪ ﭘﻴﻜﻬﺎي ﻣﺸﺨﺼﻲ از ﻃﻮل ﻣﻮج ﻃﺮاﺣﻲ ﺷﺪهاﻧﺪ‪.‬‬
‫)‪ i ، h ، g‬در ﺷﻜﻞ‪ .( 4.8‬ﺑﻌﻀﻲ رزﻳﺴﺖﻫﺎ ﺑﺮاي ﻛﺎر ﺑﺎ اﺷﻌﻪ ‪ X‬ﻳﺎ ﭘﺮﺗﻮاﻟﻜﺘﺮوﻧﻲ ﻃﺮاﺣﻲ ﺷﺪهاﻧﺪ‪.‬‬
‫ﺣﺴﺎﺳﻴﺖ رزﻳﺴﺖ ﺑﻪ ﻋﻨﻮان ﻳﻚ ﭘﺎراﻣﺘﺮ ﺑﻪ ﺻﻮرت ﻣﻘﺪار اﻧﺮژي ﻣﻮرد ﻧﻴﺎز ﺑﺮاي راهاﻧﺪازي واﻛﻨﺶ‬
‫اﺻﻠﻲ اﻧﺪازهﮔﻴﺮي ﻣﻲ ﺷﻮد‪.‬‬

‫‪304‬‬
‫ﺷﻜﻞ ‪ . 4.8‬اﺳﭙﻜﺘﺮوم ﻣﺮﻛﻮري )‪(Hg‬‬

‫واﺣﺪ آن ﺑﺮﺣﺴﺐ ﻣﻴﻠﻲ ژول ﺑﺮﺳﺎﻧﺘﻲﻣﺘﺮ ﻣﺮﺑﻊ اﺳﺖ‪ .‬ﻃﻮل ﻣﻮﺟﻬﺎي ﻣﻌﻴﻨﻲ ﻛﻪ رزﻳﺴﺖ ﺑﻪ آﻧﻬﺎ‬
‫ﭘﺎﺳﺦ ﻣﻴﺪﻫﺪ ﻣﺸﺨﺼﻪ ﭘﺎﺳﺦ ﻃﻴﻔﻲ ﻧﺎﻣﻴﺪه ﻣﻲ ﺷﻮد‪.‬‬

‫‪ - 4- 5- 9‬درﺟﻪ آزادي ﻓﺮآﻳﻨﺪ‪(Process Latitude ) :‬‬

‫ﻫﺮ ﻣﺮﺣﻠﻪ از ﻓﺮآﻳﻨﺪ ﻓﺘﻮﻟﻴﺘﻮﮔﺮاﻓﻲ ﺑﺮ روي ﺳﺎﻳﺰ ﺗﺼﻮﻳﺮ ﻧﻬﺎﻳﻲ ﺗﺄﺛﻴﺮ دارد و ﻫﺮ ﻳﻚ از‬
‫ﻣﺮاﺣﻞ ﻳﻚ ﺳﺮي ﺗﻐﻴﻴﺮات ذاﺗﻲ دارد‪ .‬ﺑﻌﻀﻲ از رزﻳﺴﺖﻫﺎ ﺗﺤﻤﻞ ﭘﺬﻳﺮي ﺑﺎﻻﺗﺮي ﻧﺴﺒﺖ ﺑﻪ اﻳﻦ‬
‫ﺗﻐﻴﻴﺮات دارﻧﺪ‪ .‬ﺑﻪ ﻫﻤﻴﻦ دﻟﻴﻞ اﺳﺖ ﻛﻪ درﺟﻪ آزادي ﻓﺮآﻳﻨﺪ ﺑﺎﻻﺗﺮي دارﻧﺪ‪ .‬ﻫﺮ ﭼﻪ ‪Process‬‬

‫‪ latitude‬ﺑﻴﺸﺘﺮ ﺑﺎﺷﺪ اﺣﺘﻤﺎل اﻳﻨﻜﻪ ﺗﺼﻮﻳﺮ روي وﻳﻔﺮ ﻣﺸﺨﺼﺎت و اﺑﻌﺎد ﻣﻮرد ﻧﻈﺮ را داﺷﺘﻪ ﺑﺎﺷﺪ‬
‫ﺑﻴﺸﺘﺮ اﺳﺖ ‪.‬‬

‫‪ - 5- 5- 9‬ﺣﻔﺮه ﻫﺎي رﻳﺰ)‪(Pinholes‬‬

‫در واﻗﻊ ﺟﺎﻫﺎي ﺧﺎﻟﻲ ﺑﺴﻴﺎر ﻛﻮﭼﻜﻲ در ﻻﻳﻪ رزﻳﺴﺖ ﻫﺴﺘﻨﺪ‪ .‬آﻧﻬﺎ زﻳﺎن ﺑﺨﺶاﻧﺪ ﺑﻪ اﻳﻦ دﻟﻴﻞ ﻛﻪ ﺑﻪ‬
‫زداﻳﻨﺪهﻫﺎ اﺟﺎزه ﻣﻴﺪﻫﻨﺪ ﻛﻪ در ﻻﻳﻪ رزﻳﺴﺖ ﺑﺎﻗﻲ ﺑﻤﺎﻧﻨﺪ و ﺣﻔﺮهﻫﺎي ﻧﺎﺧﻮاﺳﺘﻪ در ﺳﻄﺢ اﻳﺠﺎد‬
‫ﻛﻨﺪ‪ Pinholes .‬ﻧﺎﺷﻲ از آﻟﻮدﮔﻴﻬﺎي ذرهاي ﻣﺤﻴﻂ ‪ ،‬ﭘﺮوﺳﻪ ﭼﺮﺧﺎﻧﺪن )در ﻣﺮﺣﻠﻪ ﻧﺸﺎﻧﺪن رزﻳﺴﺖ (‬
‫و ﺟﺎﻫﺎي ﺧﺎﻟﻲ ﻛﻪ در ﺳﺎﺧﺘﺎر رزﻳﺴﺖ ﻗﺮار دارد‪ ،‬ﻣﻲﺑﺎﺷﻨﺪ ‪.‬‬

‫‪305‬‬
‫ﻫﺮ ﭼﻪ ﻻﻳﻪ رزﻳﺴﺖ ﻧﺎزكﺗﺮ ﺑﺎﺷﺪ ﺗﻌﺪاد ‪ Pinhole‬ﺑﻴﺸﺘﺮ اﺳﺖ ‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ ﻻﻳﻪﻫﺎي رزﻳﺴﺖ‬
‫ﺿﺨﻴﻢ ﺗﻌﺪاد ‪ Pinhole‬ﻛﻤﺘﺮي دارﻧﺪ‪ .‬وﻟﻲ ﻗﺎﺑﻠﻴﺖ ﺗﻔﻜﻴﻚ ﻣﻨﺎﻓﺬ ﻛﻮﭼﻚ در آﻧﻬﺎ ﺳﺨﺖ اﺳﺖ ‪ .‬ﺗﻌﺎدل‬
‫ﺑﻴﻦ اﻳﻦ دو ﻓﺎﻛﺘﻮر ﻳﻜﻲ از ﻣﻮازات ﻛﻼﺳﻴﻚ در ﻣﺸﺨﺺ ﻛﺮدن ﺿﺨﺎﻣﺖ رزﻳﺴﺖ اﺳﺖ ‪.‬‬
‫در ﻗﺴﻤﺖ ﻫﺎي ﻗﺒﻞ ذﻛﺮ ﺷﺪ ﻛﻪ ﻓﻮﺗﻮرزﻳﺴﺖ ﻣﺜﺒﺖ ‪ Aspect Ratio‬ﺑﻴﺸﺘﺮي دارد ﺑﻨﺎﺑﺮاﻳﻦ ﻧﺴﺒﺖ ﺑﻪ‬
‫ﻓﻮﺗﻮرزﻳﺴﺖ ﻣﻨﻔﻲ از ﻣﻨﺎﻓﺬ ﻛﻤﺘﺮي ﺑﺮﺧﻮردار اﺳﺖ‪.‬‬

‫‪ - 6- 5- 9‬ﺳﻄﻮح آﻟﻮدﮔﻲ و ذرات‬

‫ﻓﺘﻮرزﻳﺴﺖ از ﻧﻈﺮ ﻣﺤﺘﻮاي ذره‪ ،‬آﻟﻮدﮔﻲﻫﺎي ﻓﻠﺰ و ﺳﺪﻳﻢ و آب ﺑﺎﻳﺪ از اﺳﺘﺎﻧﺪاردﻫﺎي ﻣﻮﺟﻮد ﺗﺒﻌﻴﺖ‬
‫ﻛﻨﺪ‪.‬‬

‫‪ - 7- 5- 9‬ﺷﺎرش ﺣﺮارﺗﻲ) ‪(Thermal flow‬‬

‫در ﺣﻴﻦ ﻓﺮآﻳﻨﺪ ﻣﺎﺳﻚ ﮔﺬاري دو ﻣﺮﺣﻠﻪ ﮔﺮﻣﺎﻳﻲ وﺟﻮد دارد‪ .‬اوﻟﻲ ﭘﺨﺖ ﻧﺮم ﻧﺎم دارد‪ ،‬ﻛﻪ‬
‫ﺣﻼل ﻣﻮﺟﻮد در رزﻳﺴﺖ را ﺑﻪ ﺻﻮرت ﺟﺰﺋﻲ ﺗﺒﺨﻴﺮ ﻣﻲ ﻛﻨﺪ ‪ .‬دوﻣﻴﻦ ﭘﺨﺖ‪ ،‬ﭘﺨﺖ ﺳﺨﺖ اﺳﺖ ﻛﻪ‬
‫ﺑﻌﺪ از ﻣﺮﺣﻠﻪ ﻇﻬﻮر اﻧﺠﺎم ﻣﻲ ﺷﻮد‪ .‬ﻫﺪف ﭘﺨﺖ ﺳﺨﺖ اﻓﺰاﻳﺶ ﭼﺴﺒﻨﺪﮔﻲ رزﻳﺴﺖ ﺑﺮ روي ﺳﻄﺢ‬
‫وﻳﻔﺮ اﺳﺖ‪ .‬رزﻳﺴﺖ ﻣﺎده اي ﭘﻼﺳﺘﻴﻚ ﻣﺎﻧﻨﺪ اﺳﺖ‪ .‬در ﻣﺮﺣﻠﻪ ﭘﺨﺖ ﺳﺨﺖ رزﻳﺴﺖ ﻧﺮم ﻣﻲ ﺷﻮد و‬
‫ﺟﺎري ﻣﻲﺷﻮد‪ .‬ﻣﻘﺪار ﺷﺎرش در ﺳﺎﻳﺰ ﺗﺼﻮﻳﺮ ﻧﻬﺎﻳﻲ ﻧﻘﺶ ﺑﻪ ﺳﺰاﻳﻲ دارد‪ .‬رزﻳﺴﺖ ﺑﺎﻳﺪ ﺷﻜﻞ و‬
‫ﺳﺎﺧﺘﺎر ﺧﻮد را در ﺣﻴﻦ ﻋﻤﻞ ﭘﺨﺖ ﺣﻔﻆ ﻛﻨﺪ ﻳﺎ در ﻣﺮﺣﻠﻪ ﻃﺮاﺣﻲ ﺗﻐﻴﻴﺮ اﺑﻌﺎد و ﻧﺎﺷﻲ از ﺷﺎرش‬
‫ﺣﺮارﺗﻲ ﻣﺪ ﻧﻈﺮ ﻗﺮار ﮔﻴﺮد‪ .‬ﻫﺪف ﻣﻬﻨﺪس ﭘﺮوﺳﻪ رﺳﻴﺪن ﺑﻪ دﻣﺎﻫﺎي ﺑﺎﻻﺗﺮ اﺳﺖ ﺗﺎ ﭼﺴﺒﻨﺪﮔﻲ‬
‫ﻣﺎﻛﺰﻳﻤﻢ ﺷﻮد وﻟﻲ اﻳﻦ دﻣﺎ ﺗﻮﺳﻂ ﻣﺸﺨﺼﻪﻫﺎي ﺷﺎرﺷﻲ رزﻳﺴﺖ ﻣﺤﺪود ﻣﻲ ﺷﻮد ﺑﻪ ﻃﻮر ﻛﻠﻲ ﻫﺮ‬
‫ﭼﻪ از ﻧﻈﺮ ﺷﺎرش ﺣﺮارﺗﻲ رزﻳﺴﺖ ﭘﺎﻳﺪارﺗﺮ ﺑﺎﺷﺪ ﭘﺮوﺳﻪ ﺑﻬﺘﺮ اﻧﺠﺎم ﻣﻲ ﺷﻮد‪.‬‬

‫‪ - 1- 7- 5- 9‬ﭘﻮﺷﺶ ﺳﻄﺢ‬

‫در ﻣﺮاﺣﻞ ﺛﺎﻧﻮﻳﻪ ﭘﺮوﺳﻪ ﻣﺎﺳﻚ ﮔﺬاري ﺳﻄﺢ وﻳﻔﺮ ﻣﻤﻜﻦ اﺳﺖ دﻳﮕﺮ ﻣﺴﻄﺢ ﻧﺒﺎﺷﺪ و ﭘﻠﻪ ﭘﻠﻪ ﺑﺎﺷﺪ‪.‬‬
‫ﺑﻪ ﻣﻨﻈﻮر اﻳﻨﻜﻪ رزﻳﺴﺖ وﻇﻴﻔﻪ اﺻﻠﻲ ﺧﻮد ﻳﻌﻨﻲ ﻣﻘﺎوﻣﺖ در ﺑﺮاﺑﺮ زداﻳﻨﺪه را اﻧﺠﺎم دﻫﺪ ﻣﻲ ﺑﺎﻳﺴﺘﻲ‬
‫ﺑﻪ ﺧﻮﺑﻲ ﺗﻤﺎﻣﻲ ﺳﻄﺢ و ﭘﺴﺘﻲ و ﺑﻠﻨﺪي ﻫﺎ را ﺑﭙﻮﺷﺎﻧﺪ ﻛﻪ اﻳﻦ ﻗﺎﺑﻠﻴﺖ رزﻳﺴﺖ ﺑﻪ ﻛﻤﻚ اﻳﻦ ﻓﺎﻛﺘﻮر‬
‫ﺳﻨﺠﻴﺪه ﻣﻲ ﺷﻮد‪.‬‬

‫‪306‬‬
‫‪ - 8- 5- 9‬ﺧﻮاص ﻓﻴﺰﻳﻜﻲ ﻓﺘﻮرزﻳﺴﺖ‬

‫ﻋﻼوه ﺑﺮ ﺧﻮاﺻﻲ ﻛﻪ ﺗﺎ ﺑﻪ ﺣﺎل ﺑﺮاي ﻓﺘﻮرزﻳﺴﺖ ﺑﺮﺷﻤﺮدﻳﻢ‪ ,‬ﻓﺘﻮرزﻳﺴﺖ ﻫﺎ داراي ﻳﻚ ﺳﺮي ﺧﻮاص‬
‫ﻓﻴﺰﻳﻜﻲ ﻣﻲ ﺑﺎﺷﻨﺪ ﻛﻪ در ﻓﺮآﻳﻨﺪ ﻟﻴﺘﻮﮔﺮاﻓﻲ داراي اﻫﻤﻴﺖ زﻳﺎدي ﻫﺴﺘﻨﺪ‪ .‬اﻳﻦ ﺧﺎﺻﻴﺖ ﻫﺎ ﺷﺎﻣﻞ‬
‫درﺻﺪ ﺟﺎﻣﺪي ‪ ،‬وﻳﺴﻜﻮزﺗﻪ و ﻫﻤﭽﻨﻴﻦ ﻛﺸﺶ ﺳﻄﺤﻲ ﻓﺘﻮرزﻳﺴﺖ ﻣﻲ ﺑﺎﺷﻨﺪ ‪.‬‬

‫‪Solids Content‬‬ ‫‪- 9- 5- 9‬‬


‫اﻳﻦ ﭘﺎراﻣﺘﺮ ﻋﺒﺎرت اﺳﺖ از درﺻﺪ وزﻧﻲ ﻣﻮاد ﺟﺎﻣﺪي ﻛﻪ ﺑﺮاي ﺳﺎﺧﺖ ﻓﺘﻮرزﻳﺴﺖ اﺳﺘﻔﺎده ﺷﺪه ﺑﻪ‬
‫وزن ﻛﻠﻲ ﻓﺘﻮرزﻳﺴﺖ‪ .‬اﻳﻦ ﻣﻮاد ﺟﺎﻣﺪ ﻣﻲ ﺗﻮاﻧﻨﺪ ﭘﻠﻴﻤﺮﻫﺎ‪ ،‬ﻣﻮاد ﺣﺴﺎس ﺑﻪ ﻧﻮر و ﻣﻮاد اﻓﺰودﻧﻲ ﺟﺎﻣﺪ‬
‫ﺑﺎﺷﻨﺪ ‪.‬‬
‫اﻳﻦ ﭘﺎراﻣﺘﺮ ﺑﻪ ﻫﻤﺮاه وﻳﺴﻜﻮزﻳﺘﻪ و ﺳﺮﻋﺖ ﭼﺮﺧﺶ ﺳﻄﺢ دوار در ﻣﺮﺣﻠﻪ اﻋﻤﺎل ﻓﺘﻮرزﻳﺴﺖ‪,‬‬

‫ﺿﺨﺎﻣﺖ ﻓﺘﻮرزﻳﺴﺘﻲ را ﻛﻪ روي ﺳﻄﺢ وﻳﻔﺮ ﺗﺸﻜﻴﻞ ﻣﻲ ﺷﻮد را ﻣﺸﺨﺺ ﻣﻲ ﻛﻨﺪ ‪.‬‬

‫‪Viscosity‬‬ ‫‪- 10- 5- 9‬‬


‫وﻳﺴﻜﻮزﻳﺘﻪ ﻧﺸﺎن دﻫﻨﺪه ﻣﻴﺰان روان ﺑﻮدن ﻳﻚ ﻣﺎﻳﻊ اﺳﺖ‪ .‬در ﻧﺘﻴﺠﻪ ﻳﻚ ﻣﺎﻳﻊ ﺑﺎ وﻳﺴﻜﻮزﻳﺘﻪ ﺑﺎﻻ‬
‫ﺑﻪ ﺳﺨﺘﻲ ﺟﺮﻳﺎن ﭘﻴﺪا ﻣﻲ ﻛﻨﺪ‪.‬‬
‫وﻳﺴﻜﻮزﻳﺘﻪ ﺑﺎ ﺗﻘﺴﻴﻢ ﻧﻴﺮوي ﺑﺮ ﺳﺮﻋﺖ ﻣﺤﺎﺳﺒﻪ ﻣﻲ ﺷﻮد‪ .‬ﺑﻪ اﻳﻦ ﺷﻜﻞ ﻛﻪ ﻳﻚ ﭘﺮه را ﺑﺎ ﻧﻴﺮوي ﺛﺎﺑﺖ‬
‫در ﻣﺎﻳﻊ ﻣﻮرد ﺑﺤﺚ ﺑﻪ ﺣﺮﻛﺖ در ﻣﻲ اورﻳﻢ و ﺑﺎ ﺗﻘﺴﻴﻢ اﻳﻦ ﻧﻴﺮو ﺑﺮ ﺣﺪاﻛﺜﺮ ﺳﺮﻋﺘﻲ ﻛﻪ ﭘﺮه ﺑﻪ ان‬
‫ﻣﻲ رﺳﺪ‪ ،‬وﻳﺴﻜﻮزﻳﺘﻪ ﺑﻪ دﺳﺖ ﻣﻲ آﻳﺪ‪ ,‬ﻛﻪ واﺣﺪ آن ‪ Centipoise‬ﻣﻲ ﺑﺎﺷﺪ ‪.‬‬

‫‪ - 11- 5- 9‬ﻛﺸﺶ ﺳﻄﺤﻲ‬

‫ﻛﺸﺶ ﺳﻄﺤﻲ ﻧﺸﺎن دﻫﻨﺪه اﻳﻦ اﺳﺖ ﻛﻪ ﻳﻚ ﻣﺎﻳﻊ ﺗﺎ ﭼﻪ ﺣﺪ ﻣﻲ ﺗﻮاﻧﺪ در ﻳﻚ ﺳﻄﺢ ﺻﺎف ﭘﺨﺶ‬
‫ﺷﻮد ﻳﺎ ﺑﻠﻌﻜﺲ ﺑﻪ ﺷﻜﻞ ﻗﻄﺮه دراﻳﺪ)ﺷﻜﻞ ‪. (4.9‬‬
‫اﻳﻦ ﭘﺎراﻣﺘﺮ ﻫﻢ در ﭘﺨﺶ ﺷﺪن ﺻﺤﻴﺢ و ﺑﺪون ﻣﺸﻜﻞ ﻓﺘﻮرزﻳﺴﺖ روي ﺳﻄﺢ وﻳﻔﺮ ﭘﺎراﻣﺘﺮ ﻣﻬﻤﻲ‬
‫اﺳﺖ‪.‬‬

‫‪307‬‬
‫ﺷﻜﻞ ‪ 4.9‬ﻛﺸﺶ ﺳﻄﺤﻲ‬

‫‪ - 12- 5- 9‬ﻣﻘﺎﻳﺴﻪ رزﻳﺴﺖ ﻣﺜﺒﺖ و ﻣﻨﻔﻲ‬

‫ﺗﺎ اواﺳﻂ ﺳﺎل ‪ 1970‬رزﻳﺴﺖ ﻣﻨﻔﻲ در ﻓﺮآﻳﻨﺪ ﻣﺎﺳﻚ ﮔﺬاري ﻏﺎﻟﺐ ﺑﻮد‪ .‬ﺑﺎ ﻇﻬﻮر ﻣﺪارﻫﺎي‬
‫‪ VLSI‬و ﺗﺼﺎوﻳﺮ ﺑﺎ ﺳﺎﻳﺰ ‪ 2-5µm‬ﻗﺎﺑﻠﻴﺖ رزوﻟﻮﺷﻦ رزﻳﺴﺖ ﻣﻨﻔﻲ دﻳﮕﺮ ﺟﻮاﺑﮕﻮ ﻧﺒﻮد‪ .‬در ﺳﺎل ‪1980‬‬
‫رزﻳﺴﺖ ﻣﺜﺒﺖ رزﻳﺴﺖ ﻣﻨﺘﺨﺐ در ﻓﺮآﻳﻨﺪ ﺷﺪ‪ ,‬وﻟﻲ ﭼﺴﺒﻨﺪﮔﻲ ﺿﻌﻴﻒ آن ﻳﻜﻲ از ﻣﻌﺎﻳﺐ آن ﺑﻮد‪.‬‬
‫اﻳﻦ اﻧﺘﻘﺎل ﺧﻴﻠﻲ راﺣﺖ ﻧﺒﻮد‪ ,‬ﻣﺘﺄﺳﻔﺎﻧﻪ ﻓﻘﻂ ﺑﺎ ﻋﻜﺲ ﻛﺮدن زﻣﻴﻨﻪ ﻣﺎﺳﻚ در ﻓﺮآﻳﻨﺪ ﺳﺎﺧﺖ‬
‫ﻣﺎﺳﻚ‪ ,‬اﻳﻦ ﺟﺎﺑﺠﺎﻳﻲ رزﻳﺴﺖ ﻣﻨﻔﻲ ﺑﺎ ﻣﺜﺒﺖ اﻣﻜﺎن ﭘﺬﻳﺮ ﻧﺒﻮد‪ .‬اﺑﻌﺎد اﻟﮕﻮي ﻣﻨﺘﻘﻞ ﺷﺪه روي‬
‫رزﻳﺴﺖ ﺑﺎ دو ﻧﻮع رزﻳﺴﺖ ﻣﺘﻔﺎوت ﺑﺎ ﻫﻢ ﺗﻔﺎوت ﻣﻲ ﻛﻨﻨﺪ ‪.‬‬
‫ﺑﺎ رزﻳﺴﺖ ﻣﻨﻔﻲ و ﻣﺎﺳﻚ ﺑﺎ زﻣﻴﻨﻪ روﺷﻦ‪ ،‬اﺑﻌﺎد ﺗﺼﻮﻳﺮ روي رزﻳﺴﺖ از اﺑﻌﺎد ﺗﺼﻮﻳﺮ روي‬
‫ﻣﺎﺳﻚ ﻛﻮﭼﻜﺘﺮ اﺳﺖ ﻛﻪ ﻧﺘﻴﺠﻪ ﭘﺮاش ﻧﻮر اﺳﺖ‪ .‬ﺑﺎ رزﻳﺴﺖ ﻣﺜﺒﺖ و ﻣﺎﺳﻚ ﺑﺎ زﻣﻴﻨﻪ ﺗﻴﺮه‪ ،‬ﭘﺮاش ﻧﻮر‬
‫ﺑﺎﻋﺚ ﺑﺰرﮔﺘﺮ ﺷﺪن اﺑﻌﺎد ﺗﺼﻮﻳﺮ روي رزﻳﺴﺖ ﻣﻲ ﺷﻮد)ﺷﻜﻞ ‪ .(4.10‬اﻳﻦ ﺗﻐﻴﻴﺮات ﺑﺎﻳﺪ در ﺣﻴﻦ ﻋﻤﻞ‬
‫ﺳﺎﺧﺖ ﻣﺎﺳﻚ و ﻃﺮاﺣﻲ ﻓﺮآﻳﻨﺪ ﻣﺎﺳﻚ ﮔﺬاري ﻣﺪ ﻧﻈﺮ ﻗﺮار ﮔﻴﺮد‪.‬‬
‫ﺑﻴﺸﺘﺮ ﺗﺼﺎوﻳﺮ روي ﻣﺎﺳﻜﻬﺎ ﺣﻔﺮهﻫﺎ ﻫﺴﺘﻨﺪ‪ .‬ﺑﺎ رزﻳﺴﺖ ﻣﺜﺒﺖ ﻣﻌﻤﻮﻻً ﻣﺎﺳﻚ ﺑﺎ زﻣﻴﻨﻪ ﺗﻴﺮه‬
‫اﻧﺘﺨﺎب ﻣﻲ ﺷﻮد و ﺑﺪﻳﻦ دﻟﻴﻞ اﺳﺖ ﻛﻪ ﻣﺎﺳﻚ و رزﻳﺴﺖ از ﺑﻮﺟﻮد آﻣﺪن ﺣﻔﺮه رﻳﺰ در وﻳﻔﺮ‬
‫ﺟﻠﻮﮔﻴﺮي ﻣﻲ ﻛﻨﻨﺪ‪ .‬ﻣﺎﺳﻚ ﺑﺎ زﻣﻴﻨﻪ روﺷﻦ در ﺑﻮﺟﻮد آﻣﺪن ﺗﺮكﻫﺎي ﻛﻮﭼﻚ روي آن ﻣﺴﺘﻌﺪ‬
‫اﺳﺖ‪ .‬ﺑﻪ اﻳﻦ ﺗﺮﻛﻬﺎ ‪ glass damage،‬ﻣﻲ ﮔﻮﻳﻨﺪ ﻛﻪ ﺟﻠﻮي ﻋﺒﻮر ﻧﻮر از ﻣﺎﺳﻚ را ﻣﻲ ﮔﻴﺮد و ﺑﺎﻋﺚ‬

‫‪308‬‬
‫ﺑﻮﺟﻮد آﻣﺪن ﺣﻔﺮه ﻫﺎي ﻧﺎﺧﻮاﺳﺘﻪ در ﻻﻳﻪ ﻓﻮﺗﻮرزﻳﺴﺖ ﻣﻲ ﺷﻮد)ﺷﻜﻞ ‪ .(4.11‬دﻗﻴﻘﺎً ﻫﻤﻴﻦ ﺣﺎﻟﺖ‬
‫ﺑﺮاي ذرات آﻟﻮده ﻛﻪ روي ﻧﺎﺣﻴﻪ روﺷﻦ ﻣﺎﺳﻚ ﻣﻲﻧﺸﻴﻨﺪ ﭘﻴﺶ ﻣﻲآﻳﺪ‪ .‬از آﻧﺠﺎ ﻛﻪ ﻗﺴﻤﺖ اﻋﻈﻢ‬
‫ﻣﺎﺳﻜﻬﺎي ﺑﺎ زﻣﻴﻨﻪ ﺗﻴﺮه ﺑﺎ ﻛﺮوم ﭘﻮﺷﻴﺪه ﺷﺪه اﺳﺖ‪ ،‬و ﺗﻤﺎﻳﻞ ﻛﻤﺘﺮي ﺑﻪ داﺷﺘﻦ ﺣﻔﺮه‪ ‬رﻳﺰ دارد‪،‬‬
‫ﺑﻨﺎﺑﺮﻳﻦ وﻳﻔﺮ‪ ,‬ﺣﻔﺮه ﻫﺎي رﻳﺰ ﻧﺎﺧﻮاﺳﺘﻪ ﻛﻤﺘﺮي ﺧﻮاﻫﺪ داﺷﺖ ‪.‬‬

‫ﺷﻜﻞ ‪ .4.10‬ﺗﻐﻴﻴﺮ اﻧﺪازه ﺗﺼﻮﻳﺮ ﺑﺎ ﺗﻐﻴﻴﺮ ﻣﺎﺳﻚ و رزﻳﺴﺖ‬

‫ﺷﻜﻞ‪ .4.11‬اﺛﺮ ‪ glass damage‬آﻟﻮدﮔﻲ روي ﻣﺎﺳﻚ ﺑﺎ زﻣﻴﻨ ﻪ‪ ‬روﺷﻦ‬

‫‪309‬‬
‫ﻣﺸﻜﻞ دﻳﮕﺮ رزﻳﺴﺖ ﻣﻨﻔﻲ ﺗﺮﻛﻴﺐ آن ﺑﺎ اﻛﺴﻴﮋن اﺗﻤﺴﻔﺮ اﺳﺖ ﻛﻪ ﺑﺎﻋﺚ ﻧﺎزك ﺷﺪن رزﻳﺴﺖ ﺗﺎ‬
‫‪ %20‬ﻣﻲ ﺷﻮد‪ .‬رزﻳﺴﺖ ﻣﺜﺒﺖ اﻳﻦ ﺧﺎﺻﻴﺖ را ﻧﺪارد‪ .‬رزﻳﺴﺘﻬﺎي ﻣﺜﺒﺖ ﺧﻴﻠﻲ ﮔﺮانﺗﺮ از رزﻳﺴﺖﻫﺎي‬
‫ﻣﻨﻔﻲ ﻫﺴﺘﻨﺪ‪.‬‬
‫در ﻣﺮﺣﻠﻪ ﻇﻬﻮر ﻧﻴﺰ ﺗﻔﺎوتﻫﺎﻳﻲ ﺑﻴﻦ رزﻳﺴﺖ ﻣﺜﺒﺖ و ﻣﻨﻔﻲ وﺟﻮد دارد‪ .‬در رزﻳﺴﺖ ﻣﻨﻔﻲ‬
‫ﺗﻔﺎوت در ﻣﻘﺪار ﺣﻼﻟﻴﺖ ﻗﺴﻤﺖ ﭘﻠﻴﻤﺮاﻳﺰ ﺷﺪه و ﭘﻠﻴﻤﺮاﻳﺰ ﻧﺸﺪه زﻳﺎد اﺳﺖ‪ .‬ﺳﺎﻳﺰ ﺗﺼﻮﻳﺮ در ﺣﻴﻦ‬
‫ﻓﺮآﻳﻨﺪ ﻇﻬﻮر ﺛﺎﺑﺖ ﻣﻲ ﻣﺎﻧﺪ‪ .‬وﻟﻲ در رزﻳﺴﺖ ﻣﺜﺒﺖ ﺑﻴﻦ ﺣﻼﻟﻴﺖ ﻗﺴﻤﺖ ﻧﻮر دﻳﺪه و ﻗﺴﻤﺖ ﻧﻮر‬
‫ﻧﺪﻳﺪه ﺗﻔﺎوت ﻛﻤﺘﺮي وﺟﻮد دارد‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ ﺣﻼﻟﻬﺎي اﺳﺘﻔﺎده ﺷﺪه در ﻣﺮﺣﻠﻪ ﻇﻬﻮر ﺑﺎﻳﺪ ﺑﺎ دﻗﺖ‬
‫ﺑﻴﺸﺘﺮي اﻧﺘﺨﺎب ﺷﻮﻧﺪ و ﻧﻴﺎز ﺑﻪ ﻛﻨﺘﺮل دﻣﺎي ﭘﺮوﺳﻪ وﺟﻮد دارد‪ .‬ﺳﻴﺴﺘﻢﻫﺎي ﺑﺎزدارﻧﺪه از اﻧﺤﻼل‬
‫ﺑﻪ ﻣﻨﻈﻮر ﻛﻨﺘﺮل اﺑﻌﺎد در ﺣﻴﻦ ﻣﺮﺣﻠﻪ ﻇﻬﻮر اﺿﺎﻓﻪ ﻣﻲ ﺷﻮﻧﺪ‪.‬‬
‫زدودن رزﻳﺴﺖ ﻫﻢ ﻳﻚ ﭘﺎراﻣﺘﺮ ﻗﺎﺑﻞ ﺑﺤﺚ اﺳﺖ ‪ .‬زدودن ﻓﺘﻮرزﻳﺴﺖ ﻣﺜﺒﺖ راﺣﺘﺮ اﺳﺖ‪.‬‬
‫ﺑﺮاي ﺳﺎﺧﺖ ادوات و ﻣﺪارﻫﺎﻳﻲ ﺑﺎ ﺳﺎﻳﺰ ﺗﺼﻮﻳﺮ ﺑﺰرﮔﺘﺮ از ‪ 2µm‬ﻫﻨﻮز ﻫﻢ از رزﻳﺴﺖ ﻣﻨﻔﻲ اﺳﺘﻔﺎده‬
‫ﻣﻲ ﺷﻮد‪ .‬ﺟﺪول ‪ .4.4‬ﻣﻘﺎﻳﺴﻪ ﺑﻴﻦ ﺧﻮاص دو ﻧﻮع رزﻳﺴﺖ را ﻧﺸﺎن ﻣﻴﺪﻫﺪ ‪.‬‬

‫‪Parameter‬‬ ‫‪Negative‬‬ ‫‪Positive‬‬

‫‪Aspect ratio‬‬ ‫‪Higher‬‬

‫‪Adhesion‬‬ ‫‪Better‬‬

‫‪Exposure speed‬‬ ‫‪Faster‬‬

‫‪Pinhole count‬‬ ‫‪Lower‬‬

‫‪Step coverage‬‬ ‫‪Better‬‬

‫‪Cost‬‬ ‫‪Higher‬‬

‫ﺟﺪول ‪ .4.4‬ﻣﻘﺎﻳﺴﻪ رزﻳﺴﺖ ﻣﺜﺒﺖ و ﻣﻨﻔﻲ‬

‫ﻣﺮاﺣﻞ ﻣﺎﺳﻚ ﮔﺬاري) ‪(Photo Masking Processes‬‬ ‫‪-6-9‬‬


‫اﻧﺘﻘﺎل ﺗﺼﻮﻳﺮ از روي ﻣﺎﺳﻚ ﻳﺎ ﻧﻘﺎب ﺑﺮ روي ﺳﻄﺢ وﻳﻔﺮ ﻳﻚ ﻓﺮآﻳﻨﺪ ﭼﻨﺪ ﻣﺮﺣﻠﻪاي اﺳﺖ‪.‬ﺷﻜﻞ‬
‫‪4.12‬ﻣﺮاﺣﻞ ﻓﺮآﻳﻨﺪ ﺑﺎ ﻣﺎﺳﻚ زﻣﻴﻨﻪ روﺷﻦ و ﻓﺘﻮرزﻳﺴﺖ ﻣﻨﻔﻲ را ﻧﺸﺎن ﻣﻲ دﻫﺪ‪ ..‬اوﻟﻴﻦ اﻧﺘﻘﺎل‬

‫‪310‬‬
‫ﺗﺼﻮﻳﺮ در ﻃﻲ ﻣﺮاﺣﻞ ‪ 1‬ﺗﺎ ‪ 7‬ﺻﻮرت ﻣﻲﮔﻴﺮد‪ .‬و در ﻃﻲ ﻣﺮاﺣﻞ ‪ 8‬و ‪ 9‬و‪ 10‬دوﻣﻴﻦ اﻧﺘﻘﺎل ﺗﺼﻮﻳﺮ‬
‫ﺻﻮرت ﻣﻲﮔﻴﺮد‪.‬‬

‫ﺷﻜﻞ ‪ .4.12‬ﻓﺮآﻳﻨﺪ ده ﻣﺮﺣﻠﻪ اي ﻟﻴﺘﻮ ﮔﺮاﻓﻲ‬

‫)‪(wafer preparation‬‬ ‫‪ - 1- 6- 9‬اﻣﺎده ﺳﺎزي ﺳﻄﺢ وﻳﻔﺮ‬

‫ﺑﻬﺘﺮﻳﻦ ﻣﺜﺎل ﺑﺮاي ﭘﺮوﺳﻪ ﻣﺎﺳﻚ ﮔﺬاري‪ ،‬ﻧﻘﺎﺷﻲ ﻛﺮدن اﺳﺖ‪ .‬ﺣﺘﻲ ﻳﻚ ﻧﻘﺎش آﻣﺎﺗﻮر ﻫﻢ در‬
‫ﻫﻤﺎن اول ﻛﺎر ﻣﻲآﻣﻮزد ﻛﻪ ﺑﺮاي اﻳﻨﻜﻪ در آﺧﺮ ﻛﺎر‪ ،‬ﻛﺎري ﺻﺎف ﻛﻪ ﺧﻮب ﭼﺴﺒﻴﺪه ﺑﺎﺷﺪ داﺷﺘﻪ‬
‫ﺑﺎﺷﺪ‪ ،‬ﺳﻄﺢ ﺑﺎﻳﺪ ﺗﻤﻴﺰ و ﺧﺸﻚ ﺑﺎﺷﺪ‪ .‬ﺑﺮاي اﻳﻨﻜﻪ ﻣﻄﻤﺌﻦ ﺑﺎﺷﻴﻢ ﻛﻪ رزﻳﺴﺖ ﺑﻪ ﺧﻮﺑﻲ روي ﺳﻄﺢ‬
‫وﻳﻔﺮ ﻣﻲﭼﺴﺒﺪ ﻧﻴﺎز ﺑﻪ آﻣﺎده ﺳﺎزي ﺳﻄﺢ وﻳﻔﺮ اﺳﺖ‪ .‬آﻣﺎدهﺳﺎزي ﺳﻄﺢ وﻳﻔﺮ در ﺳﻪ ﻣﺮﺣﻠﻪ اﻧﺠﺎم‬
‫ﻣﻲ ﺷﻮد‪ :‬ﺣﺬف ذرات‪ ،‬دي ﺋﻴﺪاﺳﻴﻮن و آﺳﺘﺮﻛﺎري )‪. (Priming‬‬
‫‪311‬‬
‫‪ - 1- 1- 6- 9‬ﺣﺬف ذرات ) ‪(Particle removal‬‬

‫وﻳﻔﺮﻫﺎﻳﻲ ﻛﻪ ﺑﻪ ﻣﺮﺣﻠﻪ ﻓﺘﻮﻟﻴﺘﻮﮔﺮاﻓﻲ ﻣﻲ رﺳﻨﺪ در ﺷﺮاﻳﻂ ﺗﻤﻴﺰي از دﻳﮕﺮ ﻣﺮاﺣﻞ ﻣﺜﻞ‬


‫اﻛﺴﻴﺪاﺳﻴﻮن ﻳﺎ ‪ Doping‬ﻣﻲآﻳﻨﺪ‪ .‬وﻟﻲ در ﺣﻴﻦ اﻧﺒﺎرش‪ ،‬ﺑﺎرﮔﺬاري و ﺑﻴﺮون آوردن از ﻛﻮره ﻣﻘﺪاري‬
‫آﻟﻮدﮔﻲ روي ﺳﻄﺢ وﻳﻔﺮ اﻳﺠﺎد ﻣﻲ ﺷﻮد ﻛﻪ ﺑﺎﻳﺪ از ﺑﻴﻦ ﺑﺮود ‪ .‬ﺑﺴﺘﻪ ﺑﻪ ﺳﻄﺢ آﻟﻮدﮔﻲ و ﻧﻴﺎز ﭘﺮوﺳﻪ‬
‫ﭼﻨﺪﻳﻦ روش ﺣﺬف ذرات وﺟﻮد دارد‪ .‬ﺳﻄﺢ وﻳﻔﺮ را ﺑﺎ ﻧﻴﺘﺮوژن ﭘﺮﻓﺸﺎر ﻳﺎ ﺑﺨﺎر آب ﭘﺮﻓﺸﺎر و ﻳﺎ‬
‫ﺷﻮﻳﻨﺪهﻫﺎي ﺷﻴﻤﻴﺎﻳﻲ‪ ،‬اﻣﻮاج آﻟﺘﺮاﺳﻮﻧﻴﻚ ﻳﺎ ‪ Rotation Brush Scrubber‬ﺗﻤﻴﺰ ﻣﻲﻛﻨﻨﺪ‪.‬‬
‫در اداﻣﻪ ﻓﺮآﻳﻨﺪ ﻧﻮﻋﻲ ﺷﺴﺘﺸﻮ ﺑﻪ ﻣﻨﻈﻮر ﺣﺬف ذرات‪ ،‬آﻣﺪه اﺳﺖ ‪.‬‬

‫‪– 1. APW: NH4OH (1) + H2O2 (3) + H2O (15) @ 70°C for 15 min.‬‬
‫‪– 2. DI H2O rinse for 5 min.‬‬
‫‪– 3. 10:1 BOE for 1 min.‬‬
‫‪– 4. DI H2O rinse for 5 min.‬‬
‫‪– 5. HPW: HCl (1) + H2O2 (3) + H2O (15) @ 70°C for 1 5 min.‬‬
‫‪– 6. DI H2O rinse for 5 min.‬‬
‫‪– 7. Spin & rinse dry‬‬

‫‪ - 2- 1- 6- 9‬دي ﺋﻴﺪاﺳﻴﻮن )‪(Dehydration Baking‬‬

‫ﺑﻪ ﻣﻨﻈﻮر ارﺗﻘﺎء ﻣﻘﺪار ﭼﺴﺒﻨﺪﮔﻲ ‪ ,‬ﺳﻄﺢ وﻳﻔﺮ ﺑﺎﻳﺪ ﺧﺸﻚ ﺑﺎﺷﺪ‪ .‬ﺑﻪ ﻳﻚ ﺳﻄﺢ ﺧﺸﻚ‬
‫اﺻﻄﻼﺣﺎً ‪ Hydrophobic‬ﻣﻲﮔﻮﻳﻨﺪ‪ .‬ﻣﺎﻳﻌﺎت روي ﺳﻄﺢ ‪ Hydrophobic‬ﺑﻪ ﺻﻮرت ﻗﻄﺮه ﻗﻄﺮه در ﻣﻲ‪-‬‬
‫آﻳﻨﺪ‪ .‬اﻳﻦ ﺳﻄﺢ‪ ،‬ﺑﺮاي ﭼﺴﺒﻨﺪﮔﻲ ﺧﻮب رزﻳﺴﺖ ﺳﻄﺢ ﻣﻨﺎﺳﺒﻲ اﺳﺖ‪ .‬وﻳﻔﺮﻫﺎﻳﻲ ﻛﻪ ﺑﻪ ﻣﺮﺣﻠﻪ‬
‫ﻣﺎﺳﻚ ﮔﺬاري ﻣﻲ رﺳﻨﺪ ﻋﻤﻮﻣﺎً ﺳﻄﺢ ‪ Hydrophobic‬دارﻧﺪ‪ .‬ﻣﺘﺄﺳﻔﺎﻧﻪ وﻗﺘﻲ وﻳﻔﺮ در ﻣﻌﺮض رﻃﻮﺑﺖ‬
‫ﻳﺎ ﻧﻢ ﻗﺮار ﻣﻲﮔﻴﺮد ﺳﻄﺢ ﺑﻪ ﻳﻚ ﺳﻄﺢ ‪ Hydrophilic‬ﺗﺒﺪﻳﻞ ﻣﻲﺷﻮد‪.‬‬
‫ﺑﻪ ﺳﻄﺢ ‪ Hydrophilic‬ﺳﻄﺢ ﻫﻴﺪراﺗﻪ ﻧﻴﺰ ﻣﻲ ﮔﻮﻳﻨﺪ‪ .‬رزﻳﺴﺖ ‪ ,‬ﺧﻴﻠﻲ ﺧﻮب روي ﺳﻄﺢ‬
‫ﻫﻴﺪراﺗﻪ ﻧﻤﻲﭼﺴﺒﺪ دو راه ﻣﻬﻢ ﺑﺮاي اﻳﻨﻜﻪ ﺳﻄﺢ وﻳﻔﺮ ‪ Hydrophobic‬ﺑﺎﻗﻲ ﺑﻤﺎﻧﺪ ﻋﺒﺎرﺗﻨﺪ از اﻳﻨﻜﻪ‬
‫رﻃﻮﺑﺖ اﺗﺎق ﭘﺎﻳﻴﻦﺗﺮ از ‪ %50‬ﺑﺎﺷﺪ و ﺳﻄﺢ وﻳﻔﺮ را ﺑﺎ ﻓﺘﻮرزﻳﺴﺖ ﺑﻪ ﺳﺮﻋﺖ درﺳﺖ ﺑﻌﺪ از آﻧﻜﻪ از‬
‫ﻣﺮﺣﻠﻪ ﻗﺒﻞ ﺑﻪ اﻳﻦ ﻣﺮﺣﻠﻪ رﺳﻴﺪ ﺑﭙﻮﺷﺎﻧﻨﺪ‪.‬‬
‫ﻳﻚ ﺳﺮي ﻣﺮاﺣﻞ اﺿﺎﻓﻲ ﺑﻪ ﻣﻨﻈﻮر رﺳﻴﺪن ﺑﻪ ﺳﻄﺢ ﺑﺎ ﺧﺎﺻﻴﺖ ﭼﺴﺒﻨﺪﮔﻲ ﻗﺎﺑﻞ ﻗﺒﻮل‬
‫اﻧﺠﺎم ﻣﻲ ﺷﻮد ﻛﻪ ﺷﺎﻣﻞ ‪ Dehydration Bake‬و ‪ Priming‬ﺑﺎ ﻣﻮاد ﺷﻴﻤﻴﺎﻳﻲ اﺳﺖ ‪.‬‬
‫ﺑﺮاي رﺳﻴﺪن ﺑﻪ ﺷﺮاﻳﻂ ديﻫﻴﺪارﺗﻪ ﻋﻤﻠﻴﺎت ﺣﺮارﺗﻲ )‪ (Dehydration bake‬در ﺳﻪ رﻧﺞ‬
‫دﻣﺎﻳﻲ اﻧﺠﺎم ﻣﻲ ﺷﻮد ﻛﻪ ﻣﻨﺠﺮ ﺑﻪ ﺳﻪ ﻣﻜﺎﻧﻴﺰم ﻣﻲ ﺷﻮد ‪ .‬در رﻧﺞ ‪ 150‬ﺗﺎ ‪) 200oc‬دﻣﺎي ﭘﺎﻳﻴﻦ( آب‬
‫‪312‬‬
‫ﺳﻄﺢ وﻳﻔﺮ ﺗﺒﺨﻴﺮ ﻣﻲ ﺷﻮد‪ .‬در دﻣﺎي ‪) 400oc‬دﻣﺎي ﻣﺘﻮﺳﻂ ( ﻣﻠﻜﻮﻟﻬﺎي آب ﻛﻪ ﺑﻪ ﺳﺴﺘﻲ ﺑﻪ ﺳﻄﺢ‬
‫ﭼﺴﺒﻴﺪهاﻧﺪ ﺳﻄﺢ را ﺗﺮك ﺧﻮاﻫﻨﺪ ﻛﺮد‪ .‬در دﻣﺎي ﺑﺎﻻﺗﺮ از ‪) 750oc‬دﻣﺎي ﺑﺎﻻ ( ﺳﻄﺢ ﺑﺎ ﻳﻚ ﻣﻜﺎﻧﻴﺰم‬
‫ﺷﻴﻤﻴﺎﻳﻲ ﺑﻪ ﺷﺮاﻳﻂ ﻫﻴﺪراﺗﻪ ﻣﻲ رود‪.‬‬
‫در ﺑﻴﺸﺘﺮ ﻓﺮآﻳﻨﺪﻫﺎي ﻣﺎﺳﻚ ﮔﺬاري از ﻣﻜﺎﻧﻴﺰم دﻣﺎي ﭘﺎﻳﻴﻦ اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‪ .‬زﻳﺮا اﻳﻦ رﻧﺞ‬
‫دﻣﺎﻳﻲ ﺗﻮﺳﻂ ﺻﻔﺤﺎت داغ‪ ،‬ﻛﻮرهﻫﺎي ﺧﻼء و ﻛﻮرهﻫﺎي ﻫﻤﺮﻓﺘﻲ ﺑﺪﺳﺖ ﻣﻲآﻳﺪ‪ .‬ﻓﺎﻳﺪه دﻳﮕﺮ رﻧﺞ‬
‫دﻣﺎﻳﻲ ﭘﺎﻳﻴﻦ اﻳﻦ اﺳﺖ ﻛﻪ وﻳﻔﺮ زﻣﺎن زﻳﺎدي را ﺑﺮاي ﺳﺮد ﺷﺪن ﻣﻨﺘﻈﺮ ﻧﻤﻲ ﻣﺎﻧﺪ ﺗﺎ ﻗﺒﻞ از ﭘﺨﺶ‬
‫رزﻳﺴﺖ ﺳﺮد ﺷﻮد‪.‬‬
‫ﺳﻴﺴﺘﻢ اﺟﺮاي اﻳﻦ ﻣﺮﺣﻠﻪ ﺑﺎ ﺳﻴﺴﺘﻢ ‪ Spin-bake‬ﻣﻲ ﺗﻮاﻧﺪ ﻳﻚ ﺟﺎ ﮔﺮدآﻳﻨﺪ و ﺳﻴﺴﺘﻢﻫﺎي‬
‫‪ Dehydration-spin-bake‬را ﺑﻮﺟﻮد آورﻧﺪ ﻛﻪ ﺗﻮﺻﻴﻒ ﭼﻨﻴﻦ ﺳﻴﺴﺘﻤﻲ در ﻗﺴﻤﺖ ﭘﺨﺖ ﻧﺮم آﻣﺪه‬
‫اﺳﺖ‪.‬‬
‫دي ﻫﻴﺪراﺳﻴﻮن ﺑﺎ رﻧﺞ دﻣﺎﻳﻲ ﺑﺎﻻ ﺑﻪ ﻧﺪرت اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‪ .‬ﻳﻚ دﻟﻴﻞ آن اﺳﺖ ﻛﻪ‬
‫رﺳﻴﺪن ﺑﻪ دﻣﺎي ‪ 750oc‬ﻋﻤﻮﻣﺎً ﻧﻴﺎز ﺑﻪ اﺳﺘﻔﺎده از ﻛﻮرهﻫﺎي ﻟﻮﻟﻪاي دارد‪ ،‬اﻳﻦ ﻧﻮع ﻛﻮرهﻫﺎ ﺑﺰرﮔﺘﺮ‪،‬‬
‫ﻧﻤﻲﺗﻮاﻧﺪ ﺑﺎ ‪ spin-bake‬ﺳﻴﺴﺘﻢ ﻳﻜﺠﺎ ﮔﺮدآﻳﻨﺪ‪ .‬دوﻣﻴﻦ دﻟﻴﻞ ﺳﻄﺢ دﻣﺎﺳﺖ‪ .‬در ‪ 750oc‬ﭘﻴﻮﻧﺪﻫﺎي‬
‫آﻻﻳﺶ ﺷﺪه در وﻳﻔﺮ ﺟﺎﺑﺠﺎ ﻣﻲ ﺷﻮﻧﺪ )ﻛﻪ ﻧﺎﻣﻄﻠﻮب اﺳﺖ( و آﻟﻮدﮔﻲﻫﺎي ﻳﻮﻧﻲ ﻣﺘﺤﺮك ﻣﻮﺟﻮد روي‬
‫ﺳﻄﺢ وﻳﻔﺮ ﺑﻪ داﺧﻞ وﻳﻔﺮ ﻣﻲ روﻧﺪ و ﻣﺸﻜﻼﺗﻲ را ﺑﻮﺟﻮد ﻣﻲ آورﻧﺪ ‪.‬‬

‫‪Wafer priming - 3- 1- 6- 9‬‬


‫در اﻳﻦ ﻣﺮﺣﻠﻪ ﻣﺎﻧﻨﺪ آﺳﺘﺮﻛﺎري در ﻧﻘﺎﺷﻲ‪ ،‬ﻳﻚ ﻣﺎده ﺑﻪ ﺳﻄﺢ وﻳﻔﺮ زده ﻣﻲﺷﻮد ﺗﺎ ﭼﺴﺒﻨﺪﮔﻲ زﻳﺎد‬
‫ﺷﻮد‪ .‬ﻋﻼوه ﺑﺮ دي ﻫﻴﺪراﺳﻴﻮن ﻣﺮﺣﻠﻪ ‪ priming‬ﺷﻴﻤﻴﺎﻳﻲ ﻫﻢ ﺑﺎﻳﺪ اﻧﺠﺎم ﺷﻮد ﺗﺎ ﭼﺴﺒﻨﺪﮔﻲ ﺧﻮب‬
‫رزﻳﺴﺖ را ﺗﻀﻤﻴﻦ ﻛﻨﺪ‪.‬ﺑﺮاي اﻧﺠﺎم ‪ priming‬از ﻳﻚ ﺳﺮي ﻣﻮاد ﺷﻴﻤﻴﺎﻳﻲ اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‪،‬ﻛﻪ‬
‫ﻣﻌﻤﻮﻟﺘﺮﻳﻦ آن ‪ (hexamethyldisilazane) HMDS‬اﺳﺖ‪ .‬ﻫﻤﺎﻧﻄﻮر ﻛﻪ ﻣﺸﺎﻫﺪه ﻣﻲﺷﻮد ﻣﺎده ﻳﻚ‬
‫ﺑﺨﺶ ﺳﻴﻠﻴﻜﻮﻧﻲ دارد ﻛﻪ ﺑﻪ ﺳﻄﺢ وﻳﻔﺮ ﺧﻮب ﺑﭽﺴﺒﺪ و ﻳﻚ ﺑﺨﺶ آﻟﻲ ﻛﻪ ﺑﻪ ﭘﻠﻴﻤﺮ ﻓﻮﺗﻮرزﻳﺴﺖ‬
‫ﺑﭽﺴﺒﺪ ‪.‬‬
‫روﺷﻬﺎي ﻣﺘﺪاول در اﻳﻦ ﻣﺮﺣﻠﻪ ﻋﺒﺎرﺗﻨﺪ ا ز‪vapor priming,spin priming,immersion :‬‬

‫ﻏﻮﻃﻪ ور ﻛﺮدن )‪: (immersion‬‬

‫‪313‬‬
‫در اﻳﻦ روش ﺑﻌﺪ از ﻏﻮﻃﻪ ور ﻛﺮدن وﻳﻔﺮ داﺧﻞ ‪ primer‬آن را ﺑﺎ ﻣﻮاد ﺷﻮﻳﻨﺪه ﺷﺘﺸﻮ ﻣﻲ دﻫﻨﺪ و‬
‫ﺳﭙﺲ آن را ﺧﺸﻚ ﻣﻲ ﻛﻨﻨﺪ‬
‫‪:Spin priming‬‬
‫‪ Primer‬ﻣﺎﻳﻊ وﻗﺘﻲ ﻛﻪ وﻳﻔﺮ روي ﺳﻄﺢ دوار ﻣﺨﺼﻮص ﻗﺮاردادن رزﻳﺴﺖ ﻗﺮار دارد‪ ,‬روي وﻳﻔﺮ ﻗﺮار‬
‫ﻣﻲ ﮔﻴﺮد‪ .‬اﻋﻤﺎل ‪ HMDS‬ﻣﻲ ﺗﻮاﻧﺪ ﺑﻪ ﺻﻮرت دﺳﺘﻲ از ﻃﺮﻳﻖ ﺳﺮﻧﮓ اﻧﺠﺎم ﺷﻮد و ﻳﺎ اﺗﻮﻣﺎﺗﻴﻚ اﻧﺠﺎم‬
‫ﺷﻮد‪.‬‬
‫‪ Spinner‬ﻫﺎي اﺗﻮﻣﺎﺗﻴﻚ ﻳﻚ ﺳﻴﺴﺘﻢ ﺟﺪاﮔﺎﻧﻪ ﺑﻪ ﻣﻨﻈﻮر اﻋﻤﺎل ‪ HMDS‬روي وﻳﻔﺮ درﺳﺖ ﻗﺒﻞ از‬
‫اﻋﻤﺎل رزﻳﺴﺖ دارﻧﺪ‪.‬‬
‫ﺑﻌﺪ از اﻳﻨﻜﻪ ‪ primer، spinner‬را روي وﻳﻔﺮ ﭼﺮﺧﺎن اﻋﻤﺎل ﻛﺮد‪ ،‬ﺳﺮﻋﺖ ﺳﻄﺢ دوار زﻳﺎد ﻣﻲ ﺷﻮد ﺗﺎ‬
‫ﻻﻳﻪ ‪ HMDS‬ﺧﺸﻚ ﺷﻮد‪ .‬ﻣﻬﻤﺘﺮﻳﻦ ﻓﺎﻳﺪه ‪ ، spin priming‬اﻳﻦ اﺳﺖ ﻛﻪ ﻣﻴﺘﻮان اﻳﻦ روش را ﻫﻤﺮاه ﺑﺎ‬
‫اﻋﻤﺎل رزﻳﺴﺖ اﻧﺠﺎم داد‪.‬‬
‫‪Vapor priming‬‬
‫در ﻫﺮ دو روش ﻗﺒﻞ ﺳﻄﺢ وﻳﻔﺮ ﺑﺎ ‪ HMDS‬ﺗﻤﺎس ﻣﺴﺘﻘﻴﻢ دارد‪ .‬اﻣﻜﺎن آﻟﻮدﮔﻲ وﻳﻔﺮ از ﻃﺮف ﻣﺎﻳﻊ‬
‫وﺟﻮد دارد‪ .‬ﻧﻜﺘﻪ دﻳﮕﺮي ﻛﻪ ﺑﺎﻳﺪ ﻣﺪ ﻧﻈﺮ ﻗﺮار داد اﻳﻦ اﺳﺖ ﻛﻪ ‪ HMDS‬ﺑﺎﻳﺪ ﻗﺒﻞ از اﻳﻨﻜﻪ رزﻳﺴﺖ‬
‫اﻋﻤﺎل ﺷﻮد‪ ،‬ﺧﺸﻚ ﺷﻮد‪ HMDS .‬ﺧﻴﺲ ﻣﻤﻜﻦ اﺳﺖ ﻻﻳﻪ‪ ‬رزﻳﺴﺖ را ﺣﻞ ﻛﻨﺪ ‪.‬‬
‫‪ HMDS‬ﻧﺴﺒﺘﺎً ﮔﺮان اﺳﺖ‪ .‬در ‪، spin priming‬اﺿﺎﻓﻪ‪ HMDS ‬روي ﺳﻄﺢ وﻳﻔﺮ اﺳﭙﺮي ﻣﻲ ﺷﻮد ﺗﺎ‬
‫ﭘﻮﺷﺶ ﻛﺎﻣﻞ را ﺗﻀﻤﻴﻦ ﻛﻨﺪ ‪.‬‬
‫‪ Vapor priming‬ﺑﻪ دو روش اﻧﺠﺎم ﻣﻲ ﺷﻮد‪ .‬ﻳﻜﻲ در ﻓﺸﺎر اﺗﻤﺴﻔﺮ اﻧﺠﺎم ﻣﻲ ﺷﻮد و ﻳﻜﻲ در ﺑﺨﺎر ‪.‬‬
‫ﺳﻴﺴﺘﻢ اﺗﻤﺴﻔﺮي از ﻳﻚ ﻣﺤﻔﻈﻪ‪ ‬ﺣﺒﺎب ﺳﺎز ﻛﻪ ﺑﻪ ﻳﻚ ﻣﺤﻔﻈﻪ‪ ‬ﺧﺸﻚ ﻛﻨﻨﺪه ﻣﺘﺼﻞ اﺳﺖ‪ ،‬ﺗﺸﻜﻴﻞ‬
‫ﺷﺪه اﺳﺖ‪.‬ﮔﺎز ﺣﺎﻣﻞ ﻧﻴﺘﺮوژن ﺑﺨﺎرات ‪ HMDS‬را ﺑﻪ داﺧﻞ ﻣﺤﻔﻈﻪ ﻣﻲ ﺑﺮد و در آﻧﺠﺎ روي ﺳﻄﺢ‬
‫وﻳﻔﺮ را ﻣﻲ ﭘﻮﺷﺎﻧﺪ ‪.‬‬

‫‪314‬‬
‫ﺷﻜﻞ‪ Vapor priming .4.13‬در ﻓﺸﺎر اﺗﻤﺴﻔﺮ‬
‫ﺳﻴﺴﺘﻢ دﻳﮕﺮ ‪ ،vacuum priming ،‬از ﻳﻚ ﻓﻼﺳﻚ ﺑﺴﺘﻪ ﺷﺎﻣﻞ ‪ HMDS‬ﻛﻪ ﺑﻪ ﻳﻚ ﻛﻮره ﺧﻼً ﻣﺘﺼﻞ‬
‫اﺳﺖ ﺗﺸﻜﻴﻞ ﺷﺪه اﺳﺖ ‪ .‬وﻳﻔﺮﻫﺎ اﺑﺘﺪا در اﺗﻤﺴﻔﺮ ﻧﻴﺘﺮوژن ﺗﺎ دﻣﺎي ‪150C‬ﮕﺮم ﻣﻲ ﺷﻮد ﺑﻌﺪ ﺟﺎي‬
‫ﺧﻮد را ﺑﻪ ﺧﻼٌ ﻣﻲ دﻫﺪ‪ .‬وﻗﺘﻲ ﺑﺨﺎر ﺗﻤﺎم ﻣﺤﻔﻈﻪ را ﭘﺮ ﻛﺮد روي وﻳﻔﺮﻫﺎ ﻣﻲ ﻧﺸﻴﻨﺪ‪ .‬اﻳﻦ روش‬
‫ﭼﺴﺒﻨﺪﮔﻲ ﺧﻮﺑﻲ را ﺣﺘﻲ در ﺣﻀﻮر رﻃﻮﺑﺖ ﺑﺎﻻ اﻳﺠﺎد ﻣﻲ ﻛﻨﺪ‪.‬‬

‫ﺷﻜﻞ‪ Vapor priming .4.14‬درﺧﻼ‬


‫در اداﻣﻪ ﭼﻨﺪ ﻧﻮع ﻣﺨﺘﻠﻒ ‪ primer‬ﺑﻪ ﻫﻤﺮاه ﻓﺮﻣﻮل ﺷﻴﻤﻴﺎﻳﻲ آن ﻫﺎ آﻣﺪه اﺳﺖ ‪.‬‬

‫‪• 1,1,1,3,3,3-hexamethyldisilazane (HMDS), (CH3)3SiNHSi(CH3)3‬‬


‫‪• Trichlorophenylsilane (TCPS),‬‬ ‫‪C6H5SiCl3‬‬
‫‪• Bistrimethylsilylacetamide (BSA),‬‬
‫‪(CH3)3SiNCH3COSi(CH3)3‬‬

‫‪315‬‬
‫‪ - 2- 6- 9‬اﻋﻤﺎل ﻓﺘﻮرزﻳﺴﺖ‬

‫ﻫﺪف از اﻋﻤﺎل ﻓﺘﻮرزﻳﺴﺖ ﺑﻮﺟﻮد آوردن ﻓﻴﻠﻢ ﻧﺎزك‪ ،‬ﻳﻜﻨﻮاﺧﺖ و ‪ Defect-free‬از ﻓﺘﻮرزﻳﺴﺖ روي‬
‫ﺳﻄﺢ وﻳﻔﺮ اﺳﺖ‪ .‬اﻳﻦ اﻫﺪاف راﺣﺖ ﺑﺪﺳﺖ ﻣﻲ آﻳﺪ وﻟﻲ اﺣﺘﻴﺎج ﺑﻪ ﺗﺠﻬﻴﺰات ﭘﻴﭽﻴﺪه و ﻛﻨﺘﺮل‬
‫ﺷﺪﻳﺪ و ﺳﺨﺖ دارد‪.‬‬
‫ﺿﺨﺎﻣﺖ ﻻﻳﻪ رزﻳﺴﺖ ﺑﻴﻦ ‪ 0.5‬ﺗﺎ ‪ 1.5µm‬ﺗﻐﻴﻴﺮ ﻣﻲ ﻛﻨﺪ و ﺑﺎﻳﺪ داراي ﻳﻜﻨﻮاﺧﺘﻲ‬
‫)‪ ± 0.01µm (100A‬ﺑﺎﺷﺪ‪ .‬اﻳﻦ ﺗﻐﻴﻴﺮات ‪1‬درﺻﺪ از ﺿﺨﺎﻣﺖ ‪ 1µm‬اﺳﺖ‪.‬‬

‫‪ - 1- 2- 6- 9‬ﭘﺨﺶ اﺳﺘﺎﺗﻴﻜﻲ‬

‫اﻋﻤﺎل رزﻳﺴﺖ درﺳﺖ ﺑﻌﺪ از ﻓﺮآﻳﻨﺪ ‪ Priming‬اﻧﺠﺎم ﻣﻲ ﺷﻮد‪ .‬وﻳﻔﺮ روي ﻳﻚ ‪Vacuum‬‬

‫‪ Chuck‬ﻗﺮار ﻣﻲﮔﻴﺮد‪ .‬ﭼﻨﺪ ‪ cm3‬ﻓﺘﻮرزﻳﺴﺖ در ﻣﺮﻛﺰ آن ﻗﺮار ﻣﻲ ﮔﻴﺮد و رزﻳﺴﺖ روي ﺳﻄﺢ ﺟﺎري‬
‫ﻣﻲ ﺷﻮد ﺗﺎ اﻳﻨﻜﻪ ﺑﻴﺸﺘﺮ ﺳ ﻄﺢ را ﺑﭙﻮﺷﺎﻧﺪ ‪ .‬ﻣﻘﺪار رزﻳﺴﺘﻲ ﻛﻪ در روي ﺳﻄﺢ ﻗﺮار ﻣﻲﮔﻴﺮد ﻣﻬﻢ‬
‫اﺳﺖ اﮔﺮ ﺧﻴﻠﻲ ﻛﻢ ﺑﺎﺷﺪ ﻛﻞ ﺳﻄﺢ وﻳﻔﺮ را ﻧﻤﻲﭘﻮﺷﺎﻧﺪ و اﮔﺮ ﺧﻴﻠﻲ زﻳﺎد ﺑﺎﺷﺪ رزﻳﺴﺖ ﺑﻪ ﭘﺸﺖ‬
‫وﻳﻔﺮ ﻫﻢ ﻣﻲ رﺳﺪ ) ﺷﻜﻞ ‪( 4.15‬‬

‫ﺷﻜﻞ ‪ .4.15‬اﻋﻤﺎل رزﻳﺴﺖ روي وﻳﻔﺮ‬


‫وﻗﺘﻲ اﻳﻦ ﻻﻳﻪ ﮔﺴﺘﺮش ﻳﺎﻓﺘﻪ و ﻓﺘﻮرزﻳﺴﺖ روي ﺳﻄﺢ وﻳﻔﺮ ﺑﻪ ﻗﻄﺮ ﻣﺸﺨﺺ رﺳﻴﺪ‪ ،‬ﺳﻄﺢ دوار‬
‫ﺷﺘﺎب ﻣﻲﮔﻴﺮد ﺗﺎ ﺑﻪ ﻳﻚ ﺳﺮﻋﺖ از ﭘﻴﺶ ﺗﻌﻴﻴﻦ ﺷﺪه ﺑﺮﺳﺪ‪ .‬در ﺣﻴﻦ ﺷﺘﺎب ﮔﺮﻓﺘﻦ ﻧﻴﺮوﻫﺎي ﮔﺮﻳﺰ از‬
‫ﻣﺮﻛﺰ‪ ،‬رزﻳﺴﺖ را روي ﺳﻄﺢ وﻳﻔﺮ ﺗﺎ ﻟﺒﻪ ﭘﺨﺶ ﻣﻲ ﻛﻨﺪ و رزﻳﺴﺖ اﺿﺎﻓﻲ را دور ﻣﻲرﻳﺰد و ﻣﻨﺠﺮ ﺑﻪ‬

‫‪316‬‬
‫ﺑﻮﺟﻮد آﻣﺪن ﻻﻳﻪ ﻧﺎزﻛﻲ از رزﻳﺴﺖ روي وﻳﻔﺮ ﻣﻲ ﺷﻮد ﺳﺮﻋﺖ ﺑﺎﻻي ﺳﻄﺢ دوار ﺣﺘﻲ ﺑﻌﺪ از اﻳﻨﻜﻪ‬
‫رزﻳﺴﺖ روي ﺳﻄﺢ وﻳﻔﺮ ﺑﻪ ﻃﻮر ﻳﻜﻨﻮاﺧﺖ ﭘﺨﺶ ﺷﺪ ﺗﺎ ﺣﺪي اداﻣﻪ دارد ﺗﺎ رزﻳﺴﺖ ﺧﺸﻚ‬
‫ﺷﻮد)ﺷﻜﻞ ‪.(4.16‬‬

‫ﺷﻜﻞ‪ .4.16‬ﭘﺨﺶ اﺳﺘﺎﺗﻴﻜﻲ‬


‫ﺿﺨﺎﻣﺖ ﻧﻬﺎﻳﻲ رزﻳﺴﺖ ﻧﺘﻴﺠﻪ وﻳﺴﻜﻮزﻳﺘﻲ رزﻳﺴﺖ‪ ،‬ﺳﺮﻋﺖ ﺳﻄﺢ دوار‪ ،‬ﻛﺸﻴﺪﮔﻲ ﺳﻄﺢ ‪Drying ،‬‬

‫‪ characteristic‬رزﻳﺴﺖ اﺳﺖ‪ .‬ﺷﺘﺎب ﻛﻪ ﻣﻘﺪار ﺛﺎﺑﺘﻲ اﺳﺖ در ﺿﺨﺎﻣﺖ ﺗﺄﺛﻴﺮ دارد‪.‬‬

‫‪ - 2- 2- 6- 9‬ﭘﺨﺶ دﻳﻨﺎﻣﻴﻜﻲ‬

‫ﻧﻴﺎز ﺑﻪ ﻓﻴﻠﻢﻫﺎي رزﻳﺴﺖ ﻳﻜﻨﻮاﺧﺖ روي وﻳﻔﺮﻫﺎﻳﻲ ﺑﺎ ﻗﻄﺮﻫﺎي ﺑﺰرگ ﻣﻨﺠﺮ ﺑﻪ ﭘﻴﺪاﻳﺶ‬
‫ﺗﻜﻨﻴﻚ ﭘﺨﺶ دﻳﻨﺎﻣﻴﻜﻲ در ﺳﺎل ‪ 1970‬ﺷﺪ‪ .‬در اﻳﻦ ﺗﻜﻨﻴﻚ وﻳﻔﺮ ﺑﺎ ﺳﺮﻋﺖ ﭘﺎﻳﻴﻦ ﺗﻘﺮﻳﺒﺎ‪rpm 500‬‬

‫ﻣﻲ ﭼﺮﺧﺪ ‪ .‬ﻫﻤﺰﻣﺎن ﺑﺎ ﭼﺮﺧﺶ وﻳﻔﺮ رزﻳﺴﺖ روي ﺳﻄﺢ ﭘﺨﺶ ﻣﻲ ﺷﻮد‪ .‬د ر اﻳﻦ ﺗﻜﻨﻴﻚ رزﻳﺴﺖ‬
‫ﻛﻤﺘﺮي اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد و ﺑﻪ ﻻﻳﻪ ﻳﻜﻨﻮاﺧﺖﺗﺮي ﻣﻲ رﺳﻴﻢ‪ .‬ﺑﻌﺪ از ﭘﺨﺶ ﺷﺪن رزﻳﺴﺖ ﺳﻄﺢ دوار‬
‫ﺑﻪ ﺳﺮﻋﺘﻬﺎي ﺑﺎﻻ ﺷﺘﺎب ﻣﻲ ﮔﻴﺮد ﺗﺎ ﻓﺮآﻳﻨﺪ ﭘﺨﺶ ﻛﺮدن را ﻛﺎﻣﻞ ﻛﻨﺪ و ﻻﻳﻪ رزﻳﺴﺖ را ﻳﻜﻨﻮاﺧﺖ‬
‫ﻛﻨﺪ)ﺷﻜﻞ ‪.(4.17‬‬

‫‪317‬‬
‫ﺷﻜﻞ ‪ .4.17‬ﭘﺨﺶ دﻳﻨﺎﻣﻴﻜﻲ‬

‫‪ - 3- 2- 6- 9‬ﭘﺨﺶ ﺑﻪ ﻛﻤﻚ ﺑﺎزوي ﻣﺘﺤﺮك‬

‫اﻳﻦ روش را ﻣﻴﺘﻮان روش ﺑﻬﺒﻮد ﻳﺎﻓﺘﻪ دﻳﻨﺎﻣﻴﻚ ﻗﻠﻤﺪاد ﻛﺮد ﺑﺎزوﻳﻲ ﺑﺎ ﺣﺮﻛﺖ آرام از وﺳﻂ‬
‫وﻳﻔﺮ ﺑﻪ ﺳﻤﺖ ﻟﺒﻪﻫﺎي آن ﺣﺮﻛﺖ ﻣﻲ ﻛﻨﺪ)ﺷﻜﻞ ‪ .(4.18‬اﻳﻦ ﻋﻤﻞ ﻣﻮﺟﺐ ﺑﻮﺟﻮد آﻣﺪن ﻻﻳﻪ‬
‫ﻳﻜﻨﻮاﺧﺖﺗﺮي ﻣﻲ ﺷﻮد ‪ .‬اﺳﺘﻔﺎده از اﻳﻦ روش ﻣﻮﺟﺐ ﺻﺮﻓﻪﺟﻮﻳﻲ در ﻣﻘﺪار رزﻳﺴﺖ ﻣﺨﺼﻮﺻﺎً ﺑﺮاي‬
‫وﻳﻔﺮﻫﺎﻳﻲ ﺑﺎ ﻗﻄﺮ زﻳﺎد ﻣﻲ ﺷﻮد‪.‬‬

‫ﺷﻜﻞ ‪ . 4.18‬ﭘﺨﺶ ﺑﻪ ﻛﻤﻚ ﺑﺎزوي ﻣﺘﺤﺮك‬

‫‪ - 4- 2- 6- 9‬ﭘﺨﺶ ﻛﻨﻨﺪه اﺗﻮﻣﺎﺗﻴﻚ‬

‫دﻣﻴﺪن ﻧﻴﺘﺮوژن از ﻃﺮﻳﻖ ﻳﻚ ﺗﻴﻮپ ﺟﺪاﮔﺎﻧﻪ ﻛﻪ روي ﺳﻄﺢ دوار ﻗﺮارداد و ﺑﻪ ﻣﻨﺒﻊ ﻧﻴﺘﺮژن ﺗﺤﺖ‬
‫ﻓﺸﺎر ﻣﺘﺼﻞ اﺳﺖ‪ ,‬اﻧﺠﺎم ﻣﻲ ﺷﻮد‪ .‬در ﻣﺤﻔﻈﻪ ﭘﺨﺶ ﻫﻢ ﻟﻮﻟﻪ ﭘﺨﺶ ‪ primer‬و ﻫﻢ ﻟﻮﻟﻪ ﭘﺨﺶ‬

‫‪318‬‬
‫رزﻳﺴﺖ ﻗﺮار دارد‪ .‬ﺗﻴﻮپ رزﻳﺴﺖ از ﻟﻮﻟﻪ ﭘﺮ ﻓﺸﺎر ﻧﻴﺘﺮوژن و ﻳﺎ ﭘﻤﭗ دﻳﺎﻓﺮاﮔﻢ ﻣﺎﻧﻨﺪ رزﻳﺴﺖ ﺗﺄﻣﻴﻦ‬
‫ﻣﻲ ﺷﻮد‪ .‬از آﻧﺠﺎﻛﻪ ﻧﻴﺘﺮوژن ﺟﺬب ﺷﺪه درﺳﺖ ﺑﻌﺪ از ﺳﻴﻜﻞ ﭘﺨﺶ از رزﻳﺴﺖ ﺧﺎرج ﻣﻲ ﺷﻮد و‬
‫ﺟﺎي ﺧﺎﻟﻲ در ﻓﻴﻠﻢ ﺑﻮﺟﻮد ﻣﻲ آورد اﻳﻦ روش در ﺻﻨﻌﺖ اﺳﺘﻔﺎده ﻧﻤﻲ ﺷﻮد‪ .‬در ﺣﺎﻟﻲ ﻛﻪ ﭘﻤﭗ ﻫﺎي‬
‫دﻳﺎﻓﺮاﮔﻤﻲ اﻳﻦ ﻣﺸﻜﻞ را ﻧﺪارﻧﺪ‪.‬‬
‫ﭘﺨﺶ ﻛﻨﻨﺪه ﻫﺎي رزﻳﺴﺖ ﻛﻪ ﺑﺼﻮرت اﺗﻮﻣﺎﺗﻴﻚ ﻋﻤﻞ ﻣﻲ ﻛﻨﻨﺪ‪ ,‬ﺑﺼﻮرت اﺗﻮﻣﺎﺗﻴﻚ ﺑﻌﺪ از ﻫﺮ ﺑﺎر‬
‫اﻧﺠﺎم ﻋﻤﻞ ﭘﺨﺶ رزﻳﺴﺖ‪ ،‬رزﻳﺴﺖ ﺑﺎﻗﻴﻤﺎﻧﺪه را ﺑﻪ داﺧﻞ ﺗﻴﻮپ ﺑﺮﻣﻲ ﮔﺮداﻧﺪ‪ .‬اﻳﻦ ﺧﺎﺻﻴﺖ ) ‪(draw‬‬

‫‪ back‬ﻣﺎﻧﻊ از اﻳﻦ ﻣﻲ ﺷﻮد ﻛﻪ رزﻳﺴﺖ در ﺗﻴﻮپ ﺑﻪ ﺻﻮرت ﺗﻮﭘﻬﺎي ﻛﻮﭼﻚ ﺧﺸﻚ ﺷﻮد و روي وﻳﻔﺮ‬
‫رﺳﻮب ﻛﻨﺪ)ﺷﻜﻞ ‪ .(4.19‬در ﻳﻚ ﺳﻴﺴﺘﻢ ﺗﻤﺎم اﺗﻮﻣﺎﺗﻴﻚ ﻛﻞ ﻓﺮآﻳﻨﺪ ﭘﺨﺶ ﺑﺎ ﻳﻚ ﻣﻴﻜﺮوﻛﻨﺘﺮﻟﺮ‪,‬‬
‫ﻛﻨﺘﺮل ﻣﻲ ﺷﻮد‪ .‬ﻣﻜﺎﻧﻴﺰﻳﻢ اﻳﻦ ﺳﻴﺴﺘﻢ ﺑﻪ اﻳﻦ ﺗﺮﺗﻴﺐ اﺳﺖ ﻛﻪ وﻳﻔﺮﻫﺎ ﺑﺼﻮرت اﺗﻮﻣﺎﺗﻴﻚ ﺑﻴﺮون ﻣﻲ‬
‫آﻳﻨﺪ روي ﭼﺎﻛﻬﺎ ﻗﺮار ﻣﻲ ﮔﻴﺮﻧﺪ‪ ,‬ﻣﺮﺣﻠﻪ ‪ priming‬را اﺟﺮا ﻣﻲ ﻛﻨﻨﺪ ‪ .‬ﻓﺘﻮرزﻳﺴﺖ را ﭘﺨﺶ ﻣﻲ ﻛﻨﻨﺪ‪.‬‬
‫ﻗﻄﺮﻫﺎي رزﻳﺴﺖ ﻛﻪ در ﻟﺒﻪﻫﺎ ﺑﻮﺟﻮد آﻣﺪه از ﺑﻴﻦ ﻣﻲ ﺑﺮﻧﺪ‪ .‬ﭘﺨﺖ ﻧﺮم را اﻧﺠﺎم ﻣﻲ دﻫﻨﺪ و وﻳﻔﺮ را‬
‫دوﺑﺎره ﺑﻪ ﺣﻤﻞ ﻛﻨﻨﺪه وﻳﻔﺮﻫﺎ ﺑﺮﻣﻲ ﮔﺮداﻧﻨﺪ) ﺷﻜﻞ ‪.(4.20‬‬

‫ﺷﻜﻞ ‪ . 4.19‬ﻣﻜﺶ رزﻳﺴﺖ ﺑﺎﻗﻲ ﻣﺎﻧﺪه در اﻋﻤﺎل ﻓﺘﻮرزﻳﺴﺖ ﺑﻪ ﺻﻮرت ﺗﻤﺎم اﺗﻮﻣﺎﺗﻴﻚ‬

‫‪319‬‬
‫ﺷﻜﻞ ‪ .4.20‬اﻋﻤﺎل ﻓﺘﻮرزﻳﺴﺖ ﺑﻪ ﺻﻮرت ﺗﻤﺎم اﺗﻮﻣﺎﺗﻴﻚ‬
‫ﻫﻨﮕﺎم ﭘﺨﺶ ﻓﺘﻮرزﻳﺴﺖ روي ﺳﻄﺢ وﻳﻔﺮ‪ ،‬ﻓﺘﻮرزﻳﺴﺖ در ﻟﺒﻪ ﻫﺎي ﻛﻨﺎري وﻳﻔﺮ ﺑﻪ ﺻﻮرت ﻣﻌﻮج‬
‫ﺷﻜﻞ ﻣﻲ ﮔﻴﺮد ﻛﻪ اﻳﻦ ﻗﺴﻤﺖ ﻫﺎ ﺑﻪ درد ﻧﻤﻲ ﺧﻮرد‪ .‬و در ﻣﺮﺣﻠﻪ ‪ edge removal‬ﺑﺮداﺷﺘﻪ ﻣﻲ ﺷﻮد‪.‬‬
‫در ﺷﻜﻞ ‪ 4.20.1‬ﺷﻜﻞ اﻳﻦ اﻋﻮﺟﺎج را در ﭼﺮﺧﺶ ﻫﺎي ﻣﺨﺘﻠﻒ ﺳﻄﺢ دوار ﻣﺸﺎﻫﺪه ﺷﻮد‪.‬‬

‫ﺷﻜﻞ ‪ . 4.20.1‬اﻋﻮﺟﺎج ﺳﻄﺢ ﻓﺘﻮرزﻳﺴﺖ در ﻟﺒﻪ ﻫﺎي ﺑﻴﺮوﻧﻲ‬


‫در ﺷﻜﻞ ‪ 4.20.2‬ﻣﻴﺘﻮان ﻧﺤﻮه اﻧﺠﺎم ﻣﺮﺣﻠﻪ ‪ edge removal‬را ﻣﺸﺎﻫﺪه ﻛﺮد‪.‬‬

‫‪320‬‬
‫‪Solvent‬‬
‫‪Wafer‬‬

‫‪Chuck‬‬

‫‪Spindle‬‬

‫‪To vacuum pump‬‬

‫ﺷﻜﻞ ‪Edge removal . 4.20.2‬‬

‫در ﺷﻜﻞ‪ 4.20.3‬ﻧﻤﻮدار ﺿﺨﺎﻣﺖ ﻻﻳﻪ ﻓﺘﻮرزﻳﺴﺖ ﺑﺮ ﺣﺴﺐ ﺳﺮﻋﺖ ﭼﺮﺧﺶ ﺳﻄﺢ دوار و‬
‫وﻳﺴﻜﻮزﻳﺘﻪ ﻓﺘﻮرزﻳﺴﺖ دﻳﺪه ﻣﻲ ﺷﻮد‪.‬‬

‫‪100 cst‬‬

‫‪3.0‬‬
‫‪50 cst‬‬
‫‪2.5‬‬

‫‪2.0‬‬
‫‪27 cst‬‬
‫‪20 cst‬‬
‫‪Thickness‬‬ ‫‪1.5‬‬
‫)‪(Um‬‬ ‫‪10 cst‬‬
‫‪1.0‬‬
‫‪5 cst‬‬
‫‪0.5‬‬

‫‪0‬‬
‫‪2k‬‬ ‫‪3k‬‬ ‫‪4k‬‬ ‫‪5k‬‬ ‫‪6k 7k‬‬
‫)‪Spin Rate (rpm‬‬

‫ﺷﻜﻞ ‪ .4.20.3‬ﻧﻤﻮدار ﺿﺨﺎﻣﺖ ﻓﺘﻮرزﻳﺴﺖ ﺑﺮ ﺣﺴﺐ ﺳﺮﻋﺖ ﭼﺮﺧﺶ ﺳﻄﺢ دوار و وﻳﺴﻜﻮزﻳﺘﻪ‬
‫ﻫﻤﭽﻨﻴﻦ ﻣﻲ ﺗﻮان ﺿﺨﺎﻣﺖ ﻻﻳﻪ ﻓﺘﻮرزﻳﺴﺖ را ﺑﺮ ﺣﺴﺐ ﺳﺮﻋﺖ ﭼﺮﺧﺶ ﺳﻄﺢ دوار و درﺻﺪ‬
‫ﺟﺎﻣﺪي ﻓﺘﻮرزﻳﺴﺖ و ﺛﺎﺑﺖ ‪ k‬ﺑﻪ دﺳﺖ آورد‪.‬‬

‫‪321‬‬
‫‪t = KP2/W 0.5‬‬
‫‪k‬‬ ‫‪spinner constant, typically 80-100‬‬
‫‪p‬‬ ‫‪resist solids content in percent‬‬
‫‪w‬‬ ‫‪spinner rotational speed in rpm/1000‬‬

‫‪ - 3- 6- 9‬ﭘﺨﺖ ﻧﺮم‬

‫ﭘﺨﺖ ﻧﺮم ﺑﻪ ﻣﻨﻈﻮر زدودن ﺣﻼل از ﻓﺘﻮرزﻳﺴﺖ اﻧﺠﺎم ﻣﻲ ﺷﻮد‪ .‬ﺣﻼل رزﻳﺴﺖ ﺑﻪ دو دﻟﻴﻞ ﺑﺎﻳﺪ‬
‫زدوده ﺷﻮد‪:‬‬
‫‪ .1‬ﻧﻘﺶ اﺻﻠﻲ ﺣﻼل اﻳﻦ اﺳﺖ ﻛﻪ اﻋﻤﺎل ﻻﻳﻪ ﻧﺎزﻛﻲ از رزﻳﺴﺖ را روي وﻳﻔﺮ ﻣﻤﻜﻦ ﺳﺎزد‪ .‬ﺑﻌﺪ از‬
‫اﻧﺠﺎم اﻳﻦ ﻧﻘﺶ ﺣﻀﻮر آن ﺑﺎ اداﻣﻪ ﭘﺮوﺳﻪ ﺗﺪاﺧﻞ ﻣﻲ ﻛﻨﺪ‪ .‬اوﻟﻴﻦ ﺗﺪاﺧﻞ در ﻣﺮﺣﻠﻪ‪ ‬ﺗﺎﺑﺶ رخ ﻣﻲ‬
‫دﻫﺪ‪ .‬از آﻧﺠﺎ ﻛﻪ ﺣﻼﻟﻬﺎي رزﻳﺴﺖ ﺗﺸﻌﺸﻌﺎت را ﺟﺬب ﻣﻲ ﻛﻨﻨﺪ‪ ،‬ﺑﻨﺎﺑﺮاﻳﻦ در اﻧﺠﺎم واﻛﻨﺶ‬
‫ﺷﻴﻤﻴﺎﻳﻲ ﻣﻨﺎﺳﺐ در ﭘﻠﻴﻤﺮ ﺗﺪاﺧﻞ اﻳﺠﺎد ﻣﻲ ﻛﻨﻨﺪ‪.‬‬
‫‪ .2‬ﻣﺸﻜﻞ دوم ﻣﺮﺑﻮط ﺑﻪ ﭼﺴﺒﻨﺪﮔﻲ رزﻳﺴﺖ اﺳﺖ‪ .‬ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ ﻣﺜﺎل رﻧﮓ ﻛﺮدن ﻣﻲ داﻧﻴﻢ ﻛﻪ ﺧﻨﻚ‬
‫ﺷﺪن ﻛﺎﻣﻞ ﻛﻪ ﻫﻤﺎن ﺣﺬف ﺣﻼل اﺳﺖ ﺑﺮاي ﭼﺴﺒﻨﺪﮔﻲ ﺧﻮب ﺿﺮوري اﺳﺖ‪.‬‬
‫دو ﭘﺎراﻣﺘﺮ ﻣﻬﻢ در ﭘﺨﺖ ﻧﺮم‪ ,‬زﻣﺎن و ﻣﻘﺪار ﺣﺮارت اﺳﺖ‪ .‬اﮔﺮ ﭘﺨﺖ ﺧﻮب اﻧﺠﺎم ﻧﺸﻮد ‪(under‬‬

‫)‪ backing‬ﺑﻪ ﺗﺸﻜﻴﻞ ﺗﺼﻮﻳﺮ ﻧﺎﻗﺺ در ﻣﺮﺣﻠﻪ ﺗﺎﺑﺶ ﻣﻨﺠﺮ ﻣﻲ ﺷﻮد و ﻫﻤﭽﻨﻴﻦ ﻣﻨﺠﺮ ﺑﻪ ﭼﺴﺒﻨﺪﮔﻲ‬
‫ﺿﻌﻴﻒ ﻣﻲ ﺷﻮد‪ .‬اﮔﺮ ﭘﺨﺖ ﺑﻴﺶ از ﺣﺪ اﻧﺠﺎم ﺷﻮد )‪ (over backing‬ﻣﻨﺠﺮ ﺑﻪ ﭘﻠﻴﻤﺮاﻳﺰ ﺷﺪن رزﻳﺴﺖ‬
‫ﻣﻲ ﺷﻮد و در ﻣﺮﺣﻠﻪ ﺗﺎﺑﺶ در ﻣﻘﺎﺑﻞ ﺗﺸﻌﺸﻌﺎت واﻛﻨﺸﻲ ﻧﺸﺎن ﻧﻤﻲ دﻫﺪ‪ .‬رﻧﺞ دﻣﺎﻳﻲ و زﻣﺎﻧﻲ ﺑﺮاي‬
‫ﭘﺨﺖ ﻧﺮم ﺗﻮﺳﻂ ﺳﺎزﻧﺪه رزﻳﺴﺖ ﺗﻌﻴﻴﻦ ﻣﻲ ﺷﻮد و ﺗﻮﺳﻂ ﻣﻬﻨﺪس ﻣﺎﺳﻚ ﮔﺬاري ﺗﻨﻈﻴﻢ ﻣﻲ ﺷﻮد‪.‬‬
‫ﭘﺨﺖ ﻧﺮم روي ﻓﺘﻮرزﻳﺴﺖ ﻣﻨﻔﻲ در اﺗﻤﺴﻔﺮ ﻧﻴﺘﺮوژن اﻧﺠﺎم ﻣﻲ ﺷﻮد‪ .‬در ﺣﺎﻟﻴﻜﻪ ﻓﺮآﻳﻨﺪ ﭘﺨﺖ ﻧﺮم‬
‫ﺑﺮاي ﻓﺘﻮرزﻳﺴﺖ ﻣﺜﺒﺖ ﻣﻲ ﺗﻮاﻧﺪ در ﻫﻮا اﻧﺠﺎم ﺷﻮد‪ .‬ﺳﻪ روش اﻧﺘﻘﺎل ﮔﺮﻣﺎ )ﻫﺪاﻳﺖ‪ ,‬ﻫﻤﺮﻓﺖ و‬
‫ﺗﺸﻌﺸﻊ( در اﻳﻦ ﻣﺮﺣﻠﻪ ﻣﻲ ﺗﻮاﻧﻨﺪ اﺳﺘﻔﺎده ﺷﻮﻧﺪ ‪.‬‬
‫ﻣﺜﻼً در روش ﺻﻔﺤﺎت آﻟﻮﻣﻴﻨﻴﻮﻣﻲ داغ از ﻣﻜﺎﻧﻴﺰم ﻫﺪاﻳﺖ ﮔﺮﻣﺎ اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‪ .‬از روش ﻛﻮره‬
‫ﻫﺎي ﻫﻤﺮﻓﺘﻲ و اﻣﻮاج ﻣﺎﻳﻜﺮووﻳﻮ ﻫﻢ اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد ‪.‬‬

‫‪322‬‬
‫‪ - 1- 3- 6- 9‬ﻛﻮره ﻫﺎي ﻫﻤﺮﻓﺘﻲ‬

‫ﻳﻚ ﻣﺤﻔﻈﻪ ﻓﻮﻻدي ﺿﺪ زﻧﮓ اﺳﺖ ﻛﻪ در داﺧﻞ ﻣﺤﻔﻈﻪ ﻋﺎﻳﻖ ﻗﺮار ﮔﺮﻓﺘﻪ اﺳﺖ‬
‫)ﺷﻜﻞ ‪ .(4.21‬ﻧﻴﺘﺮوژن ﺑﺎ ﻫﻮا ﺗﻮﺳﻂ ﻛﺎﻧﺎﻟﻬﺎﻳﻲ )‪ ( ducts‬ﻛﻪ اﻃﺮاف ﻣﺤﻔﻈﻪ اﺳﺖ ﻓﺮآﻫﻢ ﻣﻲﺷﻮﻧﺪ‪.‬‬
‫ﻧﻴﺘﺮژن و ﻫﻮا ﻗﺒﻞ از اﻳﻨﻜﻪ ﺑﻪ داﺧﻞ ﻣﺤﻔﻈﻪ وارد ﺷﻮﻧﺪ از روي ﻫﻴﺘﺮ ﻋﺒﻮر ﻣﻲ ﻛﻨﻨﺪ‪ .‬ﺣﻤﻞ ﻛﻨﻨﺪه‪‬‬
‫وﻳﻔﺮ ﺑﻪ ﻣﺪت زﻣﺎن ﻣﺸﺨﺼﻲ داﺧﻞ ﻛﻮره ﺑﺎﻗﻲ ﻣﻲ ﻣﺎﻧﺪ ﺗﺎ ﮔﺎز ﮔﺮم ﺷﺪه آن را ﺑﻪ دﻣﺎي ﻻزم ﺑﺮﺳﺎﻧﺪ‪.‬‬
‫ﻳﻚ ﻣﺸﻜﻞ اﻳﻦ روش ﺗﻤﺎﻳﻞ ﺑﻪ ﺗﺸﻜﻴﻞ ﭘﻮﺳﺘﻪ ﺑﺮ روي ﻻﻳﻪ ﺑﺎﻻﻳﻲ رزﻳﺴﺖ اﺳﺖ‪ ،‬ﻛﻪ ﺣﻼﻟﻬﺎي‬
‫رزﻳﺴﺖ را ﺑﻪ دام ﻣﻲ اﻧﺪازد‪.‬‬

‫ﺷﻜﻞ‪ .4.21‬ﻛﻮره ﻫﻤﺮﻓﺘﻲ‬

‫‪ - 2- 3- 6- 9‬ﺻﻔﺤﺎت داغ‬

‫در ﻋﻤﻠﻴﺎت آزﻣﺎﻳﺸﮕﺎﻫﻲ و دﺳﺘﻲ ﻳﻚ ﺻﻔﺤﻪ داغ ﺳﺎده ﺑﺮاي ﭘﺨﺖ ﻧﺮم ﺑﻜﺎر ﻣﻲ رود‪ .‬وﻳﻔﺮﻫﺎ روي‬
‫ﻧﮕﻬﺪارﻧﺪه ﻫﺎي آﻟﻮﻣﻴﻨﻴﻮﻣﻲ ﻗﺮار ﻣﻲ ﮔﻴﺮﻧﺪ ﻛﻪ ﻳﻚ ﺗﺮﻣﻮﻣﺘﺮ در داﺧﻞ آن ﺗﻌﺒﻴﻪ ﺷﺪه اﺳﺖ‪.‬‬
‫اﭘﺮاﺗﻮري اﻓﺰاﻳﺶ دﻣﺎ را ﺑﺎ ﺗﺮﻣﻮﻣﺘﺮ ﻛﻨﺘﺮل ﻣﻲ ﻛﻨﺪ و زﻣﺎﻧﻲ ﻛﻪ ﺑﻪ دﻣﺎي ﻣﻮرد ﻧﻈﺮ رﺳﻴﺪ ﻧﮕﻬﺪارﻧﺪه‬
‫را ﺑﺮﻣﻲ دارد‪.‬‬
‫اﮔﺮ ﻛﻨﺘﺮل ﺧﻮب اﻧﺠﺎم ﺷﻮد ﭘﺨﺖ ﻧﺮم ﺧﻴﻠﻲ ﺧﻮب ﺻﻮرت ﻣﻲ ﮔﻴﺮد; ﻓﺎﻳﺪه ﻓﺮآﻳﻨﺪ اﻳﻦ اﺳﺖ ﻛﻪ‬
‫ﭼﻮن اﺑﺘﺪا ﭘﺎﻳﻴﻦ وﻳﻔﺮ ﮔﺮم ﻣﻲ ﺷﻮد‪ ،‬اﻳﻦ اﻣﻜﺎن را ﺑﺮاي ﺣﻼل ﻓﺮاﻫﻢ ﻣﻲ ﺳﺎزد ﺗﺎ ﺳﺮﻳﻊ از ﻃﺮﻳﻖ‬

‫‪323‬‬
‫ﺑﺎﻻي ﺳﻄﺢ وﻳﻔﺮ ﻓﺮار ﻛﻨﺪ و ﺗﺸﻜﻴﻞ ﭘﻮﺳﺘﻪ روي رزﻳﺴﺖ را ﻣﻴﻨﻴﻤﻢ ﻣﻲ ﻛﻨﺪ ‪ .‬اﻳﻦ ﻓﺮآﻳﻨﺪ واﺑﺴﺘﻪ ﺑﻪ‬
‫ﻋﻤﻠﻜﺮد اﭘﺮاﺗﻮر اﺳﺖ و ﻗﺪرت ﺗﻮﻟﻴﺪ آن ﭘﺎﻳﻴﻦ اﺳﺖ ‪.‬‬

‫‪: Inline, single wafer hot plates - 3- 3- 6- 9‬‬


‫در ﺳﻴﺴﺘﻤﻬﺎي ‪) track‬ﺧﻄﻲ را ﻃﻲ ﻣﻲ ﻛﻨﻨﺪ( وﻳﻔﺮﻫﺎﻳﻲ ﻛﻪ ﺻﻔﺤﺎت دوار ﻣﺨﺼﻮص اﻋﻤﺎل رزﻳﺴﺖ‬
‫و ‪ primer‬را ﺗﺮك ﻛﺮده اﻧﺪ روي ﺻﻔﺤﻪ داغ ﻗﺮار ﻣﻲ ﮔﻴﺮﻧﺪ و ﺑﺎ ﻣﻜﺶ ﺧﻼء روي آن ﻣﺤﻜﻢ ﻣﻲ‬
‫ﺷﻮﻧﺪ ‪ .‬وﻳﻔﺮ و رزﻳﺴﺖ ﺗﺎ ﻣﺪت زﻣﺎن ﻣﺸﺨﺼﻲ ﮔﺮم ﻣﻲ ﺷﻮد ﺳﭙﺲ وﻳﻔﺮ رﻫﺎ ﻣﻲ ﺷﻮد ‪ .‬و وﻳﻔﺮ ﺑﻪ‬
‫ﺣﻤﻞ ﻛﻨﻨﺪه وﻳﻔﺮ ﻣﻨﺘﻘﻞ ﻣﻲ ﺷﻮد ‪.‬اﻳﻦ ﺳﻴﺴﺘﻢ را ﺑﻪ ﻣﻨﻈﻮر ﺧﺎرج ﻛﺮدن ﺑﺨﺎر ﺣﻼل ﺑﻪ ﻳﻚ ﺳﻴﺴﺘﻢ‬
‫اﮔﺰوز ﻣﺘﺼﻞ اﺳﺖ ‪.‬‬

‫ﺷﻜﻞ ‪ .4.22‬ﺻﻔﺤﺎت داغ‬

‫ﺷﻜﻞ ‪Inline. 4.23‬‬

‫‪324‬‬
‫‪: Moving belt infrared oven - 4- 3- 6- 9‬‬
‫اﻳﻦ روش ﺳﺮﻳﻌﺘﺮ اﺳﺖ ‪ .‬ﻋﻤﻞ ﭘﺨﺖ را ﻳﻜﻨﻮاﺧﺖ ﺗﺮ اﻧﺠﺎم ﻣﻲ دﻫﺪ و ﻣﻨﺠﺮ ﺑﻪ ﺗﺸﻜﻴﻞ ﭘﻮﺳﺘﻪ ﻧﻤﻲ‬
‫ﺷﻮد‪ .‬ﺧﻴﻠﻲ ﺳﺮﻳﻌﺘﺮ از روش ﻛﻮره ﻫﻤﺮﻓﺘﻲ اﺳﺖ و از داﺧﻞ وﻳﻔﺮ را ﮔﺮم ﻣﻲ ﻛﻨﺪ اﻣﻮاج ‪ infrared‬از‬
‫ﻻﻳﻪ رزﻳﺴﺖ ﻋﺒﻮر ﻣﻲ ﻛﻨﻨﺪ ﺑﺪون اﻳﻨﻜﻪ آن ﻻﻳﻪ اﻣﻮاج را ﺟﺬب ﻛﻨﺪ ‪ .‬وﻳﻔﺮ اﻧﺮژي را ﻣﻲ ﮔﻴﺮد ﮔﺮم‬
‫ﻣﻲ ﺷﻮد و ﻻﻳﻪ رزﻳﺴﺖ را از ﭘﺎﻳﻴﻦ ﮔﺮم ﻣﻲ ﻛﻨﺪ ‪.‬‬

‫ﺷﻜﻞ ‪Moving belt infrared oven .4.24‬‬

‫‪Microwave baking - 5- 3- 6- 9‬‬


‫اﻳﻦ روش ﺳﺮﻳﻌﺘﺮ از روش ﻗﺒﻞ ﻋﻤﻞ ﻣﻲ ﻛﻨﺪ‪ .‬ﭼﻮن ﻣﺎﻳﻜﺮووﻳﻮ اﻧﺮژي ﺑﻴﺸﺘﺮي دارد‪.‬در اﻳﻦ روش‬
‫ﭘﺨﺖ ﻧﺮم زﻳﺮ‪ 1‬دﻗﻴﻘﻪ اﻧﺠﺎم ﻣﻲ ﺷﻮد‪ .‬درﺳﺖ ﺑﻌﺪ از ﭘﺨﺶ رزﻳﺴﺖ ﭘﺨﺖ ﻧﺮم روي وﻳﻔﺮ ﺑﺎ اﻣﻮاج ﺑﺎ‬
‫ﻣﺎﻳﻜﺮووﻳﻮ اﻧﺠﺎم ﻣﻲ ﺷﻮد‬

‫ﺷﻜﻞ ‪ .4.25‬ﭘﺨﺖ ﺑﺎ اﺳﺘﻔﺎده از اﻣﻮاج ﻣﺎﻳﻜﺮووﻳﻮ‬

‫‪325‬‬
‫در ﺟﺪول ‪ 4.5‬ﺟﺪول ﻣﻘﺎﻳﺴﻪ اي روش ﻫﺎي ﻣﺨﺘﻠﻒ ﭘﺨﺖ ﻧﺮم دﻳﺪه ﻣﻲ ﺷﻮد ‪.‬‬

‫‪Method Bake‬‬ ‫‪Time‬‬ ‫‪Temperature‬‬ ‫‪Productivity‬‬ ‫‪Rate‬‬ ‫‪Queuing‬‬


‫‪Min‬‬ ‫‪Control‬‬ ‫‪Type‬‬ ‫‪Waf/Hr‬‬
‫‪Hot Plate‬‬ ‫‪5-15‬‬ ‫‪Good Single to small‬‬ ‫‪60‬‬ ‫‪Yes‬‬
‫‪batch‬‬
‫‪Convection‬‬ ‫‪30‬‬ ‫‪Average-Good‬‬ ‫‪Batch‬‬ ‫‪400‬‬ ‫‪Yes‬‬
‫‪Oven‬‬
‫‪Vacuum Oven‬‬ ‫‪30‬‬ ‫‪Poor-Average‬‬ ‫‪Batch‬‬ ‫‪200‬‬ ‫‪Yes‬‬
‫‪I.R Moving Belt‬‬ ‫‪5-7‬‬ ‫‪Poor-Average‬‬ ‫‪Single‬‬ ‫‪90‬‬ ‫‪No‬‬

‫‪Conductive‬‬ ‫‪5-7‬‬ ‫‪Average‬‬ ‫‪Single‬‬ ‫‪90‬‬ ‫‪No‬‬


‫‪Moving Belt‬‬
‫‪Microwave‬‬ ‫‪0.25‬‬ ‫‪Poor-Average‬‬ ‫‪Single‬‬ ‫‪60‬‬ ‫‪No‬‬
‫ﺟﺪول ‪ .4.5‬ﻣﻘﺎﻳﺴﻪ روش ﻫﺎي ﻣﺨﺘﻠﻒ ﭘﺨﺖ ﻧﺮم‬

‫‪ - 4- 6- 9‬ﻣﺎﺳﻚ ﮔﺬاري و ﺗﺎﺑﺶ)‪:( Alignment&Exposure‬‬

‫ﺑﻌﺪ از اﻳﺠﺎد ﻻﻳﻪ ﻧﺎزك ﻓﺘﻮرزﻳﺴﺖ ﺑﺎﻳﺴﺘﻲ ﻃﺮح ﻣﻮرد ﻧﻈﺮ را روي وﻳﻔﺮ ﭘﻴﺎده ﻛﺮد‪ .‬اﻳﻦ ﻛﺎر ﺗﻮﺳﻂ‬
‫ﺗﺎﺑﺶ ﻧﻮر از ﻳﻚ ﻣﺎﺳﻚ اﻧﺠﺎم ﻣﻲ ﺷﻮد‪ .‬ﻣﺎﺳﻚ ﮔﺬاري و ﺗﺎﺑﺶ )‪ (A&E‬ﻳﻜﻲ از ﻣﻬﻤﺘﺮﻳﻦ ﻣﺮاﺣﻞ‬
‫ﻟﻴﺘﻮﮔﺮاﻓﻲ اﺳﺖ ﻛﻪ در دو ﻣﺮﺣﻠﻪ اﻧﺠﺎم ﻣﻲ ﺷﻮد‪ .‬ﻗﺴﻤﺖ اول ﻣﺮﺣﻠﻪ ‪ ،A&E‬ﻗﺮار دادن دﻗﻴﻖ ﻣﺎﺳﻚ‬
‫روي وﻳﻔﺮ اﺳﺖ و دوﻣﻴﻦ ﻗﺴﻤﺖ ﻣﻨﺘﻘﻞ ﻛﺮدن ﺗﺼﻮﻳﺮ روي ﻓﺘﻮرزﻳﺴﺖ از ﻃﺮﻳﻖ ﺗﺎﺑﺶ اﺳﺖ‪ .‬وﻳﻔﺮ‬
‫‪ %60‬از زﻣﺎن ﭘﺮوﺳﻪ را در ﻣﺮﺣﻠﻪ ﻟﻴﺘﻮﮔﺮاﻓﻲ ﻗﺮار دارد‪ .‬اﻳﻦ ﻛﺎر ﺗﻮﺳﻂ ﺗﺎﺑﺶ ﻧﻮر از ﻳﻚ ﻣﺎﺳﻚ‬
‫ﺻﻮرت ﻣﻲ ﮔﻴﺮد‪.‬دﺳﺘﮕﺎﻫﻲ ﻛﻪ اﻳﻦ ﻛﺎر را اﻧﺠﺎم ﻣﻲ دﻫﺪ آﻻﻳﻨﺮ ﻧﺎم دارد‬
‫آﻻﻳﻨﺮﻫﺎ ﺑﺮاﺳﺎس ﭘﺎراﻣﺘﺮﻫﺎي زﻳﺮ اﻧﺘﺨﺎب و ﻣﻘﺎﻳﺴﻪ ﻣﻲ ﺷﻮﻧﺪ‪:‬‬
‫اﻟﻒ( ﺗﻮاﻧﺎﻳﻲ ﺗﻔﻜﻴﻚ‬
‫ب( دﻗﺖ ﺟﺎﻳﮕﺬاري‬
‫ج( ﺳﻄﺢ آﻟﻮدﮔﻲ‬
‫د( ﻗﺎﺑﻠﻴﺖ اﻋﺘﻤﺎد‬
‫ه(ﻇﺮﻓﻴﺖ ﻛﺎري‬
‫و( ﻫﺰﻳﻨﻪ ﻛﻠﻲ‬

‫‪326‬‬
‫‪ - 1- 4- 6- 9‬ﺳﻴﺴﺘﻢ ﻫﺎي ﻣﺎﺳﻚ ﮔﺬاري و ﺗﺎﺑﺶ‪:‬‬

‫ﺗﺎ اواﻳﻞ ‪ ,1970‬ﻣﻬﻨﺪس ﻓﻘﻂ اﻣﻜﺎن دو اﻧﺘﺨﺎب ﺳﻴﺴﺘﻢ ‪ A&E‬را داﺷﺖ‪ .‬اﻣﺮوزه اﻳﻦ ﮔﺴﺘﺮه اﻧﺘﺨﺎب‬
‫وﺳﻴﻌﺘﺮ ﺷﺪه اﺳﺖ و ﺷﺎﻣﻞ آﻻﻳﻨﺮﻫﺎي ﻧﻮري و ﻏﻴﺮﻧﻮري اﺳﺖ‪ ..‬آﻻﻳﻨﺮﻫﺎي ﻧﻮري از ﻣﻨﺒﻊ ﻧﻮر ﻣﺎوراء‬
‫ﺑﻨﻔﺶ اﺳﺘﻔﺎده ﻣﻲ ﻛﻨﻨﺪ ‪ .‬در ﺣﺎﻟﻴﻜﻪ ﺳﻴﺴﺘﻢ ﻫﺎي ﻏﻴﺮﻧﻮري از دﻳﮕﺮ ﻗﺴﻤﺘﻬﺎي ﻃﻴﻒ‬
‫اﻟﻜﺘﺮوﻣﻐﻨﺎﻃﻴﺲ اﺳﺘﻔﺎده ﻣﻲ ﻛﻨﻨﺪ ‪.‬‬
‫اﻧﻮاع آﻻﻳﻨﺮ ﻫﺎ‪:‬آﻻﻳﻨﺮ ﻫﺎ ﺑﻪ دو ﮔﺮوه ﻛﻠﻲ ﻧﻮري و اﻟﻜﺘﺮو ﻣﻐﻨﺎﻃﻴﺴﻲ ﺗﻘﺴﻴﻢ ﻣﻲ ﺷﻮﻧﺪ‪.‬آﻻﻳﻨﺮﻫﺎي‬
‫ﻧﻮري ﺧﻮد ﺑﻪ ﭼﻬﺎر دﺳﺘﻪ ﺑﻪ ﻧﺎﻣﻬﺎي ‪، Stepper ،Projection، Proximity،Contact.‬ﻃﺒﻘﻪ ﺑﻨﺪي ﻣﻲ‬
‫ﺷﻮﻧﺪ‪.‬آﻻﻳﻨﺮ ﻫﺎي اﻟﻜﺘﺮوﻣﻐﻨﺎﻃﻴﺴﻲ ﺑﻪ دو دﺳﺘﻪ ‪ Ebeam ،X-ray‬ﺗﻘﺴﻴﻢ ﻣﻲ ﺷﻮﻧﺪ ‪.‬‬

‫‪ - 2- 4- 6- 9‬ﻣﻨﺎﺑﻊ ﻧﻮردﻫﻲ اﺳﺘﻔﺎده ﺷﺪه در اﻳﻦ ﻣﺮﺣﻠﻪ ‪:‬‬

‫از آﻧﺠﺎﻛﻪ آﻻﻳﻨﺮﻫﺎ ﻣﺎﺷﻴﻨﻬﺎي ﺧﻴﻠﻲ ﭘﻴﭽﻴﺪه اي ﻫﺴﺘﻨﺪ آﻧﻬﺎ ﺑﺮاﺳﺎس ﻳﻚ ﺳﺮي ﻣﻔﺎﻫﻴﻢ اﺻﻠﻲ ﻧﻮر‬
‫ﻛﺎر ﻣﻲ ﻛﻨﻨﺪ‪.‬‬
‫ﻣﺜﻼً اﻳﺠﺎد ﺳﺎﻳﻪ ﭼﻨﮕﺎل روي دﻳﻮار را در ﻧﻈﺮ ﺑﮕﻴﺮﻳﺪ‪ .‬ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ اﺳﺘﺎﻧﺪاردﻫﺎي ﺻﻨﻌﺖ ﻧﻴﻤﻪ ﻫﺎدي‪,‬‬
‫ﺳﺎﻳﻪ اﻳﺠﺎد ﺷﺪه از ﭼﻨﮕﺎل دﻗﻴﻖ ﻧﻴﺴﺖ ‪ .‬از آﻧﺠﺎﻛﻪ ﻧﻮر ﺳﻔﻴﺪ ﺷﺎﻣﻞ ﺗﻌﺪاد زﻳﺎدي ﻃﻮل ﻣﻮج اﺳﺖ ﺑﺎ‬
‫ﺗﻮﺟﻪ ﺑﻪ ﭘﺪﻳﺪه ﭘﺮاش ﻧﻮر‪ ،‬اﺳﺘﻔﺎده از ﻣﻨﺒﻊ ﻧﻮر ﺳﻔﻴﺪ ﺑﺎﻋﺚ اﻳﺠﺎد ﺗﺼﻮﻳﺮ ﻧﺎواﺿﺢ و ﻏﻴﺮدﻗﻴﻘﻲ ﻣﻲ‬
‫ﺷﻮد‪ .‬اﺳﺘﻔﺎده از ﻣﻨﺒﻊ ﻧﻮر ﺑﺎ ﻃﻮل ﻣﻮج ﻛﻮﺗﺎﻫﺘﺮ ﻳﺎ ﻧﻮر ﺗﻚ ﻃﻮل ﻣﻮج ﭘﺮاش را ﻣﻴﻨﻴﻤﻢ ﻣﻲ ﻛﻨﺪ‪.‬‬
‫روش دﻳﮕﺮ ﺑﺮاي ﺑﻬﺒﻮد ﻛﻴﻔﻴﺖ ﺗﺼﻮﻳﺮ اﻳﺠﺎد ﺷﺪه ﻣﻮازي ﻛﺮدن اﺷﻌﻪﻫﺎي ﻧﻮر ﺗﺎﺑﻴﺪه ﺷﺪه اﺳﺖ‪ .‬در‬
‫ﻧﻮر ﺳﻔﻴﺪ از آﻧﺠﺎ ﻛﻪ اﺷﻌﻪ ﻫﺎﻳﻲ ﻛﻪ از ﻣﻨﺒﻊ ﺗﺎﺑﻴﺪه ﻣﻲﺷﻮﻧﺪ در ﻣﺴﻴﺮﻫﺎي ﻣﺘﻔﺎوﺗﻲ ﺣﺮﻛﺖ ﻣﻲ‬
‫ﻛﻨﻨﺪ ﻣﻨﺠﺮ ﺑﻪ اﻳﺠﺎد ﺗﺼﻮﻳﺮ ﻏﻴﺮدﻗﻴﻘﻲ ﻣﻲ ﺷﻮﻧﺪ‪ .‬ﻣﻮازي ﻛﺮدن اﺷﻌﻪ ﻫﺎي ﻧﻮر را ﻣﻲ ﺗﻮان ﺑﺎ ﻟﻨﺰﻫﺎ ﻳﺎ‬
‫آﻳﻨﻪ ﻫﺎ اﻧﺠﺎم داد‪ .‬ﺗﻴﺰي ﻟﺒﻪ ﻫﺎي ﺗﺼﻮﻳﺮ و اﺑﻌﺎد دﻗﻴﻖ آن ﺗﺤﺖ ﺗﺄﺛﻴﺮ ﻓﺎﺻﻠﻪ ﻣﻨﺒﻊ ﻧﻮر از ﭘﺸﺖ‬
‫ﻣﺎﺳﻚ و ﻓﺎﺻﻠﻪ ﻣﺎﺳﻚ ﺗﺎ وﻳﻔﺮ ﻗﺮار دارد‪ .‬ﻧﺰدﻳﻚ ﻛﺮدن اﻳﻦ ﻓﺎﺻﻠﻪ ﻣﻮﺟﺐ ﺗﻴﺰي ﺑﻴﺸﺘﺮ ﺗﺼﻮﻳﺮ ﻣﻲ‬
‫ﺷﻮد‪.‬‬
‫اﻳﻦ ﺗﻜﻨﻴﻜﻬﺎ در آﻻﻳﻨﺮﻫﺎ ﺑﻪ ﻣﻨﻈﻮر رﺳﻴﺪن ﺑﻪ ﺗﺼﻮﻳﺮ ﺑﺎ ﻛﻴﻔﻴﺖ ﺑﻬﺘﺮ اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪.‬‬

‫‪327‬‬
‫‪ - 3- 4- 6- 9‬ﻣﻌﻴﺎرﻫﺎي ﺟﺎﮔﺬاري ﻣﺎﺳﻚ ‪:‬‬

‫ﻣﺎﺳﻚ اول ﻃﻮري ﻧﺴﺒﺖ ﺑﻪ وﻳﻔﺮ ﻗﺮار ﻣﻲ ﮔﻴﺮد ﻛﻪ ﻣﺤﻮر ‪ y‬ﻣﺎﺳﻚ ﺑﺎ ‪ flat‬اﺻﻠﻲ وﻳﻔﺮ زاوﻳﻪ ‪90o‬‬
‫ﺗﺸﻜﻴﻞ دﻫﺪ‪ .‬ﺑﻘﻴﻪ ﻣﺎﺳﻜﻬﺎ ﺑﺎ اﺳﺘﻔﺎده از ﻳﻚ ﺳﺮي ﻧﺸﺎﻧﻪ ﮔﺬاري ﻫﺎ ﻣﻌﺮوف ﺑﻪ ‪ targets‬ﺑﺎ اﻳﻦ‬
‫ﻣﺎﺳﻚ ﻫﻢ ﺟﻬﺖ ﻣﻲ ﺷﻮﻧﺪ ‪ target .‬ﻋﻼﻣﺖ ﺻﻠﻴﺐ ﺷﻜﻠﻲ اﺳﺖ ﻛﻪ روي ﻣﺎﺳﻚ اول ﻗﺮار دارد آن را‬
‫روي وﻳﻔﺮ ﻣﻨﺘﻘﻞ ﻣﻲ ﻛﻨﻨﺪ‪ ،‬ﻣﺎﺳﻚ ﺑﻌﺪي را ﻃﻮري ﻗﺮار ﻣﻲ دﻫﻨﺪ ﻛﻪ اﻳﻦ ﻋﻼﻣﺖ ﻣﺎﺳﻚ ﺑﺮ روي‬
‫ﻋﻼﻣﺖ روي وﻳﻔﺮ ﻣﻨﻄﺒﻖ ﺷﻮد‪.‬‬
‫اﺷﺘﺒﺎﻫﺎت رخ داده در ﻓﺮآﻳﻨﺪ ﺟﺎﮔﺬاري ﻣﺎﺳﻚ ﺑﻪ ﭼﻨﺪ دﺳﺘﻪ ﺗﻘﺴﻴﻢ ﻣﻲ ﺷﻮﻧﺪ ﻛﻪ اﻳﻦ اﺷﺘﺒﺎﻫﺎت‬
‫‪ Misalignment‬ﮔﻔﺘﻪ ﻣﻲ ﺷﻮد‪ .‬ﻣﻌﻤﻮﻟﺘﺮﻳﻦ اﻳﻦ اﺷﺘﺒﺎﻫﺎت در ﺷﻜﻞ ‪4.26‬دﻳﺪه ﻣﻲ ﺷﻮد ‪.‬‬

‫‪X directions‬‬

‫‪Rotational‬‬

‫‪Run-Out‬‬

‫ﺷﻜﻞ ‪ .4.26‬اﺷﺘﺒﺎﻫﺎت ﺟﺎﮔﺬاري ﻣﺎﺳﻚ‬


‫‪ X or Y directions‬ﺑﻪ ﺧﺎﻃﺮ ﺟﺎﺑﻪ ﺟﺎﻳﻲ ﻛﻮﭼﻜﻲ در ﻣﺨﺘﺼﺎت ‪ X‬ﻳﺎ ‪ Y‬ﻣﺎﺳﻚ ﺑﻪ وﺟﻮد ﻣﻲ آﻳﺪ‪ .‬ﻛﻪ در‬
‫ﻧﺘﻴﺠﻪ آن ﻛﻞ اﻟﮕﻮﻫﺎ در ﻛﻞ وﻳﻔﺮ از ﺑﻴﻦ ﻣﻲ رود‪.‬‬
‫‪ Rotational‬ﺑﻪ ﻋﻠﺖ ﺗﺮاز ﻧﺒﻮدن ﻣﺤﻮرﻫﺎي ‪ X‬و ‪ Y‬ﺑﺎ ‪ flat‬اﺻﻠﻲ وﻳﻔﺮ ﺑﻪ وﺟﻮد ﻣﻲ آﻳﺪ‪ .‬ﻛﻪ در ﻧﺘﻴﺠﻪ آن‬
‫ﻛﻞ اﻟﮕﻮﻫﺎ در ﻛﻞ وﻳﻔﺮ از ﺑﻴﻦ ﻣﻲ رود‪.‬‬
‫‪ Run-out or Run-in‬ﻛﻪ در آﻻﻳﻨﺮﻫﺎي ﭘﻠﻪ اي ﺑﻪ وﺟﻮد ﻣﻲ آﻳﺪ و ﻋﻠﺖ آن ﻣﺴﺎوي ﻧﺒﻮدن ﻣﺮﻛﺰ ﭼﻴﭗ‬
‫ﻫﺎ در وﻳﻔﺮ اﺳﺖ‪ . .‬ﻛﻪ در ﻧﺘﻴﺠﻪ آن ﺗﻌﺪادي از اﻟﮕﻮﻫﺎ در وﻳﻔﺮ از ﺑﻴﻦ ﻣﻲ رود ‪.‬‬

‫‪ - 4- 4- 6- 9‬آﻻﻳﻨﺮﻫﺎي ﻧﻮري‬
‫‪Contact aligner‬‬

‫‪328‬‬
‫در اﻳﻦ آﻻﻳﻨﺮﻫﺎ از ﻳﻚ ﻣﺎﺳﻚ ﺑﺎ اﻧﺪازة وﻳﻔﺮ اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد ﻛﻪ از وﻳﻔﺮ ﻛﻤﻲ ﻓﺎﺻﻠﻪ دارد‪ .‬ﻫﻨﮕﺎﻣﻲ‬
‫ﻛﻪ ﻣﻜﺎن ﻣﺎﺳﻚ و وﻳﻔﺮ ﻛﺎﻣﻼً ﺗﻨﻈﻴﻢ ﺷﺪ )ﺗﻮﺳﻂ ﻣﻴﻜﺮوﺳﻜﻮپ ﻧﻮري(‪ ،‬وﻳﻔﺮ ﺑﻪ ﺳﻤﺖ ﺑﺎﻻ ﺣﺮﻛﺖ‬
‫ﻛﺮده و ﺑﻪ ﻣﺎﺳﻚ ﻣﻲ ﭼﺴﺒﺪ‪.‬‬
‫اﺷﻌﻪ ‪ UV‬ﺗﻨﻈﻴﻢ ﺷﺪه ﭘﺲ از ﻋﺒﻮر از ﻳﻚ ﻟﻨﺰ‪ ،‬از ﻣﺠﺎري ﻣﺎﺳﻚ ﻋﺒﻮر ﻛﺮده و ﺑﻪ ﺳﻄﺢ ﻓﺘﻮرزﻳﺴﺖ‬
‫ﺑﺮﺧﻮرد ﻣﻲﻛﻨﺪآﻻﻧﻴﺮﻫﺎي ﻧﻮع ‪ Contact‬در ﺳﺎﺧﺖ ﻣﺪارات ﻣﺠﺘﻤﻊ در ﺣﺪ ‪ MSI, SSI‬ﺑﺎ ‪Feature size‬‬

‫ﺗﻘﺮﻳﺒﺎً ‪ 5μ m‬و ﺑﺎﻻﺗﺮ اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‪ .‬در ﺷﻜﻞ ‪ 4.27‬ﺷﻜﻞ ﻛﻠﻲ ‪ contact aligner‬ﻫﺎ دﻳﺪه ﻣﻲ‬
‫ﺷﻮد‪.‬‬

‫‪Light Source‬‬

‫‪Lenses‬‬

‫‪Mask‬‬

‫‪Photoresis‬‬
‫‪t‬‬ ‫‪Wafer‬‬

‫ﺷﻜﻞ ‪contact aligner .4.27‬‬

‫در اﻳﻦ روش ﭼﻮن ﻣﺎﺳﻚ و وﻳﻔﺮ ﺑﺎ ﻫﻢ ﺗﻤﺎس ﻓﻴﺰﻳﻜﻲ ﺑﺮﻗﺮار ﻣﻲ ﻛﻨﻨﺪ‪ .‬ﻣﺸﻜﻼﺗﻲ از ﻗﺒﻴﻞ ﻛﺜﻴﻒ‬
‫ﺷﺪن ﻣﺎﺳﻚ و ﻧﺎﻫﻤﻮار ﺷﺪن ﺳﻄﺢ ﻓﺘﻮرزﻳﺴﺖ ﭘﻴﺶ ﻣﻲ آﻳﺪ ﻛﻪ در ﻓﺮآﻳﻨﺪ ﻟﻴﺘﻮﮔﺮاﻓﻲ اﺧﺘﻼل‬
‫اﻳﺠﺎد ﻣﻲ ﻛﻨﻨﺪ‪ .‬ﻛﻪ ﺑﺎﻋﺚ ﻣﺤﺪود ﺷﺪن رزوﻟﻮﺷﻦ ﻣﺎ ﻣﻲ ﮔﺮدد‪.‬‬
‫‪Proximity aligner‬‬
‫در اﻳﻦ ﻧﻮع‪ ،‬در واﻗﻊ ﻫﻤﺎن آﻻﻳﻨﺮﻫﺎ ي ﻧﻮع ‪ Contact‬ﻣﻲ ﺑﺎﺷﻨﺪ ﺑﺎ اﻳﻦ ﺗﻔﺎوت ﻛﻪ در اﻳﻨﺠﺎ وﻳﻔﺮ و‬
‫ﻣﺎﺳﻚ ﺑﺎ ﻓﺎﺻﻠﻪ اي در ﺣﺪود ‪ 10 μm‬از ﻳﻜﺪﻳﮕﺮ ﻗﺮار ﻣﻲ ﮔﻴﺮﻧﺪ‪ .‬در اﻳﻦ ﺳﻴﺴﺘﻢ‪ ،‬ﭘﺮاش‬
‫ﻧﻮر )‪ (diffraction‬ﺑﻪ ﻋﻠﺖ ﻓﺎﺻﻠﻪ ﺑﻴﺸﺘﺮ ﺑﻴﻦ ﻣﺎﺳﻚ و وﻳﻔﺮ ﺑﻪ اﻓﺰاﻳﺶ ﻣﻲ ﻳﺎﺑﺪ‪ .‬وﻟﻲ ﺑﻪ ﻋﻠﺖ ﺑﺮﻃﺮف‬
‫ﺷﺪن ﻣﺸﻜﻼﺗﻲ ﻛﻪ از ﭼﺴﺒﻴﺪن وﻳﻔﺮ ﺑﻪ ﻣﺎﺳﻚ ﻧﺎﺷﻲ ﻣﻲ ﺷﺪ‪ .‬رزوﻟﻮﺷﻦ اﻳﻦ روش از روش ﻗﺒﻞ‬

‫‪329‬‬
‫ﺑﻬﺘﺮ اﺳﺖ و ﻣﻲ ﺗﻮان ﺑﻪ ‪ 3 μm ، feature size‬رﺳﻴﺪ‪ .‬در ﺷﻜﻞ ‪ .4.28‬ﺷﻜﻞ ﻛﻠﻲ ‪proximity aligner‬‬

‫ﻫﺎ دﻳﺪه ﻣﻲ ﺷﻮد‪.‬‬

‫‪Light Source‬‬

‫‪Lenses‬‬

‫‪Mask‬‬

‫‪~10 μ m‬‬
‫‪Photoresist‬‬
‫‪Wafer‬‬

‫ﺷﻜﻞ ‪proximity aligner .4.28‬‬


‫‪Projection aligner‬‬
‫اﻳﻦ روش ﺑﺮ اﻳﻦ اﻳﺪه اﺳﺘﻮار ﺑﻮد ﻛﻪ ﺑﻪ ﺟﺎي ﺳﺎﻳﻪ ﻣﺎﺳﻚ‪ ،‬ﺗﺼﻮﻳﺮ ﻣﺎﺳﻚ را روي ﺳﻄﺢ وﻳﻔﺮ‬
‫ﺗﺸﻜﻴﻞ ﺑﺪﻫﻴﻢ‪ .‬اﻳﻦ اﻳﺪه ﺑﺎﻋﺚ ﻣﻲ ﺷﻮد ﻛﻪ ﺑﺘﻮاﻧﻴﻢ از ﻣﺎﺳﻚ ﻫﺎﻳﻲ ﺑﺰرﮔﺘﺮ از اﻧﺪازه ﺗﺼﻮﻳﺮ اﺳﺘﻔﺎده‬
‫ﻛﻨﻴﻢ‪ .‬ﻛﻪ ﺧﻮد ﺑﺎﻋﺚ ﺑﻬﺒﻮد ﭼﺸﻤﮕﻴﺮ رزوﻟﻮﺷﻦ ﻣﻲ ﮔﺮدد‪.‬‬
‫اﻟﺒﺘﻪ در اﺑﺘﺪاي ﻛﺎر ﻫﻨﻮز از ﻣﺎﺳﻚ ﻫﺎﻳﻲ ﺑﺎ اﻧﺪازه ﺗﺼﻮﻳﺮ اﺳﺘﻔﺎده ﻣﻲ ﺷﺪ‪ .‬در اﻳﻦ ﺳﻴﺴﺘﻢ ﻫﺎ ﭼﻮن‬
‫ﻣﻨﺒﻊ ﻧﻮر دﻫﻲ ﻣﺎ ﻗﻮي ﻧﺒﻮد‪ ،‬ﻧﻤﻲ ﺗﻮاﻧﺴﺘﻴﻢ ﻛﻞ وﻳﻔﺮ را ﺑﻪ ﻳﻜﺒﺎره ﻧﻮردﻫﻲ ﻛﻨﻴﻢ‪ .‬ﭘﺲ از ﻳﻚ اﺷﻌﻪ‬
‫ﺑﺎرﻳﻚ و ﻗﺪرﺗﻤﻨﺪ ﻧﻮر اﺳﺘﻔﺎده ﻣﻲ ﻛﺮدﻳﻢ وﺑﻪ وﺳﻴﻠﻪ آن ﻛﻞ ﻣﺎﺳﻚ و در ﻧﺘﻴﺠﻪ ﻛﻞ وﻳﻔﺮ را اﺳﻜﻦ‬
‫ﺗﺸﻜﻴﻞ‬ ‫وﻳﻔﺮ‬ ‫ﺳﻄﺢ‬ ‫ﻛﻞ‬ ‫در‬ ‫اﻟﮕﻮﻫﺎ‬ ‫ﻧ ﺘﻴﺠﻪ‬ ‫در‬ ‫و‬ ‫ﻛﺮدﻳﻢ‬ ‫ﻣﻲ‬
‫ﻣﻲ ﺷﺪﻧﺪ‪ .‬ﺑﻪ اﻳﻦ ﺳﻴﺴﺘﻢ ﻫﺎ ‪ perkin elmer projection system‬ﮔﻔﺘﻪ ﻣﻲ ﺷﻮد‪.‬‬

‫‪330‬‬
‫ﺑﺎ اﻳﻦ روش ﻣﻴﺘﻮان ﺑﻪ رزوﻟﻮﺷﻦ ﻫﺎﻳﻲ ﺗﺎ ﺣﺪ ‪ 1 μm‬رﺳﻴﺪ‪ .‬در ﺷﻜﻞ ‪ 4.29‬ﺷﻜﻞ ﻛﻠﻲ ‪scanning‬‬

‫‪ projection aligner‬ﻫﺎ دﻳﺪه ﻣﻲ ﺷﻮد‪.‬‬

‫‪Light Source‬‬ ‫‪Slit‬‬

‫‪Lens‬‬

‫‪Synchronized‬‬ ‫‪Mask‬‬
‫‪mask and wafer‬‬
‫‪movement‬‬ ‫‪Lens‬‬

‫‪Photoresist‬‬

‫‪Wafer‬‬

‫ﺷﻜﻞ ‪scanning projection aligner .4.29‬‬


‫‪Step & Scan aligner‬‬

‫در ﺗﻤﺎﻣﻲ روﺷﻬﺎي ﻗﺒﻠﻲ‪ ،‬ﺗﻌﺪاد زﻳﺎدي از ﺗﺼﺎوﻳﺮ ﻳﻚ ﻣﺪار روي ﻣﺎﺳﻚ ﻗﺮار داﺷﺖ ﻛﻪ در ﻫﻨﮕﺎم‬
‫ﺗﺎﺑﺶ‪ ،‬ﺗﺼﺎوﻳﺮ زﻳﺎدي از ﻣﺪار ﺑﺼﻮرت ﻫﻤﺰﻣﺎن روي وﻳﻔﺮ ﻣﻲ اﻓﺘﺎد‪ .‬در اﻳﻦ روﺷﻬﺎ ﻣﻤﻜﻦ ﺑﻮد در‬
‫ﻗﺴﻤﺖ ﺗﻬﻴﻪ ﻓﻴﻠﻢ ﻧﺎﻫﻨﺠﺎرﻳﻬﺎﻳﻲ در ﺗﺼﺎوﻳﺮ ﻧﺎﺷﻲ از ﻛﻴﻔﻴﺖ ﻧﺎﻣﺮﻏﻮب ﻓﻴﻠﻢ ﺣﺎدث ﺷﻮد‪ .‬ﺟﻬﺖ رﻓﻊ‬
‫اﻳﻦ ﻣﺸﻜﻞ ﻣﻲ ﺗﻮان ﺗﺼﻮﻳﺮ ﺑﺰرﮔﻲ از ﻳﻚ ﻣﺪار را روي ﻣﺎﺳﻚ اﻳﺠﺎد ﻛﺮده و ﺗﻮأم ﺑﺎ ﻛﻮﭼﻚ ﻧﻤﺎﺋﻲ‬
‫ﺗﺼﻮﻳﺮ‪ ،‬آﻧﺮا روي ﺳﻄﺢ وﻳﻔﺮ اﻧﺪاﺧﺖ و ﻫﻤﻴﻦ ﻋﻤﻞ را ﺑﺮاي ﺗﻤﺎم ﻧﻘﺎط ﺳﻄﺢ وﻳﻔﺮ ﺑﻪ ﻣﻴﺰان ﻣﻮرد ﻧﻴﺎز‬
‫ﺗﻜﺮار ﻧﻤﻮد‬
‫در اﻳﻦ روش ﺟﺎﮔﺬاري ﻣﺎﺳﻚ دﻗﻴﻖ ﺗﺮ ﺻﻮرت ﻣﻲ ﮔﻴﺮد ﭼﻮن ﻫ ﺮ ﺗﺮاﺷﻪ ﺑﻄﻮر ﺟﺪاﮔﺎﻧﻪ ﺟﺎﮔﺬاري‬
‫ﻣﻲ ﺷﻮد‪ .‬ﻫﻤﭽﻨﻴﻦ اﻳﻦ روش اﻣﻜﺎن ﺟﺎﮔﺬاري و ﺗﺎﺑﺶ را ﺑﺮاي وﻳﻔﺮﻫﺎ ﺑﺎ ﻗﻄﺮ ﺑﺰرگ ﻫﻢ ﻣﻤﻜﻦ ﻣﻲ‬
‫ﺳﺎزد‪ .‬ﻗﺎﺑﻠﻴﺖ رزوﻟﻮﺷﻦ ارﺗﻘﺎ ﻣﻲ ﻳﺎﺑﺪ ﭼﻮن در ﻫﺮ ﺑﺎر اﻧﺠﺎم اﻳﻦ ﻋﻤﻞ ﻣﻨﻄﻘﻪ ﻛﻮﭼﻜﻲ ﺗﺤﺖ ﺗﺎﺑﺶ‬
‫ﻗﺮار ﻣﻲ ﮔﻴﺮد و آﺳﻴﺐ ﭘﺬﻳﺮي ﻧﺴﺒﺖ ﺑﻪ ﮔﺮد و ﻏﺒﺎر و آﻟﻮدﮔﻲ ﻛﻤﺘﺮ ﻣﻲ ﺷﻮد‪.‬‬

‫‪331‬‬
‫ﺑﻌﻀﻲ از اﻳﻦ ﻧﻮع آﻻﻳﻨﺮﻫﺎ ﺗﺼﻮﻳﺮ اﻳﺠﺎد ﺷﺪه روي وﻳﻔﺮ را ﻧﺴﺒﺖ ﺑﻪ ﺗﺼﻮﻳﺮ روي ﻣﺎﺳﻚ ﺑﻪ اﻧﺪازه ‪5‬‬
‫ﺗﺎ ‪ 10‬ﺑﺮاﺑﺮ ﻛﻮﭼﻜﺘﺮ ﻣﻲ ﻛﻨﻨﺪ ﻛﻪ ﺑﻪ آﻧﻬﺎ ‪ reduction stepper‬ﻣﻲ ﮔﻮﻳﻨﺪ ‪ .‬ﺳﺎﺧﺖ ﭼﻨﻴﻦ ﻣﺎﺳﻜﻬﺎﻳﻲ ﺑﺎ‬
‫ﺳﺎﻳﺰي ﺑﺰرﮔﺘﺮ از ﺳﺎﻳﺰ ﺗﺼﻮﻳﺮ ﻣﻄﻠﻮب روي وﻳﻔﺮ راﺣﺖ ﺗﺮ اﺳﺖ و ﻫﻤﭽﻨﻴﻦ ﺑﻪ دﻟﻴﻞ ﻛﻮﭼﻜﻨﻤﺎﻳﻲ‬
‫ﺗﺼﻮﻳﺮ اﮔﺮ آﻟﻮدﮔﻲ ﻳﺎ آﺳﻴﺐ دﻳﺪﮔﻲ روي ﻣﺎﺳﻚ ﺑﺎﺷﺪ اﺛﺮ آن ﺣﺬف ﻣﻲ ﺷﻮد‪.‬‬
‫اﻳﻦ ﻧﻮع آﻻﻳﻨﺮﻫﺎ ﺑﺼﻮرت ﺗﻤﺎم اﺗﻮﻣﺎﺗﻴﻚ ﺳﺎﺧﺘﻪ ﻣﻲ ﺷﻮﻧﺪ ‪ .‬ﺟﺎﮔﺬاري دﻗﻴﻖ ﻣﺎﺳﻚ ﺗﻮﺳﻂ اﺷﻌﻪ‬
‫ﻟﻴﺰر ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ ﻋﻼﻣﺘﻬﺎﻳﻲ ﻛﻪ ﺑﺮاي اﻳﻦ ﻣﻨﻈﻮر روي ﻣﺎﺳﻜﻬﺎ و وﻳﻔﺮ در ﻧﻈﺮ ﮔﺮﻓﺘﻪ ﺷﺪه اﻧﺠﺎم ﻣﻲ‬
‫ﺷﻮد و ﺟﻬﺖ ‪ z,y,x‬ﺗﻮﺳﻂ ﻛﺎﻣﭙﻴﻮﺗﺮ ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ اﻃﻼﻋﺎﺗﻲ ﻛﻪ ﺑﻪ آن ﻣﻲ رﺳﺪ ﺗﻨﻈﻴﻢ ﻣﻲ ﺷﻮد‪.‬‬
‫ﺑﻪ ﻣﻨﻈﻮر اﻳﺠﺎد ﺗﺼﻮﻳﺮ ﺑﺎ اﺑﻌﺎد ﻛﺎﻣﻼً ﺻﺤﻴﺢ رﻃﻮﺑﺖ و دﻣﺎ ﺑﺎﻳﺪ ﻛﻨﺘﺮل ﺷﻮد ‪.‬‬
‫اﻳﻦ روش‪ ،‬ﻫﻢ اﻛﻨﻮن روش ﻏﺎﻟﺐ در ﺻﻨﻌﺖ ﺳﺎﺧﺖ ‪ IC‬اﺳﺖ ‪ .‬و ﺑﻪ وﺳﻴﻠﻪ اﻳﻦ روش‬
‫}ﻣﻲ ﺗﻮان ﺑﻪ دﻗﺖ ﺑﺎﻻﺗﺮ از‪ 0.25‬ﻣﻴﻜﺮوﻣﺘﺮ دﺳﺖ ﭘﻴﺪا ﻛﺮد‪ .‬در ﺷﻜﻞ ‪ 4.30‬ﺻﻮرت ﻛﻠﻲ‬
‫‪ stepper aligner‬ﻫﺎ دﻳﺪه ﻣﻲ ﺷﻮد ‪.‬‬

‫‪Light‬‬
‫‪Source‬‬

‫‪Projection‬‬
‫‪Lens‬‬

‫‪Reticle‬‬

‫‪Projection‬‬
‫‪Lens‬‬

‫‪Wafer‬‬
‫‪Wafer Stage‬‬

‫ﺷﻜﻞ ‪stepper aligner .4.30‬‬

‫در ﺟﺪول‪ 4.6‬ﻣﻲ ﺗﻮان ﻣﻨﺎﺑﻊ ﻧﻮري ﻣﺨﺘﻠﻔﻲ را ﻛﻪ در آﻻﻳﻨﺮﻫﺎي ﻧﻮري اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد ﺑﻪ ﻫﻤﺮاه‬
‫ﻃﻮل ﻣﻮج و دﻗﺘﻲ را ﻛﻪ ﺑﺎ اﻳﻦ ﻣﻨﺎﺑﻊ ﻧﻮري ﻣﻲ ﺗﻮان ﺑﻪ ان ﻫﺎ رﺳﻴﺪ‪ ،‬ﻣﺸﺎﻫﺪه ﻛﺮد‪.‬‬

‫‪332‬‬
‫‪Name‬‬ ‫)‪Wavelength (nm‬‬ ‫‪Application feature‬‬
‫)‪µm‬‬
‫‪size (µ‬‬
‫‪G-line‬‬ ‫‪436‬‬ ‫‪0.50‬‬
‫‪Mercury Lamp‬‬ ‫‪H-line‬‬ ‫‪405‬‬
‫‪I-line‬‬ ‫‪365‬‬ ‫‪0.35 to 0.25‬‬
‫‪XeF‬‬ ‫‪351‬‬
‫‪XeCl‬‬ ‫‪308‬‬
‫‪Excimer Laser‬‬ ‫)‪KrF (DUV‬‬ ‫‪248‬‬ ‫‪0.25 to 0.13‬‬
‫‪ArF‬‬ ‫‪193‬‬ ‫)‪0.13 to 0.07 (45nm‬‬
‫‪Fluorine Laser‬‬ ‫‪F2‬‬ ‫‪157‬‬ ‫‪0.1 and smaller‬‬
‫)‪(45nm and smaller‬‬

‫ﺟﺪول ‪ .4.6‬ﻣﻨﺎﺑﻊ ﻧﻮري ﻣﺨﺘﻠﻔﻲ را ﻛﻪ در آﻻﻳﻨﺮﻫﺎي ﻧﻮري اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‬

‫‪ - 5- 4- 6- 9‬آﻻﻳﻨﺮﻫﺎي اﻟﻜﺘﺮوﻣﻐﻨﺎﻃﻴﺴﻲ‬

‫آﻻﻳﻨﺮ ﭘﺮﺗﻮ اﻟﻜﺘﺮوﻧﻲ‪ :‬ﺷﻜﻞ زﻳﺮ دﺳﺘﮕﺎه ﭘﺮﺗﻮ اﻟﻜﺘﺮوﻧﻲ را ﻧﺸﺎن ﻣﻴﺪﻫﺪ‬

‫ﺷﻜﻞ ‪ .4.31‬آﻻﻳﻨﺮ ﭘﺮﺗﻮ اﻟﻜﺘﺮون‬

‫‪333‬‬
‫ﺗﻮپ اﻟﻜﺘﺮوﻧﻲ وﺳﻴﻠﻪ اي اﺳﺖ ﻛﻪ ﻣﻲ ﺗﻮان ﭘﺮﺗﻮ اﻟﻜﺘﺮوﻧﻲ ﺑﺎ ﭼﮕﺎﻟﻲ ﺟﺮﻳﺎن ﻣﻨﺎﺳﺐ اﻳﺠﺎد ﻛﻨﺪ‪ .‬از‬
‫ﻛﺎﺗﺪ ﺗﻨﮕﺴﺘﻦ ﮔﺴﻴﻞ ‪-‬ﮔﺮﻣﺎ ﻳﻮﻧﻲ ﻳﺎ از ﻻﻧﺘﺎﻧﻴﻢ ﺑﻮراﻳﺪ )‪ (LaB6‬ﻣﻲ ﺗﻮان ﺑﺮاي ﺗﻮپ اﻟﻜﺘﺮوﻧﻴﻚ‬
‫اﺳﺘﻔﺎده ﻛﺮد‪ .‬از ﺗﻴﻐﻪ ﻫﺎي ﻓﺎﺻﻠﻪ اﻧﺪاز )‪ (blanker‬ﺑﺮاي ﻛﻨﺘﺮل ‪ ON/OFF‬ﭘﺮﺗﻮ اﻟﻜﺘﺮوﻧﻲ اﺳﺘﻔﺎده ﻣﻲ‬
‫ﺷﻮد‪ .‬ﻋﻤﻠﻴﺎت ﺗﺎﺑﺶ ﺑﺎﻳﺴﺘﻲ در ﺧﻼء ﺻﻮرت ﮔﻴﺮد ﺗﺎ از ﺗﺪاﺧﻞ اﺷﻌﻪ اﻟﻜﺘﺮوﻧﻲ ﺑﺎ ﻣﻮﻟﻜﻮﻟﻬﺎي ﻫﺎ‬
‫ﺟﻠﻮﮔﻴﺮي ﺷﻮد‪ .‬از ﺻﻔﺤﺎت ﻣﻨﺤﺮف ﻛﻨﻨﺪه اﻟﻜﺘﺮواﺳﺘﺎﺗﻴﻜﻲ و ﻣﻐﻨﺎﻃﻴﺴﻲ ﺑﺮاي ﻫﺪاﻳﺖ ﭘﺮﺗﻮ‬
‫اﻟﻜﺘﺮوﻧﻲ در ﺟﻬﺖ ‪ x-y‬در روي وﻳﻔﺮ اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‪ .‬از آﻧﺠﺎﺋﻲ ﻛﻪ ﺣﻮزه ﭘﻮﻳﺶ اﻟﻜﺘﺮوﻧﻲ ﺑﺴﻴﺎر‬
‫ﻛﻢ اﺳﺖ در ﻧﺘﻴﺠﻪ ﺑﺎﻳﺴﺘﻲ از ﻳﻚ ﺳﻜﻮي ﻣﻜﺎﻧﻴﻜﻲ ﺑﺴﻴﺎر دﻗﻴﻖ ﺑﺮاي ﻗﺮار دادن وﻳﻔﺮ در ﺳﻄﺢ آن‬
‫اﺳﺘﻔﺎده ﻛﺮد‪ .‬از ﻣﺰاﻳﺎي ﻟﻴﺘﻮﮔﺮاﻓﻲ ‪ E-beam‬ﻣﻲ ﺗﻮان ﺑﻪ اﻳﺠﺎد اﺷﻜﺎل ﻫﻨﺪﺳﻲ روي رزﻳﺴﺖ در‬
‫ﺳﻄﺢ ﻣﻴﻜﺮون و زﻳﺮ ﻣﻴﻜﺮون )‪ ،(submicron‬ﻋﺪم وﺟﻮد ﻧﻘﺎب و ﻋﻤﻠﻴﺎت ﺧﻮدﻛﺎر ﺑﻪ دﻗﺖ ﻛﻨﺘﺮل‬
‫ﺷﺪه اﺷﺎره ﻛﺮد‪ .‬ﺗﻤﺎﻣﻲ اﻋﻤﺎل ﻛﻨﺘﺮﻟﻲ ﺗﻮﺳﻂ ﻳﻚ ﻛﺎﻣﭙﻴﻮﺗﺮ ﻛﻨﺘﺮل ﻣﻲ ﺷﻮد ﻛﻪ در ﺣﺎﻓﻈﻪ آن‪،‬‬
‫اﻟﮕﻮﻳﻲ وﻳﻔﺮ ﻃﺮاﺣﻲ ﺷﺪه اﺳﺖ )ﺑﻮﺳﻴﻠﻪ ﻧﺮم اﻓﺰارﻫﺎي ﺑﺨﺼﻮص(‪.‬ﺑﻪ اﻳﻦ روش ﺗﻨﻈﻴﻢ و ﺗﺎﺑﺶ‪،‬‬
‫‪ direct writing‬ﻫﻢ ﮔﻔﺘﻪ ﻣﻲ ﺷﻮد‪.‬‬
‫ﺑﻪ ﻃﻮر ﻛﻠﻲ دو روش ﺑﺮاي ‪ scan‬در ﭘﺮﺗﻮي اﻟﻜﺘﺮوﻧﻲ وﺟﻮد دارد‪ :‬روش ‪ Raster Scan‬و روش‬
‫‪ .Vector Scanning‬در روش ‪ ،Raster Scan‬اﻟﮕﻮﻫﺎ ﺗﻮﺳﻂ ﭘﺮﺗﻮ ﺑﻪ ﺻﻮرت ردﻳﻔﻲ و ﻣﻨﻈﻢ اﻳﺠﺎد ﻣﻲ‬
‫ﺷﻮﻧﺪ‪ (side to side and down) .‬ﭘﺮﺗﻮ ﺑﻪ ﺻﻮرت ﻣﺘﻮاﻟﻲ در روي ﻫﺮ ﻣﺤﻞ ﻣﻤﻜﻦ روي ﻧﻘﺎب ‪scan‬‬

‫ﺷﺪه و ﻫﺮ ﻛﺠﺎ ﻛﻪ ﻻزم ﻧﺒﺎﺷﺪ‪ ،‬ﻓﺎﺻﻠﻪ ﮔﺬاﺷﺘﻪ ﻣﻲ ﺷﻮد‪ .‬ﺗﻤﺎم اﻟﮕﻮﻫﺎﺋﻲ ﻛﻪ ﻻزم اﺳﺖ روي ﺳﻄﺢ‬
‫ﻧﻮﺷﺘﻪ ﺷﻮﻧﺪ ﺑﺎﻳﺪ ﺟﺰء ﺑﻪ ﺟﺰء در ﻣﺤﻠﻬﺎي ﻣﻨﻔﺮد ﺗﻘﺴﻴﻢ ﺷﺪه و ﻳﻚ اﻟﮕﻮي ﻣﻌﻴﻦ ﺑﺎﻳﺪ داراي ﭼﻨﺎن‬
‫ﻧﻤﻮي ﻛﻤﻴﻨﻪ اي ﺑﺎﺷﺪ ﻛﻪ ﻳﻚ ﺑﺎزة ﺑﺼﻮرت ﻳﻜﻨﻮاﺧﺖ ﺗﻮﺳﻂ اﻧﺪازه ﻧﺸﺎﻧﻲ ﭘﺮﺗﻮ ﻗﺎﺑﻞ ﺗﻘﺴﻴﻢ ﮔﺮدد‪.‬‬
‫در روش ‪ Vector Scan‬ﭘﺮﺗﻮ ﻣﺴﺘﻘﻴﻤ ﺎً ﺑﻪ ﺳﻤﺖ ﺷﻜﻠﻬﺎي اﻟﮕﻮي ﻣﻮرد ﻧﻈﺮ ﻫﺪاﻳﺖ ﻣﻲ ﺷﻮد و از‬
‫ﺷﻜﻠﻲ ﺑﻪ ﺷﻜﻞ دﻳﮕﺮ ﻣﻲ ﭘﺮد‪ ،‬ﺑﺠﺎي آﻧﻜﻪ ﻧﻈﻴﺮ ‪ Raster Scan‬ﺗﻤﺎم ﺳﻄﺢ وﻳﻔﺮ را ‪ Scan‬ﻛﻨﺪ‪ .‬ﻣﻴﺎﻧﮕﻴﻦ‬
‫ﻧﺎﺣﻴﻪ در ﻣﻌﺮض ﺗﺎﺑﺶ در ﺑﺴﻴﺎري از ﺗﺮاﺷﻪ ﻫﺎ ﻓﻘﻂ ‪ %20‬ﻣﺴﺎﺣﺖ ﺗﺮاﺷﻪ اﺳﺖ و ﺑﺪﻳﻦ ﺻﻮرت ﺑﺎ‬
‫اﺳﺘﻔﺎده از روش ‪ Vector Scan‬ﻣﻲ ﺗﻮان در زﻣﺎن ﺻﺮﻓﻪ ﺟﻮﻳﻲ ﻛﺮد‪.‬‬

‫‪334‬‬
‫ﺷﻜﻞ ‪raster & vector scan . 4.32‬‬

‫در روش ‪ E-beam‬ﻫﻴﭽﮕﻮﻧﻪ ﻣﺸﻜﻞ ‪ diffraction‬ﻧﻮري و ﻳﺎ ﺗﻨﻈﻴﻢ ﻣﺎﺳﻚ وﺟﻮد ﻧﺪارد‪ .‬اﻟﺒﺘﻪ در اﻳﻦ‬
‫روش‪ ،‬اﻳﺠﺎد ﺧﻼء در ﻣﺤﻔﻈﻪ ﺗﺎﺑﺶ ﺗﻘﺮﻳﺒﺎً زﻣﺎن ﺑﺮ اﺳﺖ‪.‬‬
‫آﻻﻳﻨﺮﻫﺎي ‪) x-ray‬اﺷﻌﻪ ‪:(x‬‬
‫ﺳﻴﺴﺘﻢ ﻫﺎي اﺷﻌﻪ ‪ x‬در ﻧﺤﻮه ﻋﻤﻠﻜﺮد ﻣﺸﺎﺑﻪ ﺑﺎ ﺳﻴﺴﺘﻢ ﻫﺎي ‪ uv, duv‬ﻫﺴﺘﻨﺪ‪ .‬اﺷﻌﻪ ‪ , x‬ﭘﺮﺗﻮﻫﺎي‬
‫ﭘﺮاﻧﺮژي ﺑﺎ ﻃﻮل ﻣﻮج ﻛﻮﭼﻚ اﺳﺖ و ﻗﺎدر ﺑﻪ اﻳﺠﺎد اﻟﮕﻮﻫﺎي ﺧﻴﻠﻲ ﻛﻮﭼﻚ اﺳﺖ‪ .‬ﻳﻚ ﻋﻴﺐ آن ﻧﻴﺎز‬
‫ﺑﻪ اﺳﺘﻔﺎده از ﻣﺎﺳﻚ ﺑﺎ ﺟﻨﺲ ﻃﻼ اﺳﺖ‪ .‬ﺑﺎ ﻣﻮاد دﻳﮕﺮي ﻛﻪ ﻣﻲ ﺗﻮاﻧﻨﺪ اﻳﻦ ﭘﺮﺗﻮﻫﺎ ﺑﺎ اﻧﺮژي ﺑﺎﻻ را ﺳﺪ‬
‫ﻛﻨﻨﺪ‪ .‬و ﻫﻤﭽﻨﻴﻦ در اﻳﻦ ﻧﻮع آﻻﻳﻨﺮﻫﺎ ﻣﺮﺣﻠﻪ ﻇﻬﻮر ﺑﻪ ﻛﻨﺪي اﻧﺠﺎم ﻣﻲ ﺷﻮد‪ .‬ﻣﺸﻜﻞ ﺑﺮﻗﺮاري ﺗﻌﺎدل‬
‫ﺑﻴﻦ اﻳﺠﺎد ﺣﺴﺎﺳﻴﺖ ﺑﺎﻻي رزﻳﺴﺖ ﻧﺴﺒﺖ ﺑﻪ اﻳﻦ اﺷﻌﻪ ﻫﺎي ‪ x‬اﺳﺖ در ﺣﺎﻟﻴﻜﻪ رزﻳﺴﺖ ﺑﻪ ﻋﻨﻮان‬
‫ﺳﺪ ﺧﻮﺑﻲ در ﺑﺮاﺑﺮ زداﻳﺶ ﻫﻢ ﻋﻤﻞ ﻛﻨﺪ‪.‬‬
‫در ﻟﻴﺘﻮﮔﺮاﻓﻲ اﺷﻌﻪ ‪ x‬از روش ﭼﺎپ ﺳﺎﻳﻪ ﻣﺸﺎﺑﻪ ﺑﺎ ﭼﺎپ ﻧﻮري اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ .‬ﻃﻮل ﻣﻮج اﺷﻌﻪ ‪، x‬‬
‫‪ 4- 50 A‬ﻣﻲ ﺑﺎﺷﺪ ﻛﻪ ﺧﻴﻠﻲ ﻛﻤﺘﺮ از ﻃﻮل ﻣﻮج ﻧﻮر ‪ UV‬ﻣﻲ ﺑﺎﺷﺪ ‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ آﺛﺎر ﭘﺮاش ﻛﺎﻫﺶ ﻳﺎﻓﺘﻪ ﻣﻲ‬
‫ﺗﻮان ﺗﻔﻜﻴﻜﻬﺎي ﺑﺎﻻﺗﺮي را ﺑﺪﺳﺖ آورد‪ .‬ﻟﻴﺘﻮﮔﺮاﻓﻲ اﺷﻌﻪ ‪ x‬در ﻣﻘﺎﻳﺴﻪ ﺑﺎ ﭘﺮﺗﻮ اﻟﻜﺘﺮوﻧﻲ ﻣﻲ ﺗﻮاﻧﺪ‬
‫ﺗﻮان ﻋﻤﻠﻴﺎﺗﻲ ﺑﺎﻻﺗﺮي داﺷﺘﻪ ﺑﺎﺷﺪ زﻳﺮا در آن ﺑﺮﺧﻼف روش ﻧﻮردﻫﻲ ﭘﻲ در ﭘﻲ ﻟﻴﺘﻮﮔﺮاﻓﻲ ﭘﺮﺗﻮ‬
‫اﻟﻜﺘﺮوﻧﻲ‪ ،‬از ﻧﻮردﻫﻲ ﭘﺮﺗﻮ ﻣﻮازي اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‪.‬‬
‫در ﺷﻜﻞ ‪ 4.33‬ﺗﺼﻮﻳﺮي از ﺳﻴﺴﺘﻢ ﺗﺎﺑﺶ ‪ x-ray‬آﻣﺪه اﺳﺖ‪ .‬در اﻳﻦ دﺳﺘﮕﺎه‪ ،‬ﭘﺮﺗﻮ اﻟﻜﺘﺮوﻧﻲ ﺗﻮﻟﻴﺪ‬
‫ﺷﺪه ﺗﻮﺳﻂ ﺗﻮپ اﻟﻜﺘﺮوﻧﻲ ﺑﻪ روي ﻫﺪف )‪ (target‬ﭘﺎﻻدﻳﻮم ﻛﻪ ﺑﺎ ﺳﺮﻋﺖ در ﺣﺎل ﭼﺮﺧﺶ دوراﻧﻲ‬
‫اﺳﺖ‪ ،‬ﺷﻠﻴﻚ ﺷﺪه و از آن اﺷﻌﻪ ‪ x‬ﮔﺴﻴﻞ ﻣﻲ ﺷﻮد‪ .‬اﺷﻌﻪ ‪ x‬از ﻃﺮﻳﻖ ﭘﻨﺠﺮه ﺑﺮﻟﻴﻮم داﺧﻞ اﺗﺎﻗﻚ ﭘﺮ‬

‫‪335‬‬
‫از ﻫﻠﻴﻮم ﺑﻪ ﻃﺮف ﻧﻘﺎب و ﭘﻮﻟﻚ رﻫﺴﭙﺎر ﻣﻲ ﺷﻮد ‪ .‬ﭼﻮن ﻫﻮا ﺟﺎذب ﻗﻮي اﺷﻌﻪ ‪ x‬اﺳﺖ‪ ،‬ﻟﺬا در اﺗﺎﻗﻚ‬
‫از ﻫﻠﻴﻢ اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‪ .‬ﻧﻘﺎب اﺷﻌﻪ ‪ x‬و وﻳﻔﺮ ﺑﺎﻳﺴﺘﻲ ﻫﻢ ﺗﺮاز ﺑﺎﺷﻨﺪ‪.‬‬

‫ﺷﻜﻞ‪ . 4.33‬آﻻﻳﻨﺮ ﭘﺮﺗﻮ اﻟﻜﺘﺮوﻧﻲ‬

‫ﺷﻜﻞ ‪ 4.34‬آﺛﺎر ﻫﻨﺪﺳﻲ ﭘﺮﺗﻮ اﺷﻌﻪ ‪ x‬را روي ﺳﻄﺢ وﻳﻔﺮ ﻧﺸﺎن ﻣﻲ دﻫﺪ‪ .‬ﺑﺨﺎﻃﺮ اﻧﺪازه ﻣﺤﺪود‬
‫ﭼﺸﻤﻪ اﺷﻌﻪ ‪) x‬ﺑﺎ ﻗﻄﺮ ‪ (a‬وﮔﺎف ﻣﻌﻴﻦ ﻧﻘﺎب ﺗﺎﭘﻮﻟﻚ )‪ ، (g‬اﺛﺮ ﻧﻴﻤﺴﺎﻳﻪ ﺣﺎﺻﻞ ﻣﻲ ﺷﻮد‪ .‬ﺗﻴﺮﮔﻲ‬
‫ﻧﻴﻤﺴﺎﻳﻪ ﻫﺎ در ﻟﺒﻪ ﺗﺼﻮﻳﺮ از رزﻳﺴﺖ ﺑﺮاﺑﺮ اﺳﺖ ﺑﺎ ‪:‬‬
‫ﻛﻪ در آن ‪ L‬ﻓﺎﺻﻠﻪ ﻣﻨﺒﻊ ﺗﺎ ﻧﻘﺎب اﺷﻌﻪ ‪ x‬اﺳﺖ‪ .‬اﮔﺮ ‪ L=50cm, g=40μm, a=3mm‬ﺑﺎﺷﺪ‪ ،‬ﺗﻴﺮﮔﻲ‬
‫ﻧﻴﻤﺴﺎﻳﻪ در ﺣﺪود ‪ 0/2μm‬ﺧﻮاﻫﺪ ﺑﻮد‪ .‬ﺗﻴﺮﮔﻲ در ﻟﺒﻪ ﺷﻜﻞ ﻣﻮﺟﺐ اﻓﺖ ‪ Resolution‬ﻣﻲ ﺷﻮد‪ .‬اﺛﺮ‬
‫ﻫﻨﺪﺳﻲ دﻳﮕﺮ ﺧﻄﺎي ﺑﺰرﮔﻨﻤﺎﻳﻲ ﺟﺎﻧﺒﻲ‪ ،‬ﺑﺨﺎﻃﺮ ﮔﺎف ﻣﺤﺪود ‪ g‬و ﺗﺎﺑﺶ ﻏﻴﺮﻋﻤﺪي ﺷﺎر اﺷﻌﻪ ‪ x‬اﺳﺖ‪.‬‬
‫ﺗﺼﻮﻳﺮﻫﺎي ﻃﺮح رﻳﺰي ﺷﺪه ﻧﻘﺎب ﺑﻄﻮر ﺟﺎﻧﺒﻲ ﺑﻪ اﻧﺪازه ‪ d‬ﺑﻪ ﻧﺎم ﺑﻴﺮون زدﮔﻲ ﺟﺎﺑﺠﺎ ﻣﻲ ﺷﻮﻧﺪ ‪.‬‬
‫ﻛﻪ در آن ‪ ،r‬ﻓﺎﺻﻠﻪ ﺷﻌﺎﻋﻲ از ﻣﺮﻛﺰ ﭘﻮﻟﻚ ﻣﻲ ﺑﺎﺷﺪ‪ .‬ﺑﻴﺮون زدﮔﻲ در ﻣﺮﻛﺰ ﭘﻮﻟﻚ ﺻﻔﺮ اﺳﺖ اﻣﺎ ﺑﻄﻮر‬
‫ﺧﻄﻲ ﺑﻪ ﺳﻤﺖ ﻟﺒﻪ ﭘﻮﻟﻚ اﻓﺰاﻳﺶ ﻣﻲ ﻳﺎﺑﺪ‪ .‬ﺑﺮاي ﭘﻮﻟﻚ ‪ 125mm‬ﺧﻄﺎي ﺑﻴﺮون زدﮔﻲ ﻣﻲ ﺗﻮاﻧﺪ ﺑﻪ‬
‫ﺑﺰرﮔﻲ ‪ 5μm‬ﺑﺎﺷﺪ )ﺑﺎ ﻓﺮض ‪ g=40μm‬و ‪ ( L=50cm‬اﻳﻦ ﺧﻄﺎي ﺑﻴﺮون زدﮔﻲ ﺑﺎﻳﺪ در اﺛﻨﺎي روﻧﺪ‬
‫ﺳﺎﺧﺖ ﻧﻘﺎب ﺟﺒﺮان ﺷﻮد‪ .‬ﺳﺎﺧﺖ ﻧﻘﺎب اﺷﻌﻪ ‪ x‬ﺧﻴﻠﻲ ﭘﻴﭽﻴﺪه ﺗﺮ از ﻧﻘﺎب ﻓﻮﺗﻮﻧﻲ اﺳﺖ‪ .‬از ﭘﻮﻟﻚ‬
‫ﺳﻴﻠﺴﻴﻮﻣﻲ ﺑﻪ ﻋﻨﻮان زﻣﻴﻨﻪ اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‪ .‬ﻻﻳﻪ ﻧﺎزك ﻧﻴﺘﺮﻳﺪ ﺑﻮر) ‪ (6μm‬ﺑﺮ زﻣﻴﻨﻪ ‪ Si‬رﺳﻮب ﻣﻲ‬
‫ﻛﻨﺪ‪ .‬ﺑﻪ دﻧﺒﺎل آن ﻻﻳﻪ ﻧﺎزك ﭘﻠﻲ ﻣﻴﺪ )‪ (6μm‬ﺑﺮ روي آن ‪ deposit‬ﻣﻲ ﺷﻮد‪ .‬ﭼﻮن ﻃﻼ در ‪4/4Ao‬‬
‫داراي ﺿﺮﻳﺐ ﺟﺬب ﻧﺴﺒﺘ ﺎً ﺑﺎﻻﺋﻲ اﺳﺖ ﻟﺬا ﻻﻳﻪ ﻧﺎزﻛﻲ از ﻃﻼ )‪ (0 /6μm‬روي ﭘﻠﻲ ﻣﻴﺪ رﺳﻮب داده‬

‫‪336‬‬
‫ﻣﻲ ﺷﻮد ﺗﺎ ﺑﻪ ﻋﻨﻮان ﺟﺎذب اﺷﻌﻪ ‪ x‬ﻋﻤﻞ ﻛﻨﺪ‪ .‬ﭘﻮﻟﻚ ﻃﺮاﺣﻲ ﺷﺪه ﺑﺎ ﺣﻠﻘﻪ ﭘﻴﺮﻛﺲ ﻣﺤﺼﻮر ﻣﻲ ﺷﻮد‬
‫و زﻣﻴﻨﻪ ﺳﻴﻠﺴﻴﻮﻣﻲ ﺗﻮﺳﻂ ﺣﻜﺎﻛﻲ آن از ﭘﺸﺖ از ﺑﻴﻦ ﻣﻲ رود‪) .‬ﺑﺠﺰ ﻻﻳﻪ زﻳﺮ ﺣﻠﻘﻪ ﭘﻴﺮﻛﺲ (‬

‫ﺷﻜﻞ ‪ .4.34‬آﺛﺎر ﻫﻨﺪﺳﻲ ﭘﺮﺗﻮ اﺷﻌﻪ ‪X‬‬

‫اﺧﻴﺮاً ﺑﺎ اﺳﺘﻔﺎده از روش ﻟﻴﺘﻮﮔﺮاﻓﻲ ﭘﺮﺗﻮي ﻳﻮﻧﻲ ﻣﻲﺗﻮان ﺑﻪ ﺗﻔﻜﻴﻚ ﺑﺎﻻﺗﺮي از ﺗﻜﻨﻴﻚﻫﺎي‬
‫ﻟﻴﺘﻮﮔﺮاﻓﻲ ﻧﻮري‪ ،‬ﭘﺮﺗﻮ اﻟﻜﺘﺮوﻧﻲ و اﺷﻌﻪ ‪ x‬رﺳﻴﺪ زﻳﺮا ﻳﻮﻧﻬﺎ داراي ﺟﺮم ﺑﻴﺸﺘﺮي ﻫﺴﺘﻨﺪ‪ .‬و ﺑﻨﺎﺑﺮاﻳﻦ‬
‫ﻛﻤﺘﺮ از اﻟﻜﺘﺮوﻧﻬﺎ ﭘﺨﺶ ﻣﻲ ﺷﻮﻧﺪ‪ .‬ﺷﻜﻞ )‪ (4.35‬ﻣﺴﻴﺮﻫﺎي ﺷﺒﻴﻪ ﺳﺎزي ﺷﺪة ﻛﺎﻣﭙﻴﻮﺗﺮي را ﺑﺮاي‬
‫‪ 50‬ﻳﻮن ‪ H+‬ﻛﺎﺷﺘﻪ ﺷﺪه ﺑﺎ ‪ 6keV‬در داﺧﻞ ‪ PMMA‬و زﻣﻴﻨﻪ ﻫﺎي ﮔﻮﻧﺎﮔﻮن ﻧﺸﺎن ﻣﻲ دﻫﺪ ‪ .‬ﭘﺨﺶ رو‬
‫ﺑﻪ ﻋﻘﺐ روي زﻣﻴﻨﺔ ﺳﻴﻠﺴﻴﻮﻣﻲ وﺟﻮد ﻧﺪارد و ﺑﺮاي زﻣﻴﻨﺔ ﻃﻼ ﻓﻘﻂ ﻣﻘﺪار ﻛﻤﻲ ﭘﺨﺶ رو ﺑﻪ ﻋﻘﺐ‬
‫دﻳﺪه ﻣﻲ ﺷﻮد‪ .‬ﻟﻴﺘﻮﮔﺮاﻓﻲ ﭘﺮﺗﻮ ﻳﻮﻧﻲ وﻗﺘﻲ اﻫﻤﻴﺖ ﻣﻲ ﻳﺎﺑﺪ ﻛﻪ ﻛﻤﺘﺮﻳﻦ اﺑﻌﺎد ﺷﻜﻞ ﺑﻪ ﺣﺪود ‪0/2μm‬‬
‫و ﻳﺎ ﭘﺎﺋﻴﻦ ﺗﺮ ﻛﺎﻫﺶ ﻣﻲ ﻳﺎﺑﺪ ‪.‬‬

‫‪337‬‬
‫ﺷﻜﻞ‪ .4.35‬ﺷﺒﻴﻪ ﺳﺎزي ﻛﺎﻣﭙﻴﻮﺗﺮي ﻧﻔﻮذ ﻳﻮﻧﻬﺎ‬

‫‪ - 5- 6- 9‬ﻇﻬﻮر‬

‫در ﻣﺮﺣﻠﻪ ﻗﺒﻠﻲ ﺑﻌﻀﻲ از ﻧﻘﺎط ﻓﺘﻮرزﻳﺴﺖ روي وﻳﻔﺮ ﻣﻮرد ﺗﺎﺑﺶ ﻗﺮار ﮔﺮﻓﺖ ﺣﺎل ﺑﺎﻳﺪ ﻳﻚ ﻇﺎﻫﺮ‬
‫ﻛﻨﻨﺪه ﻣﻨﺎﺳﺒﻲ ﻇﻬﻮر ﻓﺘﻮرزﻳﺴﺖ روي وﻳﻔﺮ ﺑﺎ ﺣﺬف ﻗﺴﻤﺘﻬﺎﻳﻲ از آن ﻛﻪ ﺑﻪ ﺣﺎﻟﺖ ﻗﺎﺑﻞ ﺣﻞ ﺗﺒﺪﻳﻞ‬
‫ﺷﺪه اﻧﺪ‪ ،‬اﺳﺘﻔﺎده ﻧﻤﺎﺋﻴﻢ‪ .‬ﻇﺎﻫﺮ ﻛﻨﻨﺪه ﻫﺎ‪ ،‬ﻣﺤﻠﻮﻟﻬﺎي ﺷﻴﻤﻴﺎﺋﻲ ﻫﺴﺘﻨﺪ ﻛﻪ ﻗﺴﻤﺘﻬﺎي ﻗﺎﺑﻞ ﺣﻞ‬
‫ﭘﻠﻤﻴﺮ را در ﺧﻮد ﺣﻞ ﻣﻲ ﻛﻨﻨﺪ و ﺑﻪ ﻗﺴﻤﺘﻬﺎي دﻳﮕﺮ اﺛﺮ ﻧﻜﺮده و ﻳﺎ ﻛﻤﺘﺮ اﺛﺮ ﻣﻲ ﻛﻨﻨﺪ ﻛﻪ ﺑﻪ درﺟﻪ‬
‫اﻧﺘﺨﺎب ﻳﺎ ‪ selectivity‬ﺣﻼل ﻳﺎ ﻇﺎﻫﺮ ﻛﻨﻨﺪه ﺑﺴﺘﮕﻲ دارد‪ .‬در اﻳﻦ ﻣﺮﺣﻠﻪ ﻣﻤﻜﻦ اﺳﺖ ﺳﻪ ﻣﺸﻜﻞ‬
‫اﻳﻨﻜﻪ ﻣﻤﻜﻦ اﺳﺖ ﺣﻔﺮه ﺑﺼﻮرت ﻛﺎﻣﻞ ﺑﻮﺟﻮد ﻧﻴﺎﻣﺪه و ﻟﺒﻪ ﻫﺎي آن ﻛﻪ ﺑﻪ ‪sio2‬‬ ‫ﺑﻮﺟﻮد آﻳﺪ‪ :‬اول‬
‫ﻧﺰدﻳﻚ اﺳﺖ ﻛﺎﻣﻼً ﺧﻮرده ﻧﺸﻮد )‪ .(under develop‬دوم اﻳﻨﻜﻪ ﻣﺮﺣﻠﻪ ﻇﻬﻮر ﻣﻤﻜﻦ اﺳﺖ ﺑﻪ ﺻﻮرت‬
‫اﻧﺠﺎم ﻧﺸﺪه و ﻳﻚ ﻻﻳﻪ اي از رزﻳﺴﺖ در ﻗﺴﻤﺖ ﺣﻔﺮه ﺑﻤﺎﻧ ﺪ)‪(in complete develop‬‬ ‫ﻛﺎﻣﻞ‬
‫ﻣﺸﻜﻞ ﺳﻮم ﻛﻪ ﻣﻤﻜﻦ اﺳﺖ اﺗﻔﺎق ﺑﻴﻔﺘﺪ اﻳﻦ اﺳﺖ ﻛﻪ ﻣﻘﺪار زﻳﺎدي رزﻳﺴﺖ از ﻟﺒﻪ ﻫﺎي ﺗﺼﻮﻳﺮ‬
‫)‪ (top surface‬ﺧﻮرده ﺷﻮد‪ .(severe over develop) .‬اﻳﻦ ﻣﻮارد ﺑ ﻪ ﺻﻮرت ﮔﺮاﻓﻴﻜﻲ در ﺷﻜﻞ ‪4.36‬‬
‫آﻣﺪه اﺳﺖ‪.‬‬

‫‪338‬‬
‫ﺷﻜﻞ ‪ .4.36‬ﻣﺸﻜﻼت ﻇﻬﻮر‬

‫‪Positive photoresists:‬‬
‫‪• Acetone‬‬
‫)‪• Trichloroethylene (TCE‬‬
‫)‪• Phenol-based strippers (Indus-Ri-Chem J-100‬‬
‫‪Negative photoresists:‬‬
‫‪• Methyl ethyl ketone (MEK), CH3COC2H5‬‬
‫‪• Methyl isobutyl ketone (MIBK), CH3COC4H9‬‬

‫ﺷﻜﻞ ‪ .4.37‬ﺣﻼﻟﻬﺎي ﺷﻴﻤﻴﺎﻳﻲ ﻣﺮﺣﻠﻪ ﻇﻬﻮر‬


‫روﺷﻬﺎي ﻇﻬﻮر‪ :‬ﻇﻬﻮر را ﻣﻲ ﺗﻮان ﺑﻪ روﺷﻬﺎي ﻣﺘﻔﺎوﺗﻲ اﻧﺠﺎم داد‪ :‬ﻧﻈﻴﺮ ‪spray, immersion,‬‬

‫‪ .Puddle‬در روش ‪ Immersion‬ﻛﻪ ﻗﺪﻳﻤﻲ ﺗﺮﻳﻦ روش ﻇﻬﻮر ﻣﻲ ﺑﺎﺷﺪ وﻳﻔﺮ را در ﻣﺤﻠﻮل ﻇﺎﻫﺮ ﻛﻨﻨﺪه‬
‫ﻏﻮﻃﻪ ور ﻣﻲ ﻛﻨﻨﺪ )در ﻳﻚ ﺑﺎزه زﻣﺎﻧﻲ ﻣﺸﺨﺺ ( ﺳﭙﺲ وﻳﻔﺮ را ﺑﺮداﺷﺘﻪ و در ﺗﺎﻧﻚ دوم ﻛﻪ ﻣﺤﻠﻮل‬
‫ﺷﻮﻳﻨﺪه اﺳﺖ ﻗﺮار ﻣﻲ دﻫﻨﺪ و ﺳﭙﺲ آﻧﺮا ﺧﺸﻚ ﻣﻲ ﻛﻨﻨﺪ‪ .‬ﻣﺸﻜﻼت اﻳﻦ روش ﻋﺒﺎرﺗﻨﺪ از ‪:‬‬
‫ﻣﺎﻧﻊ ﺷﺪن ﻛﺸﺶ ﺳ ﻄﺤﻲ از ﻧﻔﻮذ ﻇﺎﻫﺮ ﻛﻨﻨﺪه ﺑﻪ درون ﺣﻔﺮه ﻫﺎي زﻳﺮ‬
‫آﻟﻮده ﺷﺪن ﺗﺎﻧﻚ ﭘﺲ از ﻣﺪﺗﻲ اﺳﺘﻔﺎده‬
‫رﻗﻴﻖ ﺷﺪن ﻇﺎﻫﺮ ﻛﻨﻨﺪه در ﭼﻨﺪ ﻣﺮﺣﻠﻪ ﭘﺲ از اﺳﺘﻔﺎده‬
‫آﻟﻮدﮔﻲ وﻳﻔﺮ در اﺛﺮ ﻗﺮار ﮔﺮﻓﺘﻦ در ﻣﺤﻠﻮل ﻇﺎﻫﺮ ﻛﻨﻨﺪه‪.‬‬

‫‪339‬‬
‫ﺑﺮاي رﻓﻊ اﻳﻦ ﻣﺸﻜﻼت روش ﺑﻌﺪي ﻛﻪ ‪ spray‬ﻣﻲﺑﺎﺷﺪ اﺑﺪاع ﺷﺪ‪ .‬در اﻳﻦ روش ﻣﺤﻠﻮل ﻇﺎﻫﺮ ﻛﻨﻨﺪه‬
‫روي وﻳﻔﺮ ﭼﺮﺧﺎن ‪ spray‬ﺷﺪه و ﺑﻪ دﻧﺒﺎل آن ﺷﻮﻳﻨﺪه را ﺑﻪ ﺳﻄﺢ وﻳﻔﺮ اﻋﻤﺎل ﻣﻲ ﻛﻨﻨﺪ و در آﺧﺮ ﺑﺎ‬
‫ﭼﺮﺧﺶ وﻳﻔﺮ آن را ﺧﺸﻚ ﻣﻲﻛﻨﻨﺪ‪ .‬در ﺷﻜﻞ ‪ 4.38‬ﻣﻲ ﺗﻮاﻧﻴﺪ ﺷﻜﻞ ﻛﻠﻲ اﻳﻦ روش را ﻣﺸﺎﻫﺪه‬
‫ﻛﻨﻴﺪ‪.‬‬

‫‪DI water‬‬ ‫‪Developer‬‬


‫‪Wafer‬‬

‫‪Water‬‬
‫‪sleeve‬‬

‫‪Chuck‬‬

‫‪Drain‬‬

‫‪Vacuum‬‬

‫ﺷﻜﻞ ‪ .4.38‬ﻇﻬﻮر ﺑﻪ روش ‪Spray‬‬

‫دﻣﺎي ﻣﺎده ﻇﻬﻮر ﺑﺮاي ﻓﻮﺗﻮرزﻳﺴﺖﻫﺎي ﻣﺜﺒﺖ ﺣﺎﺋﺰ اﻫﻤﻴﺖ اﺳﺖ ﻛﻪ ﺑﻪ ﻋﻠﺖ اﺳﭙﺮي ﻛﺮدن دﻣﺎي آن‬
‫ﻛﺎﻫﺶ ﻣﻲﻳﺎﺑﺪ ﺑﺮاي اﻳﻦ از روش ﺳﻮم ﻛﻪ ‪ puddle‬ﻣﻲ ﺑﺎﺷﺪ اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ .‬اﻳﻦ روش ﺷﺒﻴﻪ روش‬
‫‪ spray‬ﺑﻮده‪ ،‬ﺑﺎ اﻳﻦ ﺗﻔﺎوت ﻛﻪ در اﺑﺘﺪا ﻇﺎﻫﺮ ﻛﻨﻨﺪه را در ﺣﺎل ﺳﻜﻮن ﺑﻪ وﻳﻔﺮ اﻋﻤﺎل ﻛﺮد ﺗﺎ دﻣﺎي آن‬
‫ﺗﻐﻴﻴﺮ ﻧﻜﻨﺪ و ﭘﺲ از ﮔﺬﺷﺖ ﻣﺪت زﻣﺎﻧﻲ وﻳﻔﺮ ﺷﺮوع ﺑﻪ ﭼﺮﺧﺶ ﻣﻲ ﻧﻤﺎﻳﺪ‪ .‬ﻣﺮﺣﻞ ﺷﺴﺘﺸﻮ و‬
‫ﺧﺸﻚ ﻛﺮدن ﻫﻤﺎﻧﻨﺪ ﻗﺒﻞ اﺳﺖ ‪.‬‬

‫‪ - 6- 6- 9‬ﭘﺨﺖ ﺳﺨﺖ‪:‬‬

‫در ﭘﺮوﺳﻪ ﻧﻘﺎب ﮔﺬاري‪ ،‬ﭘﺨﺖ ﺳﺨﺖ‪ ،‬دوﻣﻴﻦ ﭘﺨﺖ ﭘﺮوﺳﻪ ﻣﻲﺑﺎﺷﺪ ﻛﻪ ﺷﺒﻴﻪ ﺑﻪ ﭘﺨﺖ ﻧﺮم اﺳﺖ و‬
‫ﺑﺮاي ﺗﺒﺨﻴﺮ ﺣﻼل ﻓﺘﻮرزﻳﺴﺖ ﺟﻬﺖ ﭼﺴﺒﻨﺪﮔﻲ و ﺳﺨﺖ ﺷﺪن ﻗﺴﻤﺘﻬﺎي ﺑﺎﻗﻴﻤﺎﻧﺪه روي وﻳﻔﺮ ﻣﻲ‬
‫ﺑﺎﺷﺪ‪ .‬روش ﭘﺨﺖ ﺳﺨﺖ از ﻧﻈﺮ ﻣﺘﺪ و ﺗﺠﻬﻴﺰات ﺷﺒﻴﻪ ﭘﺨﺖ ﻧﺮم ﻣﻲ ﺑﺎﺷﺪ‪ .‬از ﻛﻮره ﻫﺎي ﻫﻤﺮﻓﺘﻲ‪،‬‬
‫ﺻﻔﺤﺎت داغ و ﻛﻮره ﻫﺎي ﻣﺎﻳﻜﺮووﻳﻮ و ﻛﻮره ﻫﺎي ﺧﻼء اﺳﺘﻔﺎده ﻣﻲ ﻛﻨﻨﺪ‪ .‬دﻣﺎي ﻛﻮره در ﭘﺨﺖ‬

‫‪340‬‬
‫ﺳﺨﺖ ﻣﻌﻤﻮﻻً ﺑﻴﻦ ﻛﻤﻲ ‪ 130- 200 CO‬ﺑﺮاي ﻣﺪت زﻣﺎن ﺣﺪود ‪ 30‬دﻗﻴﻘﻪ ﻣﻲ ﺑﺎﺷﺪ‪) .‬ﻛﻮره ﻫﺎي‬
‫ﻫﻤﺮﻓﺘﻲ( ﻛﻪ در ﻣﺘﺪﻫﺎي ﻣﺨﺘﻠﻒ اﻳﻦ ﻣﻘﺎدﻳﺮ ﻛﻤﻲ ﺗﻐﻴﻴﺮ ﻣﻲ ﻛﻨﻨﺪ ‪.‬‬

‫‪ - 7- 6- 9‬ﺑﺎزرﺳﻲ ﻇﻬﻮر‬

‫در اﻳﻦ ﻗﺴﻤﺖ‪ ،‬اوﻟﻴﻦ ﻣﺮﺣﻠﻪ ﻛﻨﺘﺮل ﻛﻴﻔﻴﺖ ﻓﺮآﻳﻨﺪ در روي وﻳﻔﺮ اﻧﺠﺎم ﻣﻲ ﺷﻮد‪ .‬ﺟﻬﺖ اﻃﻤﻴﻨﺎن از‬
‫ﺣﺼﻮل ﻣﺮاﺣﻞ ﻗﺒﻠﻲ ﭘﺮوﺳﻪ‪ ،‬وﻳﻔﺮﻫﺎ ﺑﺎﻳﺴﺘﻲ از ﭼﻨﺪ ﻣﺮﺣﻠﻪ ﺑﺎزرﺳﻲ ﻋﺒﻮر ﻛﻨﻨﺪ ﻛﻪ ﺷﺎﻣﻞ اﻟﻒ(‬
‫ﺑﺎزرﺳﻲ ﭼﺸﻤﻲ ﺑﺎ ﭼﺸﻢ ﻏﻴﺮ ﻣﺴﻠﺢ ب ( ﺑﺎزرﺳﻲ ﻣﻴﻜﺮوﺳﻜﻮﭘﻲ ج ( اﻧﺪازه ﮔﻴﺮي اﺑﻌﺎد ﺑﺤﺮاﻧﻲ‬
‫)اﺑﻌﺎدي ﻣﺴﺘﻨﺪ در ﻧﻘﺸﻪ ﻛﻪ ﻧﺒﺎﻳﺪ از ﺣﺪ ﻣﺸﺨﺼﻲ ﻛﻮﭼﻜﺘﺮ ﺑﺎﺷﻨﺪ ﺗﺎ ﻣﺸﺨﺼﻪ ﻣﺪار ﺛﺎﺑﺖ ﺑﻤﺎﻧﺪ(‪.‬‬
‫وﻳﻔﺮﻫﺎﺋﻲ ﻛﻪ در ﻣﺮﺣﻠﻪ اﻳﺠﺎد رزﻳﺴﺖ ﻣﺸﻜﻞ داﺷﺘﻪ اﻧﺪ ﺑﻪ ﭼﺮﺧﻪ ﻛﺎري و ﺗﻜﺮار ﻣﺮاﺣﻞ ‪ 1- 7‬از‬
‫ﻣﻲ ﮔﺮدﻧﺪ و وﻳﻔﺮﻫﺎﺋﻲ ﻛﻪ در ﺟﺎي دﻳﮕﺮي ﻧﻘﺺ دارﻧﺪ از ﺧﻂ ﺧﺎرج ﻣﻲ ﺷﻮﻧﺪ ‪.‬‬

‫‪Etching - 8- 6- 9‬‬

‫ﺣﺎل ﻛﻪ در رزﻳﺴﺖ ﻣﻄﺎﺑﻖ اﻟﮕﻮي اﺻﻠﻲ‪ ،‬ﭘﻨﺠﺮه ﻫﺎﻳﻲ اﻳﺠﺎد ﻛﺮدﻳﻢ‪ ،‬ﻧﻮﺑﺖ ﺑﻪ ﺣﺬف ﻻﻳﻪ اﻛﺴﻴﺪ‬
‫ﻣﻄﺎﺑﻖ اﻟﮕﻮﻳﻲ ﺷﻮد‪ .‬ﺑﺎ ﻗﺮاردادن وﻳﻔﺮ در ﺧﻮرﻧﺪه ﺷﻴﻤﺎﻳﺌﻲ ﻣﻨﺎﺳﺐ ﻣﻄﺎﺑﻖ اﻟﮕﻮ در ﻻﻳﻪ اﻛﺴﻴﺪ‪،‬‬
‫ﭘﻨﺠﺮه ﻫﺎﺋﻲ ﺑﺎز ﺧﻮاﻫﺪ ﺷﺪ‪ .‬ﻻﻳﻪ ﻫﺎي اﻛﺴﻴﺪ ﻳﺎ ﻓﻠﺰي ﻣﺨﺘﻠﻒ‪ ،‬ﺧﻮرﻧﺪه ﻫﺎي ﺷﻴﻤﻴﺎﺋﻲ )‪(etchant‬‬

‫ﺧﺎص ﺧﻮد را دارﻧﺪ‪ .‬ﻣﺜﻼً در ﺣﺬف ﻻﻳﻪ ﻫﺎي ﺳﻴﻠﻴﻜﻮﻧﻲ از اﺳﻴﺪ ﻧﻴﺘﺮﻳﻚ و اﺳﻴﺪ ‪ HF‬اﺳﺘﻔﺎده ﻣﻲ‬
‫ﺷﻮد ﻛﻪ ﺟﻬﺖ ﻛﻨﺘﺮل واﻛﻨﺶ اﮔﺰوﺗﺮوﻣﻴﻚ ﺑﻪ آن اﺳﻴﺪ اﺳﺘﻴﻚ اﺿﺎﻓﻪ ﻣﻲ ﺷﻮد ‪ .‬در ﺣﺬف ﻻﻳﻪ ﻫﺎي‬
‫‪ sio2‬از ‪) HF‬اﺳﻴﺪﻫﻴﺪروﻓﻠﻮرﻳﻚ( اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد ﻛﻪ ﻣﻘﺪاري آب ﻳﺎ ﻓﻠﻮرﻳﺪ آﻣﻮﻧﻴﻢ )‪ (NH4F‬ﺟﻬﺖ‬
‫ﻛﺎﻫﺶ ﻳﻮﻧﻬﺎي ‪ H+‬اﻳﺠﺎد ﺷﺪه و در ﻧﺘﻴﺠﻪ ﻛﻨﺘﺮل واﻛﻨﺶ ﺑﻪ آن اﺿﺎﻓﻪ ﻣﻲ ﮔﺮدد‪ .‬ﻻﻳﻪ ﻫﺎي آﻟﻮﻣﻴﻨﻴﻮم‬
‫ﺑﺎ ﻣﺨﻠﻮط اﺳﻴﺪﻓﺴﻔﺮﻳﻚ‪ ،‬اﺳﺘﻴﻚ و آب ﺣﺬف ﻣﻲ ﻧﻤﺎﻳﻨﺪ ‪ .‬در ﺧﺬف ﻻﻳﻪ ﻧﻴﺘﺮات ﺳﻴﻠﻴﻜﻦ از اﺳﻴﺪ‬
‫ﻓﺴﻔﺮﻳﻚ در دﻣﺎي ‪ 180CO‬و در ﻣﺤﻴﻂ ﺑﺴﺘﻪ اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‪ .‬روش ﻣﺬﻛﻮر‪ etching ،‬ﻣﺮﻃﻮب اﺳﺖ‪.‬‬
‫ﻧﻮع دﻳﮕﺮي از ‪ dry etching, etching‬ﻣﻲ ﺑﺎﺷﺪ ﻛﻪ ﺑﻪ ﻃﺮق ﻣﺨﺘﻠﻒ اﻧﺠﺎم ﻣﻲ ﺷﻮد ﻧﻈﻴﺮ ‪Reaction ion‬‬

‫‪.etch, Ion Milling, Planar Plasma, Plasma etching‬‬

‫‪ - 9- 6- 9‬ﺣﺬف ﻓﺘﻮ رزﻳﺴﺖ‬

‫ﭘﺲ از اﻳﺠﺎد ﭘﻨﺠﺮه در ﻻﻳﻪ اﻛﺴﻴﺪ ﻳﺎ ﻓﻠﺰي روي وﻳﻔﺮ‪ ،‬ﻻﻳﻪ ﻓﺘﻮرزﻳﺴﺖ ﺑﺎﻳﺴﺘﻲ از ﺳﻄﺢ وﻳﻔﺮ ﺣﺬف‬
‫ﺷﻮد‪ .‬در اﻳﻦ ﻗﺴﻤﺖ ﺑﻪ ﺷﻴﻮه ﻫﺎي ‪ dry, wet‬ﻣﻲ ﺗﻮان ﻻﻳﻪ زرﻳﺴﺖ را ﺣﺬف ﻛﺮد‪ .‬در روﺷﻬﺎي ‪، wet‬‬

‫‪341‬‬
‫ﺣﻼل ﻣﻨﺎﺳﺒﻲ از ﻓﺘﻮرزﻳﺴﺖ را ﺑﺴﺘﻪ ﺑﻪ اﻳﻨﻜﻪ ﺳﻄﺢ زﻳﺮﻳﻦ ﻻﻳﻪ اﻛﺴﻴﺪ ﻳﺎ ﻳﻚ ﻻﻳﻪ ﻓﻠﺰي اﺳﺖ‪ ،‬ﺑﻪ‬
‫ﺳﻄﺢ وﻳﻔﺮ اﻋﻤﺎل ﻣﻲ ﻧﻤﺎﺋﻴﻢ ﻛﻪ ‪ H2O2‬ﺟﻬﺖ ﺣﺬف ﻛﺮﺑﻦ رﺳﻮﺑﻲ از ﻓﺘﻮرزﻳﺴﺖ روي وﻳﻔﺮ اﺳﺘﻔﺎده‬
‫ﻣﻲ ﺷﻮد‪ .‬ﺑﻌﻀﻲ ﻣﻮاﻗﻊ ﺑﺠﺎي ‪ H2O2‬از ﭘﺮﺳﻮﻟﻔﺎت آﻣﻮﻧﻴﻢ و ﻳﺎ اﺳﻴﺪ ﻧﻴﺘﺮﻳﻚ اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‪.‬‬
‫در ﺟﺪول ‪ 4.7‬ﻧﻤﻮﻧﻪ ﺣﻼل ﻫﺎي ﻣﻮرد اﺳﺘﻔﺎده ﺑﺮاي ﺑﺮداﺷﺘﻦ ﻻﻳﻪ ﻓﺘﻮرزﻳﺴﺖ دﻳﺪه‬
‫ﻣﻲ ﺷﻮد‪.‬‬
‫‪Resist‬‬
‫‪Stripper Chemistry Strip Temp‬‬ ‫‪Surface Oxide‬‬ ‫‪Metalized‬‬
‫‪Polarity‬‬
‫‪Sulfuric Acid‬‬ ‫‪125‬‬ ‫‪X‬‬ ‫‪+/-‬‬
‫‪Acids‬‬ ‫‪Organic Acid‬‬ ‫‪100‬‬ ‫‪X‬‬ ‫‪+/-‬‬
‫‪Chromic/ Sulfuric‬‬ ‫‪20‬‬ ‫‪X‬‬ ‫‪+/-‬‬
‫‪NMP/ Alkanolamaine‬‬ ‫‪95‬‬ ‫‪X‬‬ ‫‪+‬‬
‫‪DMSO/‬‬ ‫‪95‬‬
‫‪X‬‬ ‫‪+‬‬
‫‪Monothanolamine‬‬
‫‪Solvents‬‬
‫‪DMAC/‬‬ ‫‪100‬‬
‫‪X‬‬ ‫‪+‬‬
‫‪Diethanolamine‬‬
‫)‪Hydroxylamine (HDA‬‬ ‫‪65‬‬ ‫‪X‬‬ ‫‪+‬‬
‫ﺟﺪول ‪ 4.7‬ﺣﻼل ﻫﺎي ﻣﻮرد اﺳﺘﻔﺎده ﺑﺮاي ﺑﺮداﺷﺘﻦ ﻻﻳﻪ ﻓﺘﻮرزﻳﺴﺖ‬
‫در روﺷﻬﺎي ‪ dry‬ﺑﺎ وارد ﻛﺮدن اﻛﺴﻴﮋن ﺑﻪ ﻣﺤﻔﻈﻪ ﺷﺎﻣﻞ وﻳﻔﺮ و اﻳﺠﺎد ﺣﺎﻟﺖ ﭘﻼﺳﻤﺎﺋﻲ در آن از‬
‫اﻧﺮژي ﭘﻼﺳﻤﺎ ﺟﻬﺖ اﻧﺠﺎم واﻛﻨﺶ زﻳﺮ اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد ﻛﻪ در آن رزﻳﺴﺖ ﺑﻪ ﺷﻜﻞ ﮔﺎز و ‪ Co2‬و‬
‫ﺑﺨﺎر آب از ﺳﻄﺢ وﻳﻔﺮ ﺧﺎرج ﻣﻲ ﺷﻮد‪:‬‬

‫‪CxHy (resist) + O2 (Plasma energized) → CO + CO2 + H2O‬‬

‫اﻳﻦ روش در ﺣﺬف ﻳﻮﻧﻬﺎي ﻓﻠﺰي از ﺳﻄﺢ وﻳﻔﺮ ﻧﺎﺗﻮان اﺳﺖ ‪.‬‬

‫‪ - 10- 6- 9‬ﺑﺎزرﺳﻲ ﻧﻬﺎﻳﻲ‬

‫وﻳﻔﺮﻫﺎﺋﻲ ﻛﻪ از ﻣﺮاﺣﻞ ‪ 1- 4‬ﺗﺎ‪ 9- 4‬ﻋﺒﻮر ﻛﺮده اﻧﺪ در اﻳﻦ ﻣﺮﺣﻠﻪ ﺑﺎزرﺳﻲ ﺷﺪه و در ﺻﻮرت وﺟﻮد‬
‫ﻋﻴﺐ از ﺧﻂ ﺗﻮﻟﻴﺪ ﺧﺎرج ﻣﻲ ﺷﻮﻧﺪ ‪ .‬ﺷﻜﻞ ‪ 4.39‬ﻟﻴﺴﺖ ﻋﻮاﻣﻠﻲ اﺳﺖ ﻛﻪ ﺑﺎﻋﺚ ﺑﺮﮔﺸﺖ وﻳﻔﺮ در‬
‫ﺑﺎزرﺳﻲ ﻧﻬﺎﺋﻲ ﻣﻲ ﺷﻮد‪.‬‬

‫‪342‬‬
Possible conta Incom
Misalig Under Wrong Pin
Process minati plete C.D’s
n cut Mask Holes
Cause on Etch
Contaminate
d X X X
Etch
Contaminate
d X
Stripper
Contaminate
d X
H2 O
Insufficient
X X
Rinse
No Wet
X
Agent
Under
X X
Etch
Over
X X
Etch
Wrong
X X X
Etch
Hard Bake
X X X
Too High
Poor
X X
Develop
P2O5 &
X X
SiO2
B2O3 &
X X
SiO2
Low Hard
X
Bake
Develop
Inspect X X X X X
Escapes

‫ ﺑﺎزرﺳﻲ ﻧﻬﺎﻳﻲ‬. 4.39 ‫ﺷﻜﻞ‬

343
‫‪ -7-9‬ﺳﺎﺧﺖ ﻣﺎﺳﻚ ‪:‬‬
‫اﻳﻦ ﻓﺮآﻳﻨﺪ ﺑﺴﻴﺎر ﺷﺒﻴﻪ ﺑﻪ ﻓﺮآﻳﻨﺪ اﻳﺠﺎد اﻟﮕﻮ روي وﻳﻔﺮ اﺳﺖ ‪ .‬در اﻳﻦ ﻓﺮآﻳﻨﺪ ﻣﻌﻤﻮﻻ از ﻛﺮوم روي‬
‫ﺷﻴﺸﻪ اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ .‬ﻣﺎدهاي ﻛﻪ ﺑﺮاي ﻣﺎﺳﻚ اﺳﺘﻔﺎده ﻣﻲﺷﻮد ﺷﻴﺸﻪ ﺑﻮروﺳﻴﻠﻴﻜﺎت ﻳﺎ ﻛﻮارﺗﺰ‬
‫اﺳﺖ ﭼﻮن اﻳﻦ ﻣﻮاد ﭘﺎﻳﺪاري ﺧﻮﺑﻲ دارﻧﺪ و ﻃﻮل ﻣﻮجﻫﺎي ﻣﻨﺎﺑﻊ را ﻳﻪ ﺧﻮﺑﻲ ﮔﺬر ﻣﻲدﻫﻨﺪ‪ .‬ﺿﺨﺎﻣﺖ‬
‫ﻻﻳﻪ ﻛﺮوم ﻛﻪ روي ﺷﻴﺸﻪ ﻧﺸﺎﻧﺪه ﻣﻲﺷﻮد ‪ 1000‬آﻧﮕﺴﺘﺮوم اﺳﺖ ﻛﻪ از روش ‪ sputtering‬اﺳﺘﻔﺎده‬
‫ﻣﻲﺷﻮد‪ .‬روﻧﺪﻧﻤﺎي اﻳﺠﺎد ﻣﺎﺳﻚ ﺑﻪ ﺷﻜﻞ زﻳﺮ اﺳﺖ ‪.‬‬

‫‪344‬‬
‫ﻓﺼﻞ ‪ -10‬ﻟﻴﺘﻮﮔﺮاﻓﻲ ﭘﻴﺸﺮﻓﺘﻪ‬

‫‪ -1-10‬ﻣﻘﺪﻣﻪ‬
‫ﻣﻔﺎﻫﻴﻢ ﻟﻴﺘﻮﮔﺮاﻓﻲ ﻛﻼﺳﻴﻚ ﺑﻪ ﻧﻮﻋﻲ ﺑﻴﺎن ﻛﻨﻨﺪه اﻳﺪه ﻛﻠﻲ اﻳﺠﺎد ﻃﺮح و ﭘﺴﺘﻲ و ﺑﻠﻨﺪي‬
‫روي ﻳﻚ وﻳﻔﺮ ﻫﺴﺘﻨﺪ‪ .‬ﭘﻴﺎده ﻛﺮدن اﻳﻦ اﻳﺪهﻫﺎ در ﻋﻤﻞ ‪ ،‬ﺧﺼﻮﺻﺎً ﺑﺎ ﻛﻮﭼﻜﺘﺮ ﺷﺪن ﻃﻮل و ﻋﺮض‬
‫ﭘﺴﺘﻲ و ﺑﻠﻨﺪيﻫﺎ )ﻋﻮارض(‪ ،‬ﭼﺎﻟﺶﻫﺎﻳﻲ را ﭘﻴﺶ روي ﻣﺎ ﻗﺮار ﻣﻲدﻫﻨﺪ‪ .‬ﻟﻴﺘﻮﮔﺮاﻓﻲ ﭘﻴﺸﺮﻓﺘﻪ وﻇﻴﻔﻪ‬
‫ﺷﻨﺎﺳﺎﻳﻲ اﻳﻦ ﻣﺸﻜﻼت‪ ،‬اﻳﺠﺎد ﭘﻴﺸﻨﻬﺎد ﺑﺮاي رﻓﻊ آﻧﻬﺎ و ﻫﻤﭽﻨﻴﻦ ﻣﻄﺮح ﻛﺮدن و ﭘﻴﺎدهﺳﺎزي‬
‫ﻣﻔﺎﻫﻴﻢ ﺟﺪﻳﺪ از ﻟﻴﺘﻮﮔﺮاﻓﻲ را ﺑﺮ ﻋﻬﺪه دارد‪.‬‬
‫اﻳﻦ ﻧﻮﺷﺘﻪ در ‪ 3‬ﺑﺨﺶ ﺳﺎﻣﺎندﻫﻲ ﺷﺪه اﺳﺖ‪ .‬ﺑﺨﺶ اول ﻣﺸﻜﻼت ﭘﻴﺶ روي ﻟﻴﺘﻮﮔﺮاﻓﻲ‬
‫ﻛﻼﺳﻴﻚ )ﻧﻮري( و ﻋﻮاﻣﻞ ﻣﺤﺪو دﻛﻨﻨﺪه دﻗﺖ آن را ﺑﻴﺎن ﻣﻲﻛﻨﺪ‪ .‬ﺑﺨﺶ دوم ﺑﻪ ﺑﺮرﺳﻲ ﺗﻜﻨﻴﻚﻫﺎي‬
‫ﭘﻴﺸﻨﻬﺎد ﺷﺪه ﺑﺮاي اﻓﺰاﻳﺶ دﻗﺖ ﻟﻴﺘﻮﮔﺮاﻓﻲ ﻛﻼﺳﻴﻚ ﻣﻲﭘﺮدازد‪ ،‬ﺑﺪون اﻳﻨﻜﻪ اﻳﺪه ﻛﻠﻲ آن ﻳﻌﻨﻲ‬
‫رزﻳﺴﺖ ‪-‬ﻣﺎﺳﻚ ‪-‬ﺗﺎﺑﺶ ‪-‬ﻇﻬﻮر را ﺗﻐﻴﻴﺮ دﻫﺪ‪ .‬در ﺑﺨﺶ آﺧﺮ ﻧﻴﺰ روشﻫﺎﻳﻲ ﻛﻪ در ﻳﻚ ﻳﺎ ﭼﻨ ﺪ ﺟﺎ ﺑﺎ‬
‫ﻟﻴﺘﻮﮔﺮاﻓﻲ ﻛﻼﺳﻴﻚ ﺗﻔﺎوت ﺑﻨﻴﺎدﻳﻦ دارﻧﺪ و ﻫﻤﭽﻨﻴﻦ ﭼﻨﺪ روش ﻛﻪ ﻣﺤﻞ ﻋﻼﻗﻪ ﺑﺮاي ﻣﺤﻘﻘﺎن‬
‫ﻫﺴﺘﻨﺪ‪ ،‬ﻣﻄﺮح ﻣﻲﺷﻮﻧﺪ ‪.‬‬
‫ﺗﻜﻨﻴﻚﻫﺎي ﻣﻄﺮح ﺷﺪه در اﻳﻦ ﺑﺨﺶ زﻳﺎد ﻫﺴﺘﻨﺪ و ﺑﺤﺚ ﻛﺎﻣﻞ در ﻣﻮرد آنﻫﺎ در اﻳﻦ ﻣﺠﺎل ﻧﻤﻲ‪-‬‬
‫ﮔﻨﺠﺪ‪ ،‬ﻟﺬا ﺿﻤﻦ ﺳﻌﻲ ﺑﺮ ﻣﻄﺮح ﻛﺮدن اﻳﺪه ﻫﺮ ﺗﻜﻨﻴﻚ و روش ﭘﻴﺎدهﺳﺎزي آن ﺑﺤﺚﻫﺎي ﺗﻜﻤﻴﻠﻲ را‬
‫ﺑﻪ ﺧﻮاﻧﻨﺪه ﻣﺸﺘﺎق واﮔﺬار ﻣﻲﻧﻤﺎﻳﻴﻢ ‪.‬‬

‫ﭼﺮا ﺑﻪ ﻟﻴﺘﻮﮔﺮاﻓﻲ ﭘﻴﺸﺮﻓﺘﻪ اﺣﺘﻴﺎج دارﻳﻢ؟‬ ‫‪-2-10‬‬


‫در ﻫﺮ ﭘﺮوﺳﻪ ﻣﻬﻨﺪﺳﻲ‪ ،‬ﻳﻚ ﺳﺮي اﺛﺮات ﻣﺮﺗﺒﻪ دوم وﺟﻮد دارﻧﺪ ﻛﻪ در ﺣﺎﻟﺖ ﻋﺎدي واﺿﺢ ﻧﻴﺴﺘﻨﺪ‪.‬‬
‫وﻗﺘﻲ ﺷﺮوع ﺑﻪ ﺗﻐﻴﻴﺮ ﻳﻚ ﭘﺎراﻣﺘﺮ آن ﭘﺮوﺳﻪ ﻧﻤﺎﻳﻴﻢ‪ ،‬از ﻳﻚ ﺣﺪ ﺑﻪ ﺑﻌﺪ ‪ ،‬اﻳﻦ اﺛﺮات ﻣﺮﺗﺒﻪ دوم ﻇﺎﻫﺮ‬
‫ﻣﻲﺷﻮﻧﺪ ﻛﻪ ﺗﻘﺮﻳﺒﺎً ﻫﻴﭻ وﻗﺖ ﺑﻪ ﻧﻔﻊ ﻣﻬﻨﺪس ﻧﻴﺴﺘﻨﺪ‪.‬‬
‫ﺑﺮاي ﺳﺎﺧﺖ ﻣﺪارات ‪ VLSI/ULSI‬اﺣﺘﻴﺎج دارﻳﻢ ﺗﺎ ﭘﺴﺘﻲ ﺑﻠﻨﺪيﻫﺎي ﻛﻮﭼﻚ را ﺑﻪ ﺗﻌﺪاد زﻳﺎد ﻛﻨﺎر‬
‫ﻫﻢ اﻳﺠﺎد ﻛﻨﻴﻢ‪ .‬ﻛﻮﭼﻜﺘﺮ ﺑﻮدن ﻣﺪارات ﺑﻪ ﻣﻌﻨﺎي ﺗﻌﺪاد ﺑﻴﺸﺘﺮ ﻳﻚ ﺗﺮاﺷﻪ روي وﻳﻔﺮ و ﺻﺮﻓﻪ‬
‫اﻗﺘﺼﺎدي ﺑﻴﺸﺘﺮ ﺧﻮاﻫﺪ ﺑﻮد‪ .‬اﻣﺎ اﺛﺮات ﻣﺮﺗﺒﻪ دوم ﻣﺮﺑﻮط ﺑﻪ ﭘﺎراﻣﺘﺮ اﺑﻌﺎد ﭘﺴﺘﻲ و ﺑﻠﻨﺪيﻫﺎ‪ ،‬آرام‬
‫آرام در ﻣﻘﺎﺑﻞ ﻛﻮﭼﻚ ﺷﺪن ﺳﺎﻳﺰ ﻣﻘﺎوﻣﺖ ﻣﻲﻛﻨﻨﺪ ﺗﺎ ﺟﺎﻳﻲ ﻛﻪ از ﺣﺪود ‪ 5‬ﻣﻴﻜﺮوﻣﺘﺮ ﺑﻪ ﭘﺎﻳﻴﻦ‬
‫‪345‬‬
‫اﻳﺠﺎد اﻳﻦ ﻋﻮارض‪ ،‬ﺑﺪون رﻋﺎﻳﺖ ﻳﻚ ﺳﺮي ﻣﻼﺣﻈﺎت و ﺑﻪﻛﺎرﺑﺴﺘﻦ ﺗﻜﻨﻴﻚﻫﺎي ﺧﺎص ﻣﻤﻜﻦ‬
‫ﻧﺨﻮاﻫﺪ ﺑﻮد ‪.‬‬

‫ﻗﺎﻧﻮن ﻣﻮر و ﭘﻴﺶ ﺑﻴﻨﻲ آﻳﻨﺪه ﺻﻨﻌﺖ ﻧﻴﻤﻪ ﻫﺎديﻫﺎ‬ ‫‪-3-10‬‬


‫در دﻧﻴﺎي ﻧﻴﻤﻪﻫﺎديﻫﺎ ﻗﺎﻧﻮﻧﻲ ﺑﻪ ﻧﺎم ﻗﺎﻧﻮن ﻣﻮر ‪ 1‬وﺟﻮد دارد‪ ،‬ﻛﻪ از اﻫﻤﻴﺖ ﺧﺎﺻﻲ ﺑﺮﺧﻮردار‬

‫اﺳﺖ‪.‬ﺣﺪود ‪ 40‬ﺳﺎل ﭘﻴﺶ‪ ،‬ﻓﺮدي ﺑﻪ ﻧﺎم ﮔﻮردون ﻣﻮر)از ﺑﻨﻴﺎن ﮔﺬاران ﺷﺮﻛﺖ اﻳﻨﺘﻞ( ﺑﻪ ﻣﻨﺎﺳﺒﺖ‬

‫ﺳﺎﻟﮕﺮد اﻧﺘﺸﺎر ﻣﺠﻠﻪ ‪ ،Electronics‬ﻣﻘﺎﻟﻪاي درﺑﺎره‬

‫آﻳﻨﺪه ﺻﻨﻌﺖ ﻧﻴﻤﻪ ﻫﺎديﻫﺎ ﺑﻪ رﺷﺘﻪ ﺗﺤﺮﻳﺮ درآورد‪ .‬در‬

‫اﻳﻦ ﻣﻘﺎﻟﻪ‪ ،‬ﺑﻪ اﻳﻦ ﻧﻜﺘﻪ ﺗﻮﺟﻪ ﺷﺪه ﺑﻮد ﻛﻪ در ﻃﻲ ﺳﺎل‪-‬‬

‫ﻫﺎي ﮔﺬﺷﺘﻪ از ﻋﻤﺮ ﺻﻨﻌﺖ ﻧﻴﻤﻪ ﻫﺎدي ﻣﻴﺰان ﭘﻴﭽﻴﺪﮔﻲ‬

‫ﻣﺪارﻫﺎي ﻣﻴﻜﺮو اﻟﻜﺘﺮوﻧﻴﻚ‪ ،‬ﻫﺮ ﺳﺎل دو ﺑﺮاﺑﺮ ﺷﺪه اﺳﺖ‪.‬‬

‫ﺷﻜﻞ ‪ 50‬ﮔﻮردون ﻣﻮر‪ ،‬از ﺑﻴﺎنﮔﺬاران اﻳﻨﺘﻞ‪.‬‬

‫ﻣﻌﻴﺎر اﻧﺪازه ﮔﻴﺮي اﻳﻦ ﭘﻴﭽﻴﺪﮔﻲ ﻧﻴﺰ ﺗﻌﺪاد ﺗﺮاﻧﺰﻳﺴﺘﻮرﻫﺎ در واﺣﺪ ﺳﻄﺢ ﺑﻮد‪.‬‬

‫اﻳﻦ روﻧﺪ ﻛﻤﺎﺑﻴﺶ در ﺳﺎلﻫﺎي ﺑﻌﺪ ﻧﻴﺰ اداﻣﻪ داﺷﺖ‪ ،‬ﺗﺎ آﻧﺠﺎ ﻛﻪ ﺑﻪ ﻋﻨﻮان ﻣﻌﻴﺎري ﺑﺮاي ﭘﻴﺶ ﺑﻴﻨﻲ‬

‫آﻳﻨﺪه ﺻﻨﻌﺖ ﻣﻴﻜﺮ و اﻟﻜﺘﺮوﻧﻴﻚ ﻣﻮرد ﺗﻮﺟﻪ ﻗﺮار ﮔﺮﻓﺖ و ﻛﻢ ﻛﻢ ﺷﻜﻞ ﻳﻚ ﻗﺎﻧﻮن را ﺑﻪ ﺧﻮد ﮔﺮﻓﺖ‪:‬‬

‫ﻗﺎﻧﻮن ﻣﻮر‪ .‬ﺑﻪ ﻣﺮور زﻣﺎن ﻟﻔﻆ ‪ 2‬ﺑﺮاﺑﺮ ﺑﺮاي ﻫﺮ ﺳﺎل ﻫﻢ دﺳﺘﺨﻮش ﺗﻐﻴﻴﺮاﺗﻲ ﺷﺪ و ﺑﻪ ‪ 2‬ﺑﺮاﺑﺮ ﺑﺮاي‬

‫ﻫﺮ ‪ 18‬ﻣﺎه ﺗﻐﻴﻴﺮ ﻛﺮد‪ .‬ﻃﺒﻴﻌﻲ اﺳﺖ ﻛﻪ ‪ 2‬ﺑﺮاﺑﺮ ﺷﺪن ﺗﻌﺪاد ﺗﺮاﻧﺰﻳﺴﺘﻮرﻫﺎ )ﺧﻮاه در ﻳﻚ ﺳﺎل ﻳﺎ در‬

‫‪ 18‬ﻣﺎه ( ﺑﻪ ﻣﻌﻨﺎي ﻧﺼﻒ ﺷﺪن اﺑﻌﺎد ﺗﺮاﻧﺰﻳﺴﺘﻮرﻫﺎ اﺳﺖ و ﺑﻪ ﺳﺮﻋﺖ ﺑﻪ ﺟﺎﻳﻲ ﺧﻮاﻫﻴﻢ رﺳﻴﺪ ﻛﻪ‬

‫ﻣﺤﺪودﻳﺖﻫﺎي ﻓﻴﺰﻳﻜﻲ اﺟﺎزه اداﻣﻪي ﻛﺎﻫﺶ اﺑﻌﺎد را ﻧﺨﻮاﻫﻨﺪ داد‪.‬‬

‫‪1 Moore’s law‬‬


‫‪346‬‬
‫ﺗﻤﺎم ﺷﺪن ﻋﺼﺮ ﻗﺎﻧﻮن ﻣﻮر‪ ،‬داﻧﺸﻤﻨﺪان را ﺑﻪ ﺷﺎﺧﻪﻫﺎي ﺟﺪﻳﺪي از روشﻫﺎي ﻣﺤﺎﺳﺒﺎﺗﻲ ﻫﻤﭽﻮن‬

‫ﻣﺤﺎﺳﺒﺎت ﻛﻮاﻧﺘﻮﻣﻲ ‪ ، 1‬ﻣﺤﺎﺳﺒﺎت زﻳﺴﺘﻲ ‪ 2‬ﺳﻮق داده اﺳﺖ ﺗﺎ در ﺻﻮرت ﻟﺰوم )اﺣﺘﻤﺎﻻً ﺣﺪود ‪10‬‬

‫ﺳﺎل دﻳﮕﺮ( ﺑﺘﻮاﻧﻨﺪ ﺟﺎﻳﮕﺰﻳﻦ ﻣﻨﺎﺳﺒﻲ ﺑﺮاي ﻛﺎﻣﭙﻴﻮﺗﺮﻫﺎي اﻣﺮوزي داﺷﺘﻪ ﺑﺎﺷﻨﺪ‪ .‬آﻧﭽﻪ ﻣﺴﻠﻢ اﺳﺖ‬

‫در ﭼﻨﻴﻦ ﻛﺎﻣﭙﻴﻮﺗﺮﻫﺎﻳﻲ ﺧﺒﺮي از ﭘﺮدازﻧﺪهﻫﺎﻳﻲ ﺑﻪ ﺷﻜﻞ اﻣﺮوزي ﻧﺨﻮاﻫﺪ ﺑﻮد ‪.‬‬

‫اﻣﺎ آﻳﺎ اﻳﻦ ﺑﻪ ﻣﻌﻨﺎي ﭘﺎﻳﺎن ﻳﺎﻓﺘﻦ دوران ﻛﺎﻣﭙﻴﻮﺗﺮﻫﺎي اﻣﺮوزي ﻇﺮف ‪ 10‬ﺳﺎل آﻳﻨﺪه اﺳﺖ؟ ﻳﺎ اﻳﻦ ﻛﻪ‬

‫ﺳﺎزﻧﺪﮔﺎن ﻛﺎﻣﭙﻴﻮﺗﺮﻫﺎ‪ ،‬ﭘﺲ از اﺗﻤﺎم ﻋﺼﺮ ﻗﺎﻧﻮن ﻣﻮر‪ ،‬راه ﺧﻮد را ﺗﻐﻴﻴﺮ ﻣﻲدﻫﻨﺪ و ﺑﻪ ﺟﺎي ﺗﻼش‬

‫ﺑﺮاي اﻓﺰاﻳﺶ ﺗﻮان ﻛﺎﻣﭙﻴﻮﺗﺮﻫﺎ از ﻃﺮﻳﻖ اﻓﺰاﻳﺶ ﺗﺮاﻧﺰﻳﺴﺘﻮرﻫﺎ در واﺣﺪ ﺳﻄﺢ‪ ،‬ﺑﻪ روﺷﻬﺎي دﻳﮕﺮي‬

‫روي ﻣﻲآورﻧﺪ؟ آﻳﺎ ﻧﺴﻞ ﻛﺎﻣﭙﻴﻮﺗﺮﻫﺎي اﻣﺮوزي ﺑﻪ ﻛﻞ ﻣﻨﺴﻮخ ﺧﻮاﻫﻨﺪ ﺷﺪ؟‬

‫ﭘﺎﺳﺦ اﻳﻦ ﺳﻮاﻻت ﺑﺮ ﻛﺴﻲ روﺷﻦ ﻧﻴﺴﺖ‪ .‬ﺣﻘﻴﻘﺖ آن اﺳﺖ ﻛﻪ اﺑﺘﺪا ﺑﺎﻳﺪ روشﻫﺎي ﺟﺎﻳﮕﺰﻳﻦ‬

‫)ﻛﻮاﻧﺘﻮﻣﻲ ﻳﺎ زﻳﺴﺘﻲ( ﻣﺤﻘﻖ ﺷﻮﻧﺪ ﺗﺎ ﺑﺘﻮان ﺑﺮآورد درﺳﺘﻲ از ﺗﻮان ﻣﺤﺎﺳﺒﺎﺗﻲ و ﻫﺰﻳﻨﻪ آنﻫﺎ‬

‫داﺷﺖ‪ .‬ﺗﻨﻬﺎ در آن ﺻﻮرت اﺳﺖ ﻛﻪ ﻣﻲﺗﻮان آﻧﻬﺎ را ﺑﺎ ﻛﺎﻣﭙﻴﻮﺗﺮﻫﺎي ﻓﻌﻠﻲ ﻣﻘﺎﻳﺴﻪ ﻛﺮد و ﺑﺮآورد‬

‫ﺻﺤﻴﺤﻲ از آﻳﻨﺪه ﺻﻨﻌﺖ ﻧﻴﻤﻪ ﻫﺎدي داﺷﺖ ‪.‬‬

‫‪1 Quantum Computing‬‬


‫‪2 Bio Computing‬‬
‫‪347‬‬
‫ﻣﻮاﻧﻊ ﻟﻴﺘﻮﮔﺮاﻓﻲ ﻛﻼﺳﻴﻚ‬ ‫‪-4-10‬‬

‫‪ - 1- 4- 10‬اﺛﺮ ﻛﻨﺘﺮاﺳﺖ ‪1‬‬

‫ﻛﻨﺘﺮاﺳﺖ ﻛﻢ ﺑﻪ ﻣﻌﻨﺎي ﻋﺪم وﺟﻮد ﺗﻤﺎﻳﺰ ﻻزم ﻣﻴﺎن ﻧﻘﺎط ﺗﻴﺮه و روﺷﻦ اﺳﺖ‪ .‬اﮔﺮ ﺷﺪت ﻧﻮر‬

‫رﺳﻴﺪه ﺑﻪ ﻓﻮﺗﻮرزﻳﺴﺖ ﻳﻜﻨﻮاﺧﺖ ﻧﺒﺎﺷﺪ اﻳﻦ ﻣﺸﻜﻞ ﺑﺮوز ﺧﻮاﻫﺪ ﻛﺮد‪ .‬ﻫﺮ ﻓﻮﺗﻮرزﻳﺴﺖ ﺑﺮاي ﺗﻐﻴﻴﺮ‬

‫وﺿﻌﻴﺖ )ﺗﺸﻜﻴﻞ زﻧﺠﻴﺮه ﭘﻠﻴﻤﺮي ﺑﺮاي ﻓﻮﺗﻮرزﻳﺴﺖ ﻣﻨﻔﻲ و ﺷﻜﺴﺘﻦ زﻧﺠﻴﺮه ﭘﻠﻴﻤﺮي ﺑﺮاي ﻓﻮﺗﻮ‪-‬‬

‫رزﻳﺴﺖ ﻣﺜﺒﺖ( ﻧﻴﺎز ﺑﻪ ﺟﺬب اﻧﺮژي از ﻧﻮري ﻛﻪ ﺑﻪ آن ﺗﺎﺑﺎﻧﺪه ﻣﻲﺷﻮد ﻳﺎ ﭘﺮﺗﻮﻫﺎي اﻟﻜﺘﺮوﻧﻲ و ﻳﻮﻧﻲ‬

‫دارد‪ .‬ﺑﻪ ﻋﻨﻮان ﻣﺜﺎل در ﺷﻜﻞ ) ‪ ،(1‬ﺑﺎ ﻓﺮض ﻓﻮﺗﻮرزﻳﺴﺖ ﻣﻨﻔﻲ و ﻣ ﺎﺳﻚ ﺑﺎ ﻛﻨﺘﺮاﺳﺖ ﻛﻢ )اﻳﻦ ﻣﺴﺌﻠﻪ‬

‫ﻣﻲﺗﻮاﻧﺪ ﻧﺎﺷﻲ از ﻧﺎزك ﺑﻮدن ﻻﻳﻪ ﻛﺮوم ﻣﺎﺳﻚ ﺑﺎﺷﺪ‪ (.‬ﻣﺸﺎﻫﺪه ﻣﻲﺷﻮد ﻛﻪ ﻣﻘﺪاري ﻛﻤﻲ از ﺷﺪت‬

‫ﻧﻮر از ﻧﻘﺎط ﺗﻴﺮه ﻣﺎﺳﻚ ﻋﺒﻮر ﻣﻲ ﻛﻨﺪ‪ .‬ﻫﺮﭼﻨﺪ ﻛﻪ ﻣﻤﻜﻦ اﺳﺖ اﻳﻦ ﺷﺪت ﻧﻮر ﺑﺮاي ﺗﻐﻴﻴﺮ وﺿﻊ ﻓﻮﺗﻮ‪-‬‬

‫رزﻳﺴﺖ ﻛﺎﻓﻲ ﻧﺒﺎﺷﺪ‪ ،‬وﻟﻲ ﺑﻪ ﻫﺮ ﺣﺎل ﺑﺎﻋﺚ ﺟﺬب ﻧﻮر در ﻧﻮاﺣﻲ ﻛﻪ ﻣﺪ ﻧﻈﺮ ﻣﺎ ﻧﻴﺴﺖ ﻣﻲﺷﻮد و در‬

‫ﻧﻬﺎﻳﺖ ﺑﺎﻋﺚ ﻋﺪم ﺗﻄﺒﻴﻖ ﻳﺎ اﻧﺪازه ﻣﻮرد ﻧﻈﺮ ﻣﺎ در ﻣﺮﺣﻠﻪ ﻇﻬﻮر ﺧﻮاﻫﺪ ﺷﺪ‪ .‬ﺣﺎﻟﺖ دوم اﻳﻦ اﺳﺖ ﻛﻪ‬

‫ﻣﻨﺒﻊ ﻧﻮري‪ ،‬ﺗﺎﺑﺶ ﻏﻴﺮ ﻳﻜﻨﻮاﺧﺖ اﻳﺠﺎد ﻛﻨﺪ‪ .‬در اﻳﻦ ﺻﻮرت ﻧﻴﺰ ﻧﺤﻮه ﺗﺎﺑﺶ ﻧﻮر ﺑﻪ ﻓﻮﺗﻮرزﻳﺴﺖ و ﺑﻪ‬

‫ﺗﺒﻊ آن اﻟﮕﻮي اﻳﺠﺎد ﺷﺪه ﺗﻮﺳﻂ آن‪ ،‬ﻏﻴﺮ ﻳﻜﻨﻮاﺧﺖ ﺧﻮاﻫﻨﺪ ﺷﺪ ‪.‬‬

‫‪1 Contrast Effect‬‬


‫‪348‬‬
‫ﺷﻜﻞ ‪ 51‬اﺛﺮ ﻛﻨﺘﺮاﺳﺖ ﺑﺮ روي دﻗﺖ ﻟﻴﺘﻮﮔﺮاﻓﻲ‬

‫‪ - 2- 4- 10‬اﺛﺮ ﻫﻤﺠﻮاري ‪1‬‬

‫در ﻟﻴﺘﻮﮔﺮاﻓﻲ ﻛﻼﺳﻴﻚ‪ ،‬ﺑﺮاي ﺟﻠﻮﮔﻴﺮي از ﺳﺎﻳﺶ ﻣﺎﺳﻚ و ﻓﻮﺗﻮرزﻳﺴﺖ‪ ،‬ﻓﺎﺻﻠﻪي ﻛﻮﭼﻜﻲ‬
‫)در ﺣﺪ ‪ 10‬ﻣﻴﻜﺮوﻣﺘﺮ( ﺑﻴﻦ اﻳﻦ دو ﻗﺮار ﻣﻲدﻫﻨﺪ‪ .‬ﺑﻪ دﻟﻴﻞ وﻗﻮع ﭘﺮاش ‪ ،2‬ﻟﺒﻪﻫﺎي ﻧﺎﺣﻴﻪ ﺗﺎرﻳﻚ)ﻧﻘﺎط‬
‫ﻧﻮك ﺗﻴﺰ( ﻧﻮر را ﻣﻲﺷﻜﻨﻨﺪ‪ .‬اﻳﻦ اﺛﺮ‪ ،‬ﻣﻮﺟﺐ ﺑﺰرگ ﺷﺪن ﻧﺎﺣﻴﻪ ﺗﺎﺑﺶ ﻧﻮر ﻣﻲﺷﻮد‪ .‬در ﺑﺪﺗﺮﻳﻦ ﺣﺎﻟﺖ‬
‫وﻗﺘﻲ ﻧﺎﺣﻴﻪ ﺗﻴﺮه ﻣﺎﺳﻚ ﺧﻴﻠﻲ ﻛﻮﭼﻚ ﺑﺎﺷﺪ‪ ،‬اﻳﻦ اﺛﺮ ﺑﺎﻋﺚ ﻣﻲﺷﻮد ﺗﺎ ﻫﻤﻪ ﻧﻮاﺣﻲ رزﻳﺴﺖ در زﻳﺮ‬
‫ﻣﺎﺳﻚ در ﻣﻌﺮض ﺗﺎﺑﺶ ﻧﻮر ﻗﺮار ﮔﺮﻓﺘﻪ و ﻫﻴﭻ اﻟﮕﻮﻳﻲ ﻇﺎﻫﺮ ﻧﺸﻮد ‪.‬‬

‫ﺷﻜﻞ ‪ 52‬اﺛﺮ ﻫﻤﺠﻮاري ﻧﺎﺷﻲ از ﭘﺪﻳﺪه ﭘﺮاش‬

‫‪1 Proximity Effect‬‬


‫‪2 diffraction‬‬
‫‪349‬‬
‫‪ - 3- 4- 10‬اﻧﻌﻜﺎس ﻧﻮر از ﻻﻳﻪ زﻳﺮﻳﻦ ‪1‬‬

‫ﻧﻮر ﺑﺎ ﺑﺮﺧﻮرد ﺑﻪ ﻻﻳﻪ زﻳﺮﻳﻦ ﻓﻮﺗﻮرزﻳﺴﺖ ﻣﻨﻌﻜﺲ ﻣﻲﺷﻮد و ﺑﻪ ﻧﻮاﺣﻲ از رزﻳﺴﺖ ﻛﻪ ﻣﺪﻧﻈﺮ ﻣﺎ‬
‫ﻧﻴﺴﺖ‪ ،‬اﻧﺮژي ﻣﻨﺘﻘﻞ ﻣﻲﻛﻨﺪ‪ .‬اﮔﺮ ﺳﺎﻳﺰ ﭘﺴﺘﻲ و ﺑﻠﻨﺪيﻫﺎ ﺑﺰرگ ﺑﺎﺷﺪ اﻳﻦ ﻣﺸﻜﻞ ﻗﺎﺑﻞ ﺻﺮف ﻧﻈﺮ‬
‫اﺳﺖ‪ ،‬وﻟﻲ در ﺳﺎﻳﺰﻫﺎي ﻛﻮﭼﻚ اﻧﺪازه اﻟﮕﻮﻫﺎ را ﺗﻐﻴﻴﺮ ﺧﻮاﻫﺪ داد‪ .‬ﺑﺮاي رﻓﻊ اﻳﻦ ﻣﺴﺌﻠﻪ ﭘﻴﺶ از‬
‫ﻧﺸﺎﻧﺪن ﻻﻳﻪ ﻓﻮﺗﻮرزﻳﺴﺖ ﻳﻚ ﻻﻳﻪ ﻣﺎده ﺑﺎ ﺗﺮﻛﻴﺒﺎت ﺧﺎص ﻛﻪ ﺑﺴﺘﻪ ﺑﻪ ﺟﻨﺲ ﻓﻮﺗﻮرزﻳﺴﺖ ﻣﺘﻐﻴﺮ‬
‫اﺳﺖ ﺑﺮاي ﺟﻠﻮﮔﻴﺮي از وﻗﻮع ﺑﺎزﺗﺎب در ﺳﻄﺢ ﻣﺸﺘﺮك وﻳﻔﺮ و ﻓﻮﺗﻮرزﻳﺴﺖ اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ ،‬اﻳﻦ‬
‫ﻻﻳﻪ ﺑﺎﻋﺚ وﻗﻮع ﺗﺪاﺧﻞ ﻣﺨﺮب ﺷﺪه و اﺛﺮ ﺑﺎزﺗﺎ ب را ﺧﻨﺜﻲ ﺧﻮاﻫﺪ ﻛﺮد‪.‬‬

‫ﺷﻜﻞ ‪ 53‬اﻧﻌﻜﺎس ﻧﻮر از ﻻﻳﻪ زﻳﺮﻳﻦ‬

‫‪ - 4- 4- 10‬ﭘﺮاﻛﻨﺪﮔﻲ ﻧﻮر ‪2‬‬

‫ﻓﻮﺗﻮرزﻳﺴﺖ ﺗﻐﻴﻴﺮ ﻛﺮده و اﻧﺘﻘﺎل اﻧﺮژي ﺑﻪ‬ ‫ﻣﺴﻴﺮ ﺣﺮﻛﺖ ﻓﻮﺗﻮنﻫﺎ ﺑﺎ ﺑﺮﺧﻮرد ﺑﻪ ﺳﺎﺧﺘﺎر اﺗﻤﻲ‬
‫ﻧﻘﺎط ﻧﺎﺧﻮاﺳﺘﻪاي از ﻓﻮﺗﻮرزﻳﺴﺖ رخ ﻣﻲدﻫﺪ‪ .‬اﻳﻦ ﭘﺪﻳﺪه ﻧﻴﺰ در ﺳﺎﻳﺰﻫﺎي ﻛﻮﭼﻚ ﻣﺸﻜﻞﺳﺎز‬
‫ﺧﻮاﻫﺪ ﺑﻮد‪.‬‬

‫‪1 Sub Surface Reflectivity‬‬


‫‪2 Light scattering‬‬
‫‪350‬‬
‫ﺷﻜﻞ ‪ 54‬ﺷﻜﺴﺖ ﻧﻮر در رزﻳﺴﺖ‬

‫‪ - 5- 4- 10‬اﻣﻮاج اﻳﺴﺘﺎده ‪1‬‬

‫ﺗﺎﺑﺶ ﻧﻮر ﺑﻪ ﺳﻄﺢ ﻓﻮﺗﻮرزﻳﺴﺖ‪/‬وﻳﻔﺮ ﺑﺎﻋﺚ ﻣﻲ ﺷﻮد ﺗﺎ ﭘﺲ از ﺑﺮﺧﻮرد ﺑﻪ ﺳﻄﺢ زﻳﺮﻳﻦ ﻣﻨﻌﻜﺲ‬
‫ﺷﻮد‪ .‬ﻣﻮج اﺻﻠﻲ و ﻣﻮج ﺑﺮﮔﺸﺘﻲ ﺑﺎ ﻫﻢ ﺗﺪاﺧﻞﻫﺎي ﺳﺎزﻧﺪه و ﻣﺨﺮب ﻣﻲﻧﻤﺎﻳﻨﺪ و ﻧﻮاﺣﻲ ﺑﺎ ﺷﺪت‬
‫اﻧﺮژي ﻣﺘﻔﺎوت ﻧﻮر ﺧﻮاﻫﻨﺪ دﻳﺪ‪.‬‬

‫ﺷﻜﻞ ‪ 55‬اﺛﺮ اﻣﻮاج اﻳﺴﺘﺎده ‪ (a) :‬ﻗﺒﻞ از ﻇﻬﻮر و )‪ (b‬ﺑﻌﺪ از ﻇﻬﻮر‬

‫‪1 Standing waves‬‬


‫‪351‬‬
‫‪ - 6- 4- 10‬ﻣﻌﻴﺎر رﻳﻠﻲ ‪1‬‬

‫اﻳﻦ ﻣﻌﻴﺎر اﻇﻬﺎر ﻣﻲدارد ﻛﻪ دو ﺷﻲء در ﻣﺮز ﺗﻔﻜﻴﻚﭘﺬﻳﺮي ﻗﺮار دارﻧﺪ اﮔﺮ ﻣﺮﻛﺰ ﭘﺮاش ﺑﻴﺸﻴﻨﻪ‬
‫ﺗﺼﻮﻳﺮ ﻳﻜﻲ از آنﻫﺎ در اﺑﺘﺪاي ﺗﺼﻮﻳﺮ دوﻣﻲ ﻗﺮار داﺷﺘﻪ ﺑﺎﺷﺪ‪ .‬ﺑﺮ ﻃﺒﻖ اﻳﻦ ﻗﺎﻋﺪه ﻛﻮﭼﻜﺘﺮﻳﻦ ﺳﺎﻳﺰ‬
‫ﭘﺴﺘﻲ و ﺑﻠﻨﺪيﻫﺎي ﻗﺎﺑﻞ اﻳﺠﺎد ﺗﻮﺳﻂ ﻧﻮر ﺑﺎ ﻃﻮل ﻣﻮج ‪ λ‬از راﺑﻄﻪ زﻳﺮ ﺑﻪ دﺳﺖ ﻣﻲآﻳﺪ‪:‬‬
‫‪λ‬‬
‫‪σ = k‬‬ ‫‪, k ≈ 0 .5‬‬
‫‪NA‬‬
‫‪σ‬‬ ‫‪is minimum‬‬ ‫‪feature size‬‬
‫‪λ‬‬ ‫‪is the wavelength‬‬ ‫‪of exposure‬‬ ‫‪source‬‬ ‫‪radiation‬‬
‫‪NA‬‬ ‫‪is numerical‬‬ ‫‪apperture‬‬ ‫‪of the lens, stating‬‬ ‫‪its ability‬‬ ‫‪to gather‬‬ ‫‪light‬‬

‫روزﻧﻪ ﻋﺪدي ﭘﺎراﻣﺘﺮي ﻣﺮﺑﻮط ﺑﻪ ﻟﻨﺰ اﺳﺖ و ﺑﻴﺎنﮔﺮ ﻗﺪرت آن در ﺟﻤﻊ ﻛﺮدن ﻧﻮر ﻣﻲﺑﺎﺷﺪ‪ .‬ﻫﺮ ﭼﻪ‬
‫ﻛﺎﻧﻮن ﻋﺪﺳﻲ در ﻓﺎﺻﻠﻪ ﻧﺰدﻳﻜﺘﺮي ﺑﻪ ﺑﺪﻧﻪ آن ﺗﺸﻜﻴﻞ ﺷﻮد اﻳﻦ ﻋﺪد ﺑﺰرﮔﺘﺮ ﺧﻮاﻫﺪ ﺑﻮد ‪.‬‬

‫‪Ѳ= acceptance angle‬‬


‫‪n= Index of refraction‬‬
‫‪D= Lens diameter‬‬
‫‪f= Lens focal length‬‬

‫‪1 Rayleigh criterion‬‬


‫‪352‬‬
‫ﻣﻌﻴﺎر رﻳﻠﻲ ﺑﻪ ﻣﺎ ﭘﻴﺸﻨﻬﺎد ﻣﻲﻛﻨﺪ ﻛﻪ ﺑﺎ ﻛﺎﻫﺶ ﻃﻮل ﻣﻮج ﻧﻮر ﺳﺎﻳﺰ ﻋﻮارض ﻗﺎﺑﻞ اﻳﺠﺎد ﻫﻢ ﻛﻮﭼﻜﺘﺮ‬
‫ﻣﻲﮔﺮدد‪ .‬اﻣﺎ ﻛﺎﻫﺶ ﻃﻮل ﻣﻮج ﺑﺎﻋﺚ ﻛﺎﻫﺶ ﭘﺎراﻣﺘﺮ دﻳﮕﺮي ﺑﻪ ﻧﺎم ﻋﻤﻖ ﺗﻤﺮﻛﺰ )‪(Depth of Focus‬‬
‫ﻣﻲﺗﻮان وﻳﻔﺮ را از ﻟﻨﺰ دور ﻛﺮد ﻳﺎ ﺑﻪ‬ ‫ﻣﻲﺷﻮد‪ .‬ﻋﻤﻖ ﺗﻤﺮﻛﺰ ﻧﺸﺎنﮔﺮ اﻳﻦ ﻣﻮﺿﻮع اﺳﺖ ﻛﻪ ﭼﻘﺪر‬
‫آن ﻧﺰدﻳﻚ ﻛﺮد و ﺗﺼﻮﻳﺮ اﻳﺠﺎد ﺷﺪه روي ﻓﻮﺗﻮرزﻳﺴﺖ ﻫﻤﭽﻨﺎن ﻣﺘﻤﺮﻛﺰ ﺑﺎﻗﻲ ﺑﻤﺎﻧﺪ‪ .‬ﻣﻘﺪار ﻋﺪدي‬
‫ﻋﻤﻖ ﺗﻤﺮﻛﺰ از راﺑﻄﻪ زﻳﺮ ﺑﻪ دﺳﺖ ﻣﻲآﻳﺪ‪:‬‬

‫‪λ‬‬
‫‪DOF = k1‬‬
‫‪NA 2‬‬

‫ﻛﻪ ﻣﺸﺨﺼﺎً ﻛﺎﻫﺶ ﻃﻮل ﻣﻮج ﺑﺎﻋﺚ ﻛﺎﻫﺶ ﻋﻤﻖ ﺗﻤﺮﻛﺰ ﻧﻴﺰ ﺧﻮاﻫﺪ ﺷﺪ و ﻣﺠﺒﻮرﻳﻢ ﻟﻨﺰ را از ﺳﻄﺢ‬
‫وﻳﻔﺮ‪ /‬ﻓﻮﺗﻮ رزﻳﺴﺖ‪ ،‬ﺑﻪ ﻳﻚ اﻧﺪازه ﺛﺎﺑﺖ و دﻗﻴﻖ ﻧﮕﺎه دارﻳﻢ‪ .‬ﻫﻤﭽﻨﻴﻦ ﻛﺎﻫﺶ ﻃﻮل ﻣﻮج ﺑﺎﻋﺚ‬
‫ﻣﺸﻜﻼﺗﻲ در ﺳﺎﺧﺖ ﻣﺎﺳﻚ و ﻣﻮاد ﺑﻪ ﻛﺎر روﻧﺪه در آن ﺧﻮاﻫﺪ ﺷﺪ ﻛﻪ در اداﻣﻪ ﺑﻪ آن اﺷﺎره ﻣﻲ‪-‬‬
‫ﻛﻨﻴﻢ ‪.‬‬

‫‪353‬‬
‫رو شﻫﺎي ﺑﻬﺒﻮد ﻟﻴﺘﻮﮔﺮاﻓﻲ ﻛﻼﺳﻴﻚ‬ ‫‪-5-10‬‬
‫در اﻳﻦ ﺑﺨﺶ ﺑﻪ ﺑﻴﺎن روشﻫﺎﻳﻲ ﻣﻲﭘﺮدازﻳﻢ ﻛﻪ ﺑﺮاي ﺑﻬﺒﻮد روال ﻛﻼﺳﻴﻚ ﻟﻴﺘﻮﮔﺮاﻓﻲ اراﺋﻪ ﺷﺪهاﻧﺪ‬
‫و دﻗﺖ آن را ﺑﻪ ﻣﺤﺪوده ﻧﺎﻧﻮﻣﺘﺮي اﻧﺘﻘﺎل دادهاﻧﺪ‪.‬‬

‫‪- 1- 5- 10‬ﻣﺴﺎﻳﻞ ﻣﺮﺑﻮط ﺑﻪ ﻓﻮﺗﻮرزﻳﺴﺖ‬

‫دﺳﺘﻪ اول روشﻫﺎي ﺑﻬﺒﻮد ﺑﻪ ﻓﻮﺗﻮرزﻳﺴﺖ ﺗﻌﻠﻖ دارد‪ .‬ﺗﻐﻴﻴﺮات ﮔﺴﺘﺮده در ﺳﺎﺧﺘﺎر ﺷﻴﻤﻴﺎﻳﻲ‪،‬‬
‫ﻓﻮﺗﻮرزﻳﺴﺖﻫﺎ را ﺑﻪ ﻣﻮادي ﺑﺎ ﺗﺮﻛﻴﺒﻲ از ﭼﻨﺪ ده ﻣﺎده دﻳﮕﺮ ﺗﺒﺪﻳﻞ ﻛﺮده ﻛﻪ ﺗﺸﺨﻴﺺ اﻳﻨﻜﻪ ﻫﺮ‬
‫ﻣﺎده ﭼﻪ ﻋﻤﻠﻲ را اﻧﺠﺎم ﻣﻲدﻫﺪ ﺑﻪ ﺗﻨﻬﺎﻳﻲ ﻏﻴﺮ ﻣﻤﻜﻦ و در اﻧﺤﺼﺎر ﺷﺮﻛﺖ ﺳﺎزﻧﺪه آن ﻓﻮﺗﻮ رزﻳﺴﺖ‬
‫اﺳﺖ‪ .‬در ﺣﺎل ﺣﺎﺿﺮ ﻓﻮﺗﻮرزﻳﺴﺖﻫﺎ در ﺷﺮاﻳﻂ ﺑﺴﻴﺎر ﻣﺸﻜﻠﻲ ﻣﺎﻧﻨﺪ زداﻳﺶ ﺗﻮﺳﻂ ﭘﻼﺳﻤﺎ ‪ 1‬و ﻳﺎ‬
‫اﻳﺠﺎد اﻟﮕﻮﻫﺎي ﺑﺴﻴﺎر ﻛﻮﭼﻚ و ﻣﺘﻤﺮﻛﺰ ﺑﻪ ﻛﺎر ﮔﺮﻓﺘﻪ ﻣﻲ ‪ -‬ﺷﻮﻧﺪ ‪ .‬ﺿﺨﺎﻣﺖ ﻓﻮﺗﻮرزﻳﺴﺖ روي وﻳﻔﺮ‬
‫در ﺣﺪ ﭼﻨﺪ ﻣﻴﻜﺮوﻣﺘﺮ و اﻟﮕﻮﻫﺎﻳﻲ ﻛﻪ ﺑﺎ آن اﻳﺠﺎد ﻣﻲﺷﻮد در ﺣﺪ ﭼﻨﺪ ﺻﺪ ﻧﺎﻧﻮﻣﺘﺮ اﺳﺖ‪ .‬دﻳﻮاره‪-‬‬
‫ﻫﺎي ﻓﻮﺗﻮرزﻳﺴﺖ ﭘﺲ از ﺗﺎﺑﺶ ﻧﻮر و زداﻳﺶ ﻋﻼوه ﺑﺮ ﻗﺎﺑﻠﻴﺖ ﺣﻼﻟﻴﺖ ﺑﺎﻻ ﺑﺎﻳﺪ داراي اﺳﺘﺤﻜﺎم‬
‫ﻣﻜﺎﻧﻴﻜﻲ ﻫﻢ ﺑﺎﺷﻨﺪ‪ .‬در اﻳﻦ ﺑﺨﺶ ﭼﻨﺪ ﻣﻮرد از اﻳﻦ ﺗﻐﻴﻴﺮات و ﺑﻬﻴﻨﻪﺳﺎزيﻫﺎ در ﺗﺮﻛﻴﺐ‬
‫ﻓﻮﺗﻮرزﻳﺴﺖ و ﻫﻤﭽﻨﻴﻦ ﭘﻮﺷﺶﻫﺎي دﻳﮕﺮي ﻛﻪ در ﻛﻨﺎر ﻓﻮﺗﻮرزﻳﺴﺖ ﺑﻪ ﻓﺮآﻳﻨﺪ ﻟﻴﺘﻮﮔﺮاﻓﻲ ﻛﻤﻚ‬
‫ﻣﻲﻛﻨﻨﺪ را ﻣﻮرد ﺑﺮرﺳﻲ ﻗﺮار ﻣﻲدﻫﻴﻢ‪.‬‬

‫‪ - 2- 5- 10‬رزﻳﺴﺖﻫﺎي ﺗﻘﻮﻳﺖﺷﺪه ﺑﻪ ﺻﻮرت ﺷﻴﻤﻴﺎﻳﻲ ‪2‬‬

‫از ﺗﺮﻛﻴﺒﺎت ﻣﻬﻢ اﺿﺎﻓﻪ ﺷﻮﻧﺪه در ﺳﺎﺧﺘﺎر ﻓﻮﺗﻮ رزﻳﺴﺖ‪ ،‬ﺗﻮﻟﻴﺪﻛﻨﻨﺪه ﻓﻮﺗﻮاﺳﻴﺪ اﺳﺖ‪ .‬اﻳﻦ ﺗﺮﻛﻴﺐ‬
‫ﺑﺎﻋﺚ اﻧﺠﺎم ﻳﻚ ﺳﻠﺴﻠﻪ واﻛﻨﺶﻫﺎ در ﻫﻨﮕﺎم ﻣﺮﺣﻠﻪ ﮔﺮﻣﺎﻳﺶ ﻧﺮم ﺷﺪه و ﺳﺒﺐ ﻣﻲﺷﻮد ﻛﻪ ﺣﻼﻟﻴﺖ‬
‫رزﻳﺴﺖ در ﻣﺮﺣﻠﻪ ﻇﻬﻮر اﻓﺰاﻳﺶ ﻳﺎﺑﺪ ‪.‬‬

‫‪1 Plasma Etching‬‬


‫‪2 Chemically Amplified Resists‬‬
‫‪354‬‬
‫‪ - 3- 5- 10‬رزﻳﺴﺖﻫﺎي رﻧﮕﻲ ﺷﺪه ) ‪(Dyed Resists‬‬

‫اﺿﺎﻓﻪ ﻛﺮدن رﻧﮓﻫﺎي ﺧﺎص ﺑﻪ رزﻳﺴﺖ ﺳﺒﺐ اﻓﺰاﻳﺶ ﺟﺬب اﻧﺮژي از ﻧﻮر ﺗﺎﺑﻴﺪه ﺷﺪه و ﻫﻤﭽﻨﻴﻦ‬
‫ﻛﺎﻫﺶ ﺑﺎزﺗﺎﺑﺶ ﻧﻮر از ﺳﻄﺢ زﻳﺮﻳﻦ رزﻳﺴﺖ ﻣﻲﮔﺮدد‪ .‬در ﻣﻘﺎﺑﻞ ﻧﻴﺎز ﺑﻪ اﻓﺰاﻳﺶ زﻣﺎن ﺗﺎﺑﺶ ﺑﻪ ﻣﻴﺰان‬
‫‪ 5‬ﺗﺎ ‪ 50‬درﺻﺪ ﻫﻢ ﻫﺴﺖ ‪.‬‬

‫‪ - 4- 5- 10‬اﺳﺘﻔﺎده از ﭼﻨﺪ ﻻﻳﻪ رزﻳﺴﺖ‬

‫ﻫﺪف از ﻓﺮآﻳﻨﺪ ﻟﻴﺘﻮﮔﺮاﻓﻲ اﻳﺠﺎد ﻃﺮﺣﻲ ﺑﺮاي ﭘﺴﺘﻲ و ﺑﻠﻨﺪي روي وﻳﻔﺮ اﺳﺖ‪ .‬در اﻛﺜﺮ ﻣﻮارد‬
‫ﭼﻨﺪﻳﻦ اﻟﮕﻮ روي ﻫﻤﺪﻳﮕﺮ ﺑﺎﻳﺪ اﻳﺠﺎد ﺷﻮﻧﺪ‪ .‬وﺟﻮد اﻳﻦ ﭘﺴﺘﻲ و ﺑﻠﻨﺪيﻫﺎ ﻓﺮآﻳﻨﺪ ﻟﻴﺘﻮﮔﺮاﻓﻲ در‬
‫ﻣﺮاﺣﻞ ﺑﻌﺪي را دﭼﺎر ﻣﺸﻜﻞ ﻣﻲﻛﻨﻨﺪ‪ .‬ﺗﻜﻨﻴﻚ ﻏﺎﻟﺐ ﺑﺮاي ﺣﻞ اﻳﻦ ﻣﺸﻜﻞ اﺳﺘﻔﺎده از ﭼﻨﺪ ﻻﻳﻪ‬
‫رزﻳﺴﺖ ﺑﺮ روي ﻳﻜﺪﻳﮕﺮ اﺳﺖ‪ .‬ﺑﺮاي اﻳﺠﺎد ﺗﺼﺎوﻳﺮ دﻗﻴﻖﺗﺮ از اﻳﻦ روش اﺳﺘﻔﺎده ﻣﻲﻛﻨﻴﻢ در اﻳﻦ‬
‫روش اﺑﺘﺪا ﻳﻚ رزﻳﺴﺖ ﻣﺜﺒﺖ ﻗﺮار ﻣﻲدﻫﻴ ﻢ ﻛﻪ ﺿﺨﻴﻢ ﻫﺴﺖ و ﺣﺴﺎس ﺑﻪ ‪deep ultraviolet‬و‬
‫ﺗﻤﺎم ﭘﺴﺘﻲ و ﺑﻠﻨﺪيﻫﺎ را ﭘﻮﺷﺶ ﻣﻲدﻫﺪ و ﺳﭙﺲ ﻻﻳﻪ دوم را ﻛﻪ ﻧﺎزكﺗﺮ اﺳﺖ و از ﻧﻮع ﻣﻨﻔﻲ‬
‫ﻫﺴﺖ و ﺣﺴﺎس ﺑﻪ ‪ ultraviolet‬را اﻋﻤﺎل ﻣﻲﻛﻨﻴﻢ و اﻟﮕﻮي ﻣﻮرد ﻧﻈﺮ را اﺑﺘﺪا روي اﻳﻦ رزﻳﺴﺖ‬
‫اﻳﺠﺎد ﻣﻲﻛﻨ ﻴﻢ و ﭼﻮن ﻻﻳﻪ زﻳﺮﻳﻦ ﺻﺎف ﻫﺴﺖ ﻣﻲﺗﻮان ﺗﺼﺎوﻳﺮ دﻗﻴﻖﺗﺮي را اﻳﺠﺎد ﻛﺮد‪ .‬ﺳﭙﺲ ‪deep‬‬

‫‪ultraviolet‬را اﻋﻤﺎل ﻣﻲﻛﻨ ﻴﻢ و اﻟﮕﻮي دﻗﻴﻖﺗﺮ را اﻳﺠﺎد ﻣﻲﻛﻨﻴﻢ ‪.‬‬

‫‪355‬‬
‫ﺷﻜﻞ ‪ 56‬ﺗﻜﻨﻴﻚ رزﻳﺴﺖﻫﺎي ﭼﻨﺪ ﻻﻳﻪ‬

‫روال اﺟﺮاي اﻳﻦ ﺗﻜﻨﻴﻚ در ﺷﻜﻞ ‪ 7‬ﺗﻮﺿﻴﺢ دادهﺷﺪه اﺳﺖ ‪ .‬ﻻﻳﻪ اول ﺗﻤﺎم ﭘﺴﺘﻲ و ﺑﻠﻨﺪيﻫﺎ را در‬
‫ﺑﺮﮔﺮﻓﺘﻪ و ﻳﻚ ﺳﻄﺢ ﺻﺎف ﺑﺮاي ﻻﻳﻪ دوم رزﻳﺴﺖ را ﻓﺮاﻫﻢ ﻣﻲﻛﻨﺪ‪ .‬ﻧﻜﺘﻪ ﻗﺎﺑﻞ ذﻛﺮ در اﻳﻦ روش‬
‫ﻣﻌﻜﻮس ﺑﻮدن ﻧﻮع رزﻳﺴﺖﻫﺎ اﺳﺖ‪ .‬در ﺷﻜﻞ ﺑﺎﻻ ﻻﻳﻪ اول و ﺿﺨﻴﻢ از ﻧﻮع رزﻳﺴﺖ ﻣﺜﺒﺖ و ﻻﻳﻪ‬
‫ﻧﺎزﻛﺘﺮ از ﻧﻮع ﻣﻨﻔﻲ اﺳﺖ ‪.‬‬

‫‪ - 5- 5- 10‬اﺳﺘﻔﺎده از ﻻﻳﻪﻫﺎي اﻓﺰاﻳﺶ ﻛﻨﺘﺮاﺳﺖ ‪1‬‬

‫ﭘﻴﺸﺘﺮ ﺑﻴﺎن ﺷﺪ ﻛﻪ دﻟﻴﻞ اﺻﻠﻲ ﻣﺸﻜﻼت ﻛﻨﺘﺮاﺳﺖ‪ ،‬ﻏﻴﺮ ﻳﻜﻨﻮاﺧﺖ ﺑﻮدن ﻧﻮر رﺳﻴﺪه ﺑﻪ ﺳﻄﺢ‬
‫رزﻳﺴﺖ اﺳﺖ‪ .‬ﺣﺘﻲ ﺑﺎ وﺟﻮد ﻳﻚ ﻣﻨﺒﻊ ﻧﻮر ﻛﺎﻣ ﻼً ﻳﻜﻨﻮاﺧﺖ‪ ،‬ﭘﺪﻳﺪهﻫﺎي ﺗﺪاﺧﻞ و ﭘﺮاش ﻣﻲﺗﻮاﻧﻨﺪ‬
‫ﺷﺪت ﻧﻮر در ﺳﻄﺢ رزﻳﺴﺖ را ﻏﻴﺮ ﻫﻤﺴﺎن ﻧﻤﺎﻳﻨﺪ‪ .‬ﻻﻳﻪ اﻓﺰاﻳﺶ ﻛﻨﺘﺮاﺳﺖ ﻛﻪ روي ﻻﻳﻪ رزﻳﺴﺖ‬
‫ﻧﺸﺎﻧﺪه ﻣﻲﺷﻮد‪ ،‬ﻣﺎﻧﻨﺪ ﻳﻚ ﻓﻴﻠﺘﺮ ﻧﻮري ﻋﻤﻞ ﻣﻲﻛﻨﺪ‪،‬اﮔﺮ ﺷﺪت ﻧﻮر از ﺣﺪي ﻛﻤﺘﺮ ﺑﺎﺷﺪ‪ ،‬آنرا از‬
‫ﺧﻮد ﻋﺒﻮر ﻧﻤﻲدﻫﺪ و اﮔﺮ از ﺣﺪي ﺑﻴﺸﺘﺮ ﺷﺪ )ﻣﻘﺪار ﻣﻮرد ﻧﻴﺎز ﺑﺮاي ﻟﻴﺘﻮﮔﺮاﻓ ﻲ( ﺷﻔﺎف ﺷﺪه و ﻧﻮر را‬
‫ﻋﺒﻮر ﺧﻮاﻫﺪ داد ‪.‬‬

‫‪1 ) Contrast Enhancement Layer‬‬

‫‪356‬‬
‫ﺷﻜﻞ ‪ 57‬ﻻﻳﻪ اﻓﺰاﻳﺶ ﻛﻨﺘﺮاﺳﺖ‬

‫‪ - 6- 5- 10‬ﭘﻮﺷﺶ ﺿﺪ اﻧﻌﻜﺎس ‪1‬‬

‫ﻫﺪف اﻓﺰودن ﻻﻳﻪ ﺿﺪ اﻧﻌﻜﺎس‪ ،‬ﺣﺬف ﻣﺸﻜﻞ ﺑﺎزﺗﺎﺑﺶ ﻧﻮر از ﺳﻄﺢ زﻳﺮﻳﻦ ﻻﻳﻪ ﻓﺘﻮرزﻳﺴﺖ اﺳﺖ‪.‬‬
‫ﭘﻮﺷﺶ ﺿﺪ اﻧﻌﻜﺎس ﻛﻪ ﻗﺒﻞ از رزﻳﺴﺖ روي ﺳﻄﺢ ﻧﺸﺎﻧﺪه ﻣﻲﺷﻮد ‪ ،‬ﻫﺮ ﻧﻮري ﺑﻪ آن ﺑﺮﺳﺪ را ﻛﺎﻣﻞ‬
‫در ﺧﻮد ﺟﺬب ﻣﻲﻛﻨﺪ و ﺑﺎزﮔﺸﺘﻲ از آن ﺑﻪ ﺳﻤﺖ رزﻳﺴﺖ ﺻﻮرت ﻧﻤﻲﮔ ﻴﺮد‪ .‬در ﺿﻤﻦ ﺑﻪ ﻛﺎر ﺑﺮدن‬
‫اﻳﻦ ﻻﻳﻪ ﺗﺎ ﺣﺪي ﻣﻲﺗﻮاﻧﺪ ﭘﺴﺘﻲ و ﺑﻠﻨﺪيﻫﺎي ﻻﻳﻪ زﻳﺮ را ﭘﻮﺷﺎﻧﺪه و ﺳﻄﺢ را ﺑﺮاي رزﻳﺴﺖ‬
‫ﻳﻜﻨﻮاﺧﺖ ﻧﻤﺎﻳﺪ‪ .‬ﻣﺸﻜﻞ اﻳﻦ روش اﺿﺎﻓﻪ ﺷﺪن ﻳﻚ ﻣﺮﺣﻠﻪ اﺿﺎﻓﻪ ﺑﺮاي ﺣﺬف ﭘﻮﺷﺶ اﺳﺖ‪.‬ﻣﺮاﺣﻞ‬
‫ﻛﺎر ﺑﻪ وﺿﻮح در ﺷﻜﻞ ﻧﺸﺎن داده ﺷﺪه اﺳﺖ ‪.‬‬

‫‪1 ) Anti-Reflective Coating‬‬

‫‪357‬‬
‫ﺷﻜﻞ )‪ – (8‬ﭘﻮﺷﺶ ﺿﺪ اﻧﻌﻜﺎس‬

‫‪ - 7- 5- 10‬ﻣﻌﻜﻮس ﻛﺮدن ﺗﺼﻮﻳﺮ ‪1‬‬

‫در ﻟﻴﺘﻮﮔﺮاﻓﻲ ﻛﻼﺳﻴﻚ ﺑﻴﺎن ﺷﺪ ﻛﻪ رزﻳﺴﺖ ﻣﺜﺒﺖ ﻗﺎﺑﻠﻴﺖ اﻳﺠﺎد و ﻇﻬﻮر ﺗﺼﺎوﻳﺮ ﺑﺎ رزوﻟﻮﺷﻦ‬
‫ﺑﺎﻻﺗﺮي را داراﺳﺖ‪ .‬ﻳﻜﻲ از ﻣﺤﺎﺳﻦ رزﻳﺴﺖ ﻣﺜﺒﺖ اﺳﺘﻔﺎده از ﻣﺎﺳﻚ ﺑﺎ زﻣﻴﻨﻪ ﺗﺎرﻳﻚ) ‪dark field‬‬

‫‪(mask‬ﺑﺮاي اﻳﺠﺎد ‪ hole‬ﺑﻮد ‪.‬ﻣﺎﺳﻚ ﺗﺎرﻳﻚ ﺑﻪ ﺧﺎﻃﺮ اﻳﻨﻜﻪ اﻛﺜﺮ ﺟﺎﻫﺎ ﺑﺎ ﻛﺮوم ﺳﺨﺖ ﭘﻮﺷﺎﻧﺪه‬
‫ﻣﻲﺷﻮد ﺑﻪ ﺳﺨﺘﻲ آﺳﻴﺐ ﻣﻲ ﺑﻴﻨﺪ‪ ،‬ﻣﺸﻜﻞ ﺟﺎﻳﻲ ﺑﺮوز ﻣﻲﻛﻨﺪ ﻛﻪ ﺑﺨﻮاﻫﻴﻢ ﺑﺎ ﻣﺎﺳﻚ ﺑﺎ ﻣﺎﺳﻚ‬
‫روﺷﻦ )‪ (Clear Field‬و رزﻳﺴﺖ ﻣﺜﺒﺖ ﺑﺨﻮاﻫﻴﻢ ﻳﻚ ﺟﺰﻳﺮه )‪ (Island‬اﻳﺠﺎد ﻧﻤﺎﻳﻴﻢ‪ .‬در اﻳﻦ ﺣﺎﻟﺖ از‬
‫ﻳﻚ ﺗﻜﻨﻴﻚ ﺧﺎص ﺑﻪ ﻧﺎم ﻣﻌﻜﻮس ﻛﺮدن ﺗﺼﻮﻳﺮ اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ .‬در اﻳﻦ ﺗﻜﻨﻴﻚ ﺑﻌﺪ از ﻧﻮردﻫﻲ و‬

‫‪1 Image Reversal‬‬


‫‪358‬‬
‫ﻗﺒﻞ از ﻇﻬﻮر‪ ،‬وﻳﻔﺮ را در ﻳﻚ ﻛﻮره و در ﻣﺠﺎورت ﺑﺨﺎر آﻣﻮﻧﻴﺎك ﮔﺮم ﻣﻲﻛﻨﻨﺪ‪ .‬ﺑﺨﺎر آﻣﻮﻧﻴﺎك ﺑﻪ‬
‫داﺧﻞ رزﻳﺴﺖ ﻧﻔﻮذ ﻛﺮده و ﭘﻼرﻳﺘﻪ آن را ﺗﻐﻴﻴﺮ ﻣﻲدﻫﺪ‪ .‬ﺳﭙﺲ ﻛﻞ ﻣﺠﻤﻮﻋﻪ را ﺑﺪون ﻣﺎﺳﻚ ﻧﻮر‬
‫ﻣﻲدﻫﻨﺪ و ﺑﻪ ﻣﺮﺣﻠﻪ ﻇﻬﻮر ﻣﻲﻓﺮﺳﺘﻨﺪ‪ .‬ﻛﻞ ﭘﺮوﺳﻪ در ﺷﻜﻞ ) ‪ (9‬ﺑﻪ ﻧﻤﺎﻳﺶ در آﻣﺪه اﺳﺖ ‪.‬‬

‫ﺷﻜﻞ ‪58‬روش ﻣﻌﻜﻮس ﻛﺮدن ﺗﺼﻮﻳﺮ‬

‫‪ - 8- 5- 10‬ﺗﻜﻨﻴﻚ ﺟﺎ ﺑﺠﺎﻳﻲ ‪1‬‬

‫اﻳﻦ ﺗﻜﻨﻴﻚ در واﻗﻊ ﻳﻚ ﺟﺎ ﺑﺠﺎﻳﻲ ﻣﻮﺛﺮ در اﺟﺮاي ﻣﺮاﺣﻞ ﻟﻴﺘﻮﮔﺮاﻓﻲ اﺳﺖ و اﻛﺜﺮاً ﺑﺮاي اﻳﺠﺎد ﻃﺮح‬
‫روي ﻓﻠﺰات اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ .‬اﺑﺘﺪا ﻳﻚ ﻻﻳﻪ از ﻣﺎده روي وﻳﻔﺮ ﻧﺸﺎﻧﺪه ﻣﻲﺷﻮد ﺳﭙﺲ ﺑﺎ رزﻳﺴﺖ‬
‫روي آن ﻳﻚ ﻃﺮح اﻳﺠﺎد ﻣﻲﺷﻮد و در اﻧﺘﻬﺎ ﻛﻞ وﻳﻔﺮ ﺑﻪ ﻣﺮﺣﻠﻪ زداﻳﺶ ﻣﻲرود‪ .‬در ﺗﻜﻨﻴﻚ ‪Lift-Off‬‬

‫اﺑﺘﺪا رزﻳﺴﺖ را روي وﻳﻔﺮ ﻣﻲﻧﺸﺎﻧﻴﻢ و ﻃﺮحدﻫﻲ ﻣﻲﻛﻨﻴﻢ‪ ،‬و در ﻣﺮﺣﻠﻪ ﺑﻌﺪ ﻓﻠﺰ )ﻳﺎ ﻣﺎده دﻳﮕﺮ ( را‬
‫روي آن ﻣﻲﻧﺸﺎﻧﻴﻢ‪ .‬ﺳﭙﺲ وﻳﻔﺮ را در ﻣﻌﺮض اﻣﻮاج ﻓﺮاﺻﻮت ﻗﺮار ﻣﻲدﻫﻴﻢ‪ .‬آب و ﺣﻼلﻫﺎي ﻣﻮﺟﻮد‬
‫در رزﻳﺴﺖ ﺷﺮوع ﺑﻪ ﻧﻮﺳﺎن ﻛﺮده و ﺧﻮد رزﻳﺴﺖ و ﺑﻪ ﺗﺒﻊ آن ﻻﻳﻪ ﻓﻠﺰ روي رزﻳﺴﺖ را از وﻳﻔﺮ ﺟﺪا‬
‫ﻣﻲﻛﻨﻨﺪ و ﻃﺮح دﻟﺨﻮاه ﻣﺎ روي وﻳﻔﺮ ﺑﻪ ﺟﺎ ﻣﻲﻣﺎﻧﺪ‪.‬‬

‫‪1 lift-off‬‬
‫‪359‬‬
‫ﺷﻜﻞ ‪ 59‬ﺗﻜﻨﻴﻚ ‪Lift-Off‬‬

‫از ﻣﺰاﻳﺎي اﻳﻦ روش اﻳﻦ اﺳﺖ ﻛﻪ ‪) Under-Etch‬ﺧﺼﻮﺻﺎً ﺑﺮاي ﻓﻠﺰات ﺣﺴﺎس ﻣﺎﻧﻨﺪ آﻟﻮﻣﻴﻨﻴﻮم(‬
‫اﺗﻔﺎق ﻧﻤﻲاﻓﺘﺪ و ﻣﻮادي ﻛﻪ زداﻳﺶ آنﻫﺎ ﻣﺸﻜﻞ اﺳﺖ‪ ،‬ﻣﺎﻧﻨﺪ ﻣﺲ‪ ،‬ﺳﻴﻠﻴﺴﺎﻳﺪﻫﺎ‪ ،‬ﻃﻼ و ﭘﻼﺗﻴﻨﻴﻮم‪ ،‬را‬
‫ﺑﻪ راﺣﺘﻲ ﺷﻜﻞدﻫﻲ ﻣﻲﻛﻨﺪ‪.‬‬

‫ﻣﺴﺎﻳﻞ ﻣﺮﺑﻮط ﺑﻪ ﻣﺎﺳﻚ‬ ‫‪-6-10‬‬


‫ﻓﺮآﻳﻨﺪ ﺳﺎﺧﺘﻦ ﻣﺎﺳﻚ ﺑﺴﻴﺎر ﺷﺒﻴﻪ ﻟﻴﺘﻮﮔﺮاﻓﻲ وﻳﻔﺮ اﺳﺖ‪ ،‬ﺑﺎ اﻳﻦ ﺗﻔﺎوت ﻛﻪ ﺑﺮاي اﻳﺠﺎد اﻟﮕﻮ روي‬
‫رزﻳﺴﺖ از روشﻫﺎي ﺑﺪون ﻣﺎﺳﻚ و دﻗﻴﻖ ﻣﺎﻧﻨﺪ ‪ E-Beam‬اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ .‬ﺑﺪﻧﻪ ﻣﺎﺳﻚ از ﺷﻴﺸﻪ‬
‫ﺑﻮده و از ﻳﻚ ﻻﻳﻪ از ﻓﻠﺰ ﻛﺮوم ﺷﻜﻞ دادهﺷﺪه ﺑﺮاي ﺳﺪ ﻛﺮدن راه ﻧﻮر اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‪.‬‬

‫‪- 1- 6- 10‬اﻓﺰودن ﻳﻚ ﻏﺸﺎي ﺑﺎرﻳﻚ ﺷﻔﺎف ﺑﻪ ﻣﺎﺳﻚ ‪1‬‬

‫در اﻳﻦ ﺗﻜﻨﻴﻚ ﺳﺎده ﻳﻚ ﻻﻳﻪ ﺷﻴﺸﻪ )‪ (Sio2‬اﺿﺎﻓﻪ روي ﻣﺎﺳﻚ ﻧﺸﺎﻧﺪه ﻣﻲﺷﻮد‪.‬ﺑﻪ اﻳﻦ ﺗﺮﺗﻴﺐ ﻻﻳﻪ‬
‫ﻓﻠﺰ ﻛﺮوم ﺑﻴﻦ اﻳﻦ دو ﻧﺎﺣﻴﻪ ﻣﺤﺼﻮر ﻣﻲﮔﺮدد‪ .‬دﻟﻴﻞ اﺳﺘﻔﺎده از اﻳﻦ ﺗﻜﻨﻴﻚ ﺗﻤﻴﺰ ﻣﺎﻧﺪن ﻣﺎﺳﻚ در‬
‫روال ﻣﺨﺘﻠﻒ ﻟﻴﺘﻮﮔﺮاﻓﻲ اﺳﺖ‪.‬‬

‫‪1 Pellicle‬‬
‫‪360‬‬
‫‪ - 2- 6- 10‬ﻣﺎﺳﻜﻬﺎي ﺷﻴﻔﺖ ﻓﺎز ‪1‬‬

‫ﻧﻮر ﻋﺒﻮر ﻛﻨﻨﺪه از دو ﻧﺎﺣﻴﻪ ﺷﻔﺎف و ﻋﺒﻮر دﻫﻨﺪه ﻫﻨﮕﺎﻣﻲ ﻛﻪ ﺑﻪ ﻫﻢ ﻧﺰدﻳﻚ ﺷﻮﻧﺪ‪ ،‬ﺑﺎ ﻫﻢ ﺗﺪاﺧﻞ‬
‫ﺳﺎزﻧﺪه ﻣﻲﻛﻨﻨﺪ‪ .‬دﻟﻴﻞ اﻳﻦ ﻣﺴﺄﻟﻪ ﻣﺎﻫﻴﺖ ﻣﻮﺟﻲ ﻓﻮﺗﻮنﻫﺎي ﺗﺸﻜﻴﻞ دﻫﻨﺪه ﻧﻮر و ﻫﻢﻓﺎز ﺑﻮدن ﻫﺮ‬
‫دو ﺑﺎرﻳﻜﻪ اﺳﺖ‪ .‬در ﻣﺎﺳﻚ ﺷﻴﻔﺖ ﻓﺎز‪ ،‬روي ﻳﻜﻲ از اﻳﻦ ﺷﻜﺎفﻫﺎ ﻳﻚ ﻧﺎﺣﻴﻪ ﺧﻨﺜﻲ از ﻧﻈﺮ اﭘﺘﻴﻜﻲ‬
‫ﻗﺮار ﻣﻲدﻫﻨﺪ ﻛﻪ ﻓﺎز ﻳﻜﻲ از ﺑﺎرﻳﻜﻪﻫﺎ را ‪ 180‬درﺟﻪ ﺷﻴﻔﺖ ﻣﻲدﻫﺪ‪ .‬ﺑﻪ ﻫﻤﻴﻦ دﻟﻴﻞ ﺗﺪاﺧﻞ ﺳﺎزﻧﺪه‬
‫ﺑﻪ ﺗﺪاﺧﻞ ﻣﺨﺮب ﺗﺒﺪﻳﻞ ﻣﻲﺷﻮد و ﻧﺎﺣﻴﻪ ﺗﺎرﻳﻚ و ﻣﻮ ﭼﻚ ﺑﻴﻦ اﻳﻦ دو ﻣﻨﻄﻘﻪ روﺷﻦ ﻫﻢ ﻇﺎﻫﺮ ﻣﻲ‪-‬‬
‫ﮔﺮدد‪.‬‬

‫ﺷﻜﻞ )‪ – (11‬ﻣﺎﺳﻚ ﺷﻴﻔﺖ ﻓﺎز‬

‫‪Self-Aligned Structures - 3- 6- 10‬‬


‫اﻳﺪه ﭘﺸﺖ اﻳﻦ ﺗﻜﻨﻴﻚ اﺳﺘﻔﺎده از ﻋﻮارض ﻗﺒ ﻼً اﻳﺠﺎد ﺷﺪه ﺑﻪ ﺟﺎي ﻣﺎﺳﻚ اﺳﺖ ‪ .‬اﻳﻦ ﺗﻜﻨﻴﻚ‬
‫ﻫﻤﻴﺸﻪ ﻗﺎﺑﻞ اﺟﺮا ﻧﻴﺴﺖ وﻟﻲ در ﺻﻮرت اﻋﻤﺎل ﻧﺘﺎﻳﺞ ﺑﺴﻴﺎر دﻗﻴﻖ ﺑﻪ دﺳﺖ ﻣﻲدﻫﺪ‪ .‬ﻣﺸﻬﻮرﺗﺮﻳﻦ‬
‫ﻣﺜﺎل ﻛﺎرﺑﺮد اﻳﻦ ﺗﻜﻨﻴﻚ در ﺳﺎﺧﺖ ﻣﺎﺳﻔﺖ اﺳﺖ‪ .‬ﻣﺤﻞ دﻗﻴﻖ ﮔﻴﺖ ﻳﻚ ﻣﺎﺳﻔﺖ ﺑﺎ ﺻﺤﺖ ﻋﻤﻠﻜﺮد‬
‫اﻳﻦ ﻗﻄﻌﻪ راﺑﻄﻪ ﺗﻨﮕﺎﺗﻨﮕﻲ دارد و ﻻزم اﺳﺖ ﻛﻪ ﻧﺎﺣﻴﻪ ﮔﻴﺖ ﺑﺎ ﻫﺮ دو ﻧﺎﺣﻴﻪ درﻳﻦ و ﺳﻮ رس‬
‫ﻫﻤﭙﻮﺷﺎﻧﻲ ﻛﻮﭼﻜﻲ داﺷﺘﻪ ﺑﺎﺷﺪ‪ .‬از ﻃﺮﻓﻲ ﻧﻤﻲﺗﻮان ﮔﻴﺖ را ﺑﺰرگ ﻛﺮد )زﻳﺮا از ﻛﺎراﻳﻲ ﻗﻄﻌﻪ ﻣﻲ‪-‬‬
‫ﻛﺎﻫﺪ ( و ﺑﺎﻳﺪ ﻛﻮﭼﻜﺘﺮﻳﻦ اﻧﺪازه ﻣﻤﻜﻦ )ﺑﺮاي اﻓﺰاﻳﺶ ﻛﺎراﻳﻲ و ﻛﺎﻫﺶ ﺳﻄﺢ اﺷﻐﺎلﺷﺪه( را ﺑﺮاي آن‬
‫در ﻧﻈﺮ ﮔﺮﻓﺖ‪ .‬روش ﻗﺪﻳﻤﻲ اﺟﺮا ﺑﻪ اﻳﻦ ﺻﻮرت ﺑﻮد ﻛﻪ اﺑﺘﺪا ﻧﻮاﺣﻲ درﻳﻦ و ﺳﻮ رس روي وﻳﻔﺮ اﻳﺠﺎد‬

‫‪1 Phase Shift Masks‬‬


‫‪361‬‬
‫ﻣﻲﺷﺪﻧﺪ و ﺳﭙﺲ ﺑﺎ ﺳﺨﺘﻲ زﻳﺎد ﮔﻴﺖ را در ﻣﺤﻞ دﻗﻴﻖ ﺧﻮد ﻧﻘﺶ ﻣﻲﮔﺮدﻳﺪ‪ .‬در ﺻﻮرت ﻛﻮﭼﻜﺘﺮﻳﻦ‬
‫ﺟﺎ ﺑﺠﺎﻳﻲ ﻗﻄﻌﻪ ﻏﻴﺮ ﻗﺎﺑﻞ اﺳﺘﻔﺎده ﺧﻮاﻫﺪ ﺑﻮد‪.‬‬

‫ﺷﻜﻞ )‪ – (12‬ﻣﺎﺳﻔﺖ ﺳﺎﺧﺘﻪﺷﺪه ﺑﺎ ﺗﻜﻨﻴﻚ ‪Self-Align‬‬

‫در ﺗﻜﻨﻴﻚ ‪ ،Self-Align‬اﺑﺘﺪا ﻧﺎﺣﻴﻪ اﻛﺴﻴﺪ ﮔﻴﺖ و ﺳﭙﺲ اﺗﺼﺎل آن ﻛﻪ از ﺟﻨﺲ ﭘﻠﻲ ﺳﻴﻠ ﻴﻜﻮن‬
‫اﺳﺖ‪ ،‬روي وﻳﻔﺮ اﻳﺠﺎد ﻣﻲ ﺷﻮد‪ .‬در اداﻣﻪ ﻛﻞ ﻧﺎﺣﻴﻪ ﮔﻴﺖ ‪ +‬درﻳﻦ ‪ +‬ﺳ ﻮ رس ﻣﻮرد ‪ Ion Implant‬و ﻳﺎ‬
‫‪ Diffusion‬ﻗﺮار ﻣﻲﮔﻴﺮد‪ .‬در اﻳﻦ ﺣﺎﻟﺖ ﭘﻠﻲ ﺳﻴﻠﻴﻜﻮن ﻣﺎﻧﻨﺪ ﻣﺎﺳﻚ در ﻣﻘﺎﺑﻞ ﻧﻔﻮذ و ﻳﺎ ﻛﺎﺷﺖ ﻳﻮن‬
‫ﻋﻤﻞ ﻣﻲﻛﻨﺪ و ﻧﺎﺧﺎﻟﺼﻲ ﻓﻘﻂ در اﻃﺮاف آن ﻧﻔﻮذ ﻣﻲﻛﻨﺪ‪.‬ﻫﻤﭙﻮﺷﺎﻧﻲ ﻣﻮرد ﻧﻈﺮ ﻫﻢ ﺑﻪ ﻋﻠﺖ ﻣﺎﻫﻴﺖ‬
‫روﺷﻬﺎي ﻛﺎﺷﺖ ﻳﻮن و ﻳﺎ ﻧﻔﻮذ اﻳﺠﺎد ﻣﻲﺷﻮد‪ .‬ﻣﺸﺨﺼﺎً در اﻳﻦ روش ﻫﻴﭻ ﺧﻄﺎﻳﻲ در ﺳﺎﺧﺖ اﺗﻔﺎق‬
‫ﻧﻤﻲاﻓﺘﺪ و روال ﺧﻮد ‪-‬ﺗﻨﻈﻴﻢ اﺳﺖ ‪.‬‬

‫ﻣﺴﺎﻳﻞ ﻣﺮﺑﻮط ﺑﻪ ﻣﻨﺒﻊ ﻧﻮر‬ ‫‪-7-10‬‬


‫ﺑﻴﺸﺘﺮﻳﻦ ﺑﻬﻴﻨﻪﺳﺎزيﻫﺎ ﻣﺮﺑﻮط ﺑﻪ ﻣﻨﺒﻊ ﻧﻮر در ﻓﺮآﻳﻨﺪ ﻟﻴﺘﻮﮔﺮاﻓﻲ اﺳﺖ ‪ .‬ﺗﺤﻘﻴﻘﺎت زﻳﺎدي در اﻳﻦ‬
‫زﻣﻴﻨﻪ ﻣﻨﺠﺮ ﺑﻪ اﻳﺠﺎد روشﻫﺎي ﺟﺪﻳﺪ ﻟﻴﺘﻮﮔﺮاﻓﻲ ﺷﺪه اﺳﺖ ﻛﻪ در ﻓﺼﻞ ﺑﻌﺪ ﺑﻪ آن ﻣﻲﭘﺮدازﻳﻢ‪.‬‬

‫‪362‬‬
‫‪ - 1- 7- 10‬ﻣﻨﺒﻊ ﻧﻮر ﺣﻠﻘﻪاي ﻳﺎ ﭼﻬﺎر ﻗﻄﺒﻲ ‪1‬‬

‫ﻣﻨﺎﺑﻊ ﻧﻮر ﻧﻘﻄﻪاي ﻧﻮر ﻳﻜﻨﻮاﺧﺘﻲ را اﻳﺠﺎد ﻣﻲﻛﻨﻨﺪ‪ .‬در اﻳﻦ ﺗﻜﻨﻴﻚ ﻳﻚ ﺣﻠﻘﻪ از ﻧﻮر ﻧﻘﻄﻪاي ﺟﺪا‬
‫ﺷﺪه و اﻟﺒﺎﻗﻲ آن ﻣﺴﺪود ﻣﻲﺷﻮد‪ .‬اﻳﻦ اﺻﻼح ﺷﻜﻞ ﻧﻮر ﺑﺎﻋﺚ ﻳﻜﻨﻮاﺧﺖﺗﺮ ﺷﺪن ﺷﺪت ﻧﻮر رﺳﻴﺪه‬
‫ﺑﻪ ﺳﻄﺢ وﻳﻔﺮ ﺧﻮاﻫﺪ ﺷﺪ ‪.‬‬

‫ﺷﻜﻞ ‪60‬اﺻﻼح ﺷﻜﻞ ﻣﻨﺒﻊ ﻧﻮر‬

‫‪ - 2- 7- 10‬ﺗﺎﺑﺶ ﺧﺎرج از ﻣﺤﻮر ‪2‬‬

‫ﻟﻨﺰ ﻣﻮرد اﺳﺘﻔﺎده در ﻓﺮآﻳﻨﺪ ﻟﻴﺘﻮﮔﺮاﻓﻲ ﻣﺤﺪودﻳﺖﻫﺎﻳﻲ را ﺑﺮاي ﻣﺎ اﻳﺠﺎد ﻣﻲﻛﻨﺪ‪ .‬ﻫﺮ ﺗﺼﻮﻳﺮ ﻛﻪ ﺑﻪ‬
‫ﻣﺤﻮر ﻣﺮﻛﺰ ﻟﻨﺰ ﻧﺰدﻳﻚﺗﺮ ﺑﺎﺷﺪ ﺑﺎ وﺿﻮح ﺑﻴﺸﺘﺮي روي رزﻳﺴﺖ ﻧﻘﺶ ﻣﻲﺑﻨﺪد و ﺑﺎ ﺣﺮﻛﺖ ﺑﻪ اﻃﺮاف‬
‫ﻟﻨﺰ از وﺿﻮح ﺗﺼﺎوﻳﺮ ﻧﻴﺰ ﻛﺎﺳﺘﻪ ﻣﻲﺷﻮد‪ .‬ﺑﺮاي ﺣﻞ اﻳﻦ ﻣﺸﻜﻞ ﺟﻬﺖ ﺗﺎﺑﺶ ﻧﻮر را اﻧﺪﻛﻲ ﺑﻪ اﻃﺮاف‬
‫ﺧﻢ ﻣﻲﻛﻨﻨﺪ‪ .‬اﻳﻦ اﻣﺮ ﺑﺎﻋﺚ ﻣﻲﺷﻮد ﺗﺎ ﻣﺮﻛﺰ اﺟﺘﻤﺎع ﻧﻮر ﻟﻨﺰ ﻧﻴﺰ اﻧﺪﻛﻲ از ﻣﺤﻮر ﻣﺮﻛﺰي آن اﻧﺤﺮاف‬
‫ﭘﻴﺪا ﻛﻨﺪ و ﻧﻮر ﺑﺎ ﺷﺪت ﺑﻴﺸﺘﺮي ﺑﻪ آن ﻣﻨﻄﻘﻪ ﺑﺘﺎﺑﺪ ‪.‬‬

‫‪1 ) Annular Ring or Quadrupole Exposure‬‬


‫‪2) Off-Axis Illumination‬‬
‫‪363‬‬
‫ﺷﻜﻞ ‪61‬ﺗﺎﺑﺶ ﺧﺎرج از ﻣﺤﻮر‬

‫‪ - 3- 7- 10‬ﻟﻴﺘﻮﮔﺮاﻓﻲ ﺑﻪ ﻛﻤﻚ ﻏﻮﻃﻪور ﺳﺎزي ‪1‬‬

‫در اﻳﻦ روش ﻓﺎﺻﻠﻪ ﻫﻮاﻳﻲ ﺑﻴﻦ ﻟﻨﺰ ﺗﺎ وﻳﻔﺮ ﺑﺎ ﻳﻚ ﻣﺎﻳﻊ ﺑﺎ ﺿﺮﻳﺐ ﺷﻜﺴﺖ ﺑﺰرﮔﺘﺮ از ﻳﻚ ﻛﻪ ﻣﻌﻤﻮﻻً‬
‫آب ﺑﺎ ﺧﻠﻮص ﺑﺎﻻ اﺳﺖ‪ ،‬ﭘﺮ ﻣﻲﺷﻮد‪ .‬ﺑﻪ ﻛﻤﻚ اﻳﻦ اﻣﺮ‪ ،‬وﺿﻮح ﺗﺼﻮﻳﺮ ﻗﺎﺑﻞ ﻇﻬﻮر ﺗﻮﺳﻂ ﻳﻚ ﻣﻮج ﺑﺎ‬
‫ﻃﻮل ‪ ،λ‬ﺑﻪ اﻧﺪازه ﺿﺮﻳﺐ ﺷﻜﺴﺖ ﻣﺎﻳﻊ ﺑﻬﺒﻮد ﭘﻴﺪا ﻣﻲﻛﻨﺪ ‪.‬‬

‫ﺷﻜﻞ ‪ 62‬ﻟﻴﺘﻮﮔﺮاﻓﻲ ﺑﻪ ﻛﻤﻚ ﻏﻮﻃﻪور ﺳﺎزي‬

‫‪1) Immersion Lithography‬‬

‫‪364‬‬
‫‪ - 4- 7- 10‬اﺻﻼح اﺛﺮ ﻫﻤﺠﻮاري ‪1‬‬

‫ﻳﻚ ﺗﺼﻮﻳﺮ دﻗﻴﻖ از اﻟﮕﻮي ﻣﻮرد ﻧﻈﺮ ﻣﺎ روي ﻣﺎﺳﻚ‪ ،‬ﺑﻪ ﺧﺎﻃﺮ وﺟﻮد اﺛﺮات ﻫﻤﺠﻮاري‪ ،‬ﺑﺎﻋﺚ اﻳﺠﺎد‬
‫ﻳﻚ ﺗﺼﻮﻳﺮ ﺗﺨﺮﻳﺐ ﺷﺪه روي رزﻳﺴﺖ ﺧﻮاﻫﺪ ﺷﺪ‪ .‬اﻳﻦ ﺗﺨﺮﻳﺐ در ﺳﺎﻳﺰﻫﺎي ﻛﻮﭼﻚ ﻗﺎﺑﻞ ﻣﺸﺎﻫﺪه‬
‫ﻧﻴﺴﺖ‪ .‬ﺑﻪ ﺗﺼﻮﻳﺮ ‪ a – 15‬دﻗﺖ ﻛﻨﻴﺪ‪ .‬ﺷﻜﻞ ﺗﻴﺮه اﻟﮕﻮي روي ﻣﺎﺳﻚ و ﺷﻜﻞ روﺷﻦ اﻟﮕﻮي‬
‫ﻇﺎﻫﺮﺷﺪه روي رزﻳﺴﺖ را ﻧﻤﺎﻳﺶ ﻣﻲدﻫﺪ‪ .‬اﺻﻼح ﻧﻮري اﺛﺮ ﻫﻤﺠﻮاري دﻗﻴﻘﺎً ﻋﻜﺲ اﻳﻦ ﻋﻤﻞ را‬
‫اﻧﺠﺎم ﻣﻲدﻫﺪ‪ :‬ﻳﻚ اﻟﮕﻮي ﺗﺨﺮﻳﺐﺷﺪه روي ﻣﺎﺳﻚ ﻛﻪ ﺗﺼﻮﻳﺮ دﻟﺨﻮاه ﻣﺎ را روي رزﻳﺴﺖ ﻇﺎﻫﺮ ﻣﻲ‪-‬‬
‫ﻛﻨﺪ‪ .‬ﺷﻜﻞ ‪ b - 15‬ﺗﺼﻮﻳﺮ ﺗﺨﺮﻳﺐﺷﺪه روي ﻣﺎﺳﻚ و ﻧﺘﻴﺠﻪ ﻧﻬﺎﻳﻲ را ﻧﺸﺎن ﻣﻲدﻫﺪ‪ .‬اﮔﺮ اﻟﮕﻮي ﻣﺎ‬
‫داراي ﭘﻴﭻ و ﺧﻢ زﻳﺎد ﺑﺎﺷﺪ‪ ،‬در آن ﺻﻮرت ﺣﻞ ﻣﺴﺄﻟﻪ ﻣﺸﻜﻞ و ﻓﻘﻂ ﺑﻪ ﻛﻤﻚ ﻛﺎﻣﭙﻴﻮﺗﺮ اﻣﻜﺎنﭘﺬﻳﺮ‬
‫ﺧﻮاﻫﺪ ﺑﻮد‪ .‬ﻧﻤﻮﻧﻪاي از اﺻﻼح ﻣﺎﺳﻚ ﭘﺮ ﭘﻴﭻ و ﺧﻢ ﺗﻮﺳﻂ ﻛﺎﻣﭙﻴﻮﺗﺮ در ﺳﻤﺖ راﺳﺖ ﺗﺼﻮﻳﺮ ‪ 15‬آﻣﺪه‬
‫اﺳﺖ‪.‬‬

‫ﺷﻜﻞ ‪63‬اﺻﻼح ﻧﻮري اﺛﺮ ﻫﻤﺠﻮاري‬

‫‪ - 5- 3- 3‬ﻛﺎﻫﺶ ﻃﻮل ﻣﻮج ﻧﻮر و اﺳﺘﻔﺎده از ‪Excimer Laser‬‬

‫ﮔﺴﺘﺮدهﺗﺮﻳﻦ ﺗﺤﻘﻴﻘﺎت و اﺻﻼﺣﺎت در ﺳﺎﺧﺘﺎر و ﻧﻮع ﻣﻨﺒﻊ ﻧﻮر ﻟﻴﺘﻮﮔﺮاﻓﻲ ﺻﻮرت ﮔﺮﻓﺘﻪ اﺳﺖ‪ .‬اﻳﻦ‬
‫ﺗﺤﻘﻴﻘﺎت ﺑﻌﻀﺎً ﻣﻨﺠﺮ ﺑﻪ اﻳﺠﺎد اﻧﻮاع ﺟﺪﻳﺪي از ﻟﻴﺘﻮﮔﺮاﻓﻲ ﻫﻢ ﺷﺪ ﻛﻪ در ﻓﺼﻞ ﺑﻌﺪ ﺑﻪ آنﻫﺎ ﻣﻲ‪-‬‬
‫ﭘﺮدازﻳﻢ‪.‬‬

‫‪1 Optical Proximity Correction‬‬


‫‪365‬‬
‫‪Name‬‬ ‫)‪Wavelength (nm‬‬ ‫)‪feature size (µm‬‬

‫‪E‬‬ ‫‪G-line‬‬ ‫‪436‬‬ ‫‪0.50‬‬


‫‪Mercury Lamp‬‬ ‫‪H-line‬‬ ‫‪405‬‬ ‫‪E‬‬
‫‪E‬‬ ‫‪I-line‬‬ ‫‪365‬‬ ‫‪0.35 to 0.25‬‬
‫‪E‬‬ ‫‪XeF‬‬ ‫‪351‬‬ ‫‪E‬‬
‫‪E‬‬ ‫‪XeCl‬‬ ‫‪308‬‬ ‫‪E‬‬
‫‪Excimer Laser‬‬ ‫)‪KrF (DUV‬‬ ‫‪248‬‬ ‫‪0.25 to 0.13‬‬
‫‪E‬‬ ‫‪ArF‬‬ ‫‪193‬‬ ‫‪0.13 to 0.045‬‬
‫‪Fluorine Laser‬‬ ‫‪F2‬‬ ‫‪157‬‬ ‫‪≤0.1‬‬
‫ﺟﺪول ‪ 1‬ﻣﻨﺎﺑﻊ ﻧﻮر اﺳﺘﻔﺎدهﺷﺪه در ﻟﻴﺘﻮﮔﺮاﻓﻲ ﺗﺎ ﺑﻪ اﻣﺮوز‬

‫ﺑﻨﺎ ﺑﻪ ﻗﺎﻋﺪه رﻳﻠﻲ ﻛﺎﻫﺶ ﻃﻮل ﻣﻮج ﻧﻮر اﻣﻜﺎن اﻳﺠﺎد ﻋﻮارض ﺑﺎ ﺳﺎﻳﺰﻫﺎي ﻛﻮﭼﻜﺘﺮ را ﺑﺮاي ﻣﺎ ﻓﺮاﻫﻢ‬
‫ﻣﻲﻛﻨﺪ‪ .‬روال اﺑﺘﺪاﻳﻲ ﺻﻨﻌﺖ اﺳﺘﻔﺎده از ﻻﻣﭗﻫﺎي ﺟﻴﻮه و اﺻﻼح و ﻳﻜﻨﻮاﺧﺖ ﻛﺮدن ﻧﻮر آﻧﻬﺎ ﺑﻮد‪.‬‬
‫وﻟﻲ آرام آرام اﺳﺘﻔﺎده از ﻟﻴﺰر ﺑﻪ ﺟﺎي ﻻﻣﭗ ﺟﻴﻮه ﺟﺎي ﺧﻮد را در ﺻﻨﻌﺖ ﺑﺎز ﻧﻤﻮد‪ .‬اﺳﺘﻔﺎده از ﻟﻴﺰر‬
‫ﻣﺰاﻳﺎي دﻳﮕﺮي را ﻫﻢ ﺑﻪ دﻧﺒﺎل داﺷﺖ ﻛﻪ ﻫﻤﺎﻧﺎ ﻧﻮر ﻛﺎﻣﻼً ﻣﻮازي و ﻳﻜﻨﻮاﺧﺖ و ﻋﺎري ﺑﻮدن از ﭘﺮاش‬
‫از آن دﺳﺘﻪاﻧﺪ‪ .‬ﻫﺮ ﭼﻨﺪ ﭘﻴﺶﺑﻴﻨﻲ ﻣﻲﺷﺪ ﻛﻪ ﻟﻴﺰر ﻓﻠﻮﺋﻮر وارد ﺻﻨﻌﺖ ﻟﻴﺘﻮﮔﺮاﻓﻲ ﮔﺮدد‪ ،‬وﻟﻲ ﺗﻘﺮﻳﺒﺎً‬
‫ﻫﻤﻪ ﻣﻮاد اﻳﻦ ﻃﻮل ﻣﻮجﻫﺎ را ﺟﺬب ﻣﻲﻛﻨﻨﺪ و ﻧﻤﻲﺗﻮان ﻗﺴﻤﺖ ﺷﻔﺎف ﻣﺎﺳﻚ را ﺑﺮاي اﻳﻦ ﻃﻮل ﻣﻮج‬
‫ﺳﺎﺧﺖ‪ .‬ﻃﻮل ﻣﻮج ‪ 193‬ﻧﺎﻧﻮﻣﺘﺮ و ﻟﻴﺰر آرﮔﻮن ﻓﻠﻮﺋﻮراﻳﺪ آﺧﺮﻳﻦ ﻣﻨﺒﻊ ﺗﺎﺑﺶ ﺑﺮاي ﻟﻴﺘﻮﮔﺮاﻓﻲ ﻧﻮري‬
‫ﺗﺎﻛﻨﻮن اﺳﺖ‪.‬‬

‫ﻣﻔﺎﻫﻴﻢ و روشﻫﺎي ﺟﺪﻳﺪ در ﻟﻴﺘﻮﮔﺮاﻓﻲ‬ ‫‪-8-10‬‬


‫از اواﺳﻂ دﻫﻪ ‪ 70‬ﻣﻴﻼدي‪ ،‬ﻣﺤﺪودﻳﺖﻫﺎي ﻟﻴﺘﻮﮔﺮاﻓﻲ ﻧﻮري ﺑﺮاي اﻫﻞ ﻓﻦ آﺷﻜﺎر ﺷﺪه ﺑﻮد و ﻳﻚ ﺑﺎور‬
‫ﻋﻤﻮﻣﻲ وﺟﻮد داﺷﺖ ﻛﻪ ﺣﺪ ﭘﺎﻳﻴﻦ دﻗﺖ ﻗﺎﺑﻞ دﺳﺘﺮﺳﻲ ﺑﺎ اﻳﻦ ﭘﺮوﺳﻪ ﺣﺪود ‪ 1‬ﻣﻴﻜﺮوﻣﺘﺮ ﺧﻮاﻫﺪ‬
‫ﺑﻮد‪ .‬از اﻳﻦ رو ﺗﺤﻘﻴﻘﺎت ﺑﺮاي ﻳﺎﻓﺘﻦ روشﻫﺎي ﺟﺎﻳﮕﺰﻳﻦ ﺑﺮاي ﻟﻴﺘﻮﮔﺮاﻓﻲ ﻧﻮري از ﻫﻤﺎن زﻣﺎن آﻏﺎز‬
‫ﺷﺪ‪ .‬ﻫﺮ ﭼﻨﺪ دﻗﺖ ﻟﻴﺘﻮﮔﺮاﻓﻲ ﻧﻮري ﺑﺎ ﺗﻜﻨﻴﻚﻫﺎي ﺑﻴﺎن ﺷﺪه در ﻓﺼﻞ ﻗﺒﻞ ﺑﻪ ﻣﺮور ﭘﻴﺸﺮﻓﺖ ﻛﺮد‬

‫‪366‬‬
‫وﻟﻲ ﻧﺘﺎﻳﺞ اﻳﻦ ﺗﺤﻘﻴﻘﺎت ﺑﻌﻀﺎً ﺑﻪ اﻧﻮاع ﻟﻴﺘﻮﮔﺮاﻓﻲ ﺑﺎ دﻗﺖﻫﺎي ﺑﺴﻴﺎر ﺑﺎﻻ ﻣﻨﺠﺮ ﺷﺪ ﻛﻪ ﺗﻌﺪادي از‬
‫آنﻫﺎ را در ﻓﺼﻞ ﺑﻌﺪ ﻣﻮرد ﻣﻄﺎﻟﻌﻪ ﻗﺮار ﺧﻮاﻫﻴﻢ داد‪ .‬دﻗﺖ ﻟﻴﺘﻮﮔﺮاﻓﻲ ﻧﻮري ﺗﺎ زﻣﺎن اﻳﻦ ﻧﻮﺷﺘﻪ ‪45‬‬
‫ﻧﺎﻧﻮﻣﺘﺮ اﺳﺖ ‪ 1‬و ﺑﺎزدﻫﻲ آن ﺣﺪود ‪ 150‬وﻳﻔﺮ ﺑﺮ ﺳﺎﻋﺖ اﺳﺖ‪ .‬ﺑﻪ ﻋﻨﻮان ﻣﺜﺎل ﭘﺮوﺳﺴﻮرﻫﺎي ﺗﺠﺎري‬
‫ﺷﺮﻛﺖ اﻳﻨﺘﻞ ﻣﺎﻧﻨﺪ ‪ E8400 Core2 Duo‬ﺑﺎ ﺗﻜﻨﻮﻟﻮژي ‪ 45‬ﻧﺎﻧﻮﻣﺘﺮ و ﻟﻴﺘﻮﮔﺮاﻓﻲ ﻧﻮري ﺗﻮﻟﻴﺪ ﻣﻲﺷﻮﻧﺪ ‪.‬‬

‫ﻟﻴﺘﻮﮔﺮاﻓﻲ ﺑﻪ ﻛﻤﻚ ‪X-Ray‬‬ ‫‪- 1- 8- 10‬‬

‫ﻟﻴﺘﻮﮔﺮاﻓﻲ ﺑﻪ ﻛﻤﻚ ‪ X-Ray‬را ﻣﻲﺗﻮان ﮔﺴﺘﺮش ﻳﺎﻓﺘﻪ ﻟﻴﺘﻮﮔﺮاﻓﻲ ﻧﻮري داﻧﺴﺖ ﻛﻪ از ﻓﻮﺗﻮنﻫﺎﻳﻲ ﺑﺎ‬
‫ﻃﻮل ﻣﻮج ‪ 12‬ﺗﺎ ‪ 14‬آﻧﮕﺴﺘﺮوم ﺟﻬﺖ اﻧﺘﻘﺎل اﻧﺮژي ﺑﻪ رزﻳﺴﺖ اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ .‬ﺷﺮوع اﺳﺘﻔﺎده از‬
‫اﻳﻦ روش از اواﻳﻞ دﻫﻪ ‪ 80‬ﻣﻴﻼدي آﻏﺎز ﺷﺪه و ﮔﺴﺘﺮش آن ﺗﺎ ﺑﻪ اﻣﺮوز اداﻣﻪ دارد‪ .‬اوﻟﻴﻦ ﻣﺎﻧﻊ ﺑﺮ‬
‫ﺳﺮ راه اﻳﻦ روش اﻧﺘﻘﺎل اﻧﺮژي ‪ X-Ray‬ﺑﻪ رزﻳﺴﺖ ﺟﻬﺖ ﺗﻐﻴﻴﺮ وﺿﻌﻴﺖ آن اﺳﺖ‪ ،‬زﻳﺮا اﻳﻦ ﻃﻮل‬
‫ﻣﻮجﻫﺎ ﺗﻘﺮﻳﺒﺎً از ﻫﻤﻪ ﻣﻮاد ﻣﻲﮔﺬرﻧﺪ و اﻧﺮژي ﻛﻤﻲ ﺑﻪ ﻣﺤﻴﻂ اﻧﺘﻘﺎل ﻣﻲدﻫﻨﺪ‪ .‬در ﺣﺎل ﺣﺎﺿﺮ ﻳﻚ‬
‫ﭘﻠﻴﻤﺮ ﺧﺎص ﺑﻪ )‪ Poly- Methyl Meta Acrylate(PMMA‬در ﭘﺮوﺳﻪ ﻟﻴﺘﻮﮔﺮاﻓﻲ ‪ X-Ray‬اﺳﺘﻔﺎده ﻣﻲ‪-‬‬
‫ﺷﻮد‪ .‬ﻣﺎﻧﻊ دوم ﺑﺮ ﺳﺮ راه ‪ X-Ray‬ﺳﺎﺧﺖ ﻣﺎﺳﻚ ﺑﺮاي اﻳﻦ ﻃﻮل ﻣﻮج اﺳﺖ و ﻣﻮاد ﻛﻤﻲ اﻳﻦ ﻃﻮل‬
‫ﻣﻮج را ﺑﻪ ﺧﻮد ﺟﺬب ﻣﻲﻛﻨﻨﺪ‪ .‬ﻣﺎﺳﻚﻫﺎي ‪ X-Ray‬ﻓﻌﻠﻲ از ﺗﺮﻛﻴﺐ ﻳﻚ اﺗﻢ ﻳﺎ ﻣﻮﻟﻜﻮل ﻛﺮﻳﺴﺘﺎﻟﻲ‬
‫ﻛﻮﭼﻚ؛ ﻣﺎﻧﻨﺪ ﺑﺮﻳﻠﻴﻮم )‪ ،(Br‬ﺑﻮرون ﻧﺎﻳﺘﺮاﻳﺪ )‪ (BN‬و ﺳﻴﻠﻴﺴﻴﻮم‪ ،‬ﺑﻪ ﺿﺨﺎﻣﺖ ‪ 200‬ﻣﻴﻜﺮون ﺑﻪ ﻋﻨﻮان‬
‫ﺑﺪﻧﻪ ﻣﺎﺳﻚ و ﻗﺴﻤﺖ ﺷﻔﺎف آن‪ ،‬و ﻳﻚ اﺗﻢ ﺑﺰرگ و ﺳﻨﮕﻴﻦ‪ ،‬ﻣﺎﻧﻨﺪ ﻃﻼ ﻳﺎ ﺗﻨﮕﺴﺘﻦ‪ ،‬ﺑﻪ ﺿﺨﺎﻣﺖ ‪5‬‬
‫ﻣﻴﻜﺮون ﺑﻪ ﻋﻨﻮان ﺑﺨﺶ ﺗﻴﺮه و ﺟﺎذب ﻣﺎﺳﻚ اﺳﺘﻔﺎده ﻣﻲﺷﻮﻧﺪ‪ .‬ﻣﺎﺳﻚ ﺑﺎرﻳﻚ و ﺷﻜﻨﻨﺪه از دﻳﮕﺮ‬
‫ﻣﻌﺎﻳﺐ اﻳﻦ روش اﺳﺖ‪ .‬ﻫﻤﭽﻨﻴﻦ ﺑﺮاي ﻣﻨﻌﻜﺲ ﻛﺮدن اﻳﻦ اﺷﻌﻪ ﻣﻲﺗﻮان از ﻟﻨﺰﻫﺎي ﻣﻌﻤﻮﻟﻲ اﺳﺘﻔﺎده‬
‫ﻛﺮد‪ .‬ﺑﺎزدﻫﻲ ﻟﻴﺘﻮﮔﺮاﻓﻲ ‪ X-Ray‬ﻣﻌﺎدل ﺑﺎ ﻟﻴﺘﻮﮔﺮاﻓﻲ ﻧﻮري ﺑﻮده و ﺑﻬﺘﺮﻳﻦ دﻗﺖ دﺳﺖ ﻳﺎﻓﺘﻪ ﺷﺪه و‬
‫ﻣﻮرد اﺳﺘﻔﺎده ﺗﺠﺎري ﺑﺎ اﻳﻦ روش ‪ 30‬ﻧﺎﻧﻮﻣﺘﺮ ﻣﻲﺑﺎﺷﺪ ‪.‬‬
‫ﻻزم ﺑﻪ ذﻛﺮ اﺳﺖ ﭼﻮن ﻃﻮل ﻣﻮج ﭘﺮﺗﻮ ‪ X-RAY‬زﻳﺮ ‪ 10nm‬اﺳﺖ ﭘﺪﻳﺪه ﭘﺮاش را ﻧﺨﻮاﻫﻴﻢ داﺷﺖ‬
‫ﻫﻤﭽﻨﻴﻦ ﻫﻤﺎﻧﻨﺪ روش ‪EUV‬و ‪ Ebeam‬در اﺛﺮ ﺑﺮﺧﻮرد ﭘﺮﺗﻮ ﺑﺎ وﻳﻔﺮ ‪ Secondary electron‬ﺳﺎﻃﻊ‬
‫ﻣﻲﮔﺮدد‪.‬‬

‫‪1‬ﻻزم ﺑﻪ ذﻛﺮ اﺳﺖ ﻛﻪ ﺷﺮﻛﺖ اﻳﻨﺘﻞ اوﻟﻴﻦ ﭘﺮدازﻧﺪه ﺧﻮد ﺑﺎ دﻗﺖ ‪ 32‬ﻧﺎﻧﻮﻣﺘﺮ را در ﻧﻤﺎﻳﺸﮕﺎه ﺳﺒﻴﺖ‬
‫‪ 2009‬ﺑﻪ ﻧﻤﺎﻳﺶ ﻋﻤﻮم در آورد‪.‬‬
‫‪367‬‬
‫در اﻳﻦ روش ﺑﺮ ﺧﻼف ‪ EUV‬از آﻳﻨﻪﻫﺎي ﻛﺎﻫﻨﺪه اﺳﺘﻔﺎده ﻧﻤﻲ ﻛﻨﻴ ﻢ ﻛﻪ اﻳﻦ ﻳﻜﻲ از ﻣﻌﺎﻳﺐ ‪ Xray‬اﺳﺖ‪.‬‬

‫ﻟﻴﺘﻮﮔﺮاﻓﻲ ﺑﻪ ﻛﻤﻚ ‪Extreme UV‬‬ ‫‪- 2- 8- 10‬‬

‫ﻟﻴﺘﻮﮔﺮاﻓﻲ ﺑﻪ ﻛﻤﻚ ‪ UV‬ﺷﺪﻳﺪ )‪ (EUVL‬ﺟﺪﻳﺪﺗﺮﻳﻦ ﻣﺘﺪ در ﺣﺎل ﺗﺤﻘﻴﻖ ﺑﺮاي اﺳﺘﻔﺎده ﺗﺠﺎري در‬
‫آﻳﻨﺪه اﺳﺖ‪ .‬اﻳﻦ ﻧﻮع ﻟﻴﺘﻮﮔﺮاﻓ ﻲ ﻫﻢ از ﻓﻮﺗﻮنﻫﺎي ﺑﺎ ﻃﻮل ﻣﻮج ‪ 13.4‬ﻧﺎﻧﻮﻣﺘﺮ اﺳﺘﻔﺎده ﻣﻲﻛﻨﺪ و ﺑﻪ‬
‫ﻧﻮﻋﻲ ﻫﻢﺧﺎﻧﻮاده ﻟﻴﺘﻮﮔﺮاﻓﻲ ﻧﻮري اﺳﺖ‪.‬‬
‫ﺑﺮاي ﺗﻮﻟﻴﺪ ﻳﻚ ﻣﻨﺒﻊ ﻧﻮر ‪ EUV‬ﺑﺎﻳﺪ از ﻳﻚ ﭘﻼﺳﻤﺎ داغ از ﻣﻮادي ﻣﺜﻞ ‪ Xe, Sn‬اﺳﺘﻔﺎده ﻛﺮد ‪.‬‬
‫اﮔﺮ در ﻳﻚ اﺗﻢ ﻳﻚ اﻟﻜﺘﺮون ﺑﻪ اﻧﺪازهاي اﻧﺮژي ﺑﮕﻴﺮد ﻛﻪ از ﻣﺪار ﺧﻮد ﺧﺎرج ﺑﻪ ﻳﻚ ﺳﻄﺢ اﻧﺮژي‬
‫ﺑﺎﻻﺗﺮ رود ﻣﻘﺪاري اﻧﺮژي ﺑﻪ ﺻﻮرت ﻧﻮر آزاد ﻣﻲﻛﻨﺪ ‪.‬اﻳﻦ ﻧﻮر ﻫﻤﺎن ‪ UV‬اﺳﺖ ‪.‬‬
‫اﻳﻦ ﻣﺤﻴﻂ ﭘﻼﺳﻤﺎ از ﻃﺮﻳﻖ ﻳﻚ دﺷﺎرژ اﻟﻜﺘﺮﻳﻜﻲ و ﻳﺎ از ﻃﺮﻳﻖ ﮔﺮم ﺷﺪن ﺗﻮﺳﻂ ﻳﻚ ﻟﻴﺰر ﺑﻪ وﺟﻮد‬

‫ﻣﻲآﻳﺪ‪.‬‬

‫ﻧﻜﺘﻪ ﻗﺎﺑﻞ ﺗﻮﺟﻪ در اﻳﻦ اﺳﺖ ﻛﻪ ﺑﺮﺧﻼف روش ‪ X-Ray‬در اﻳﻦ ﺟﺎ ﻫﻤﻪ ﻣﻮاد اﻳﻦ ﻃﻮل ﻣﻮج را ﺟﺬب‬
‫ﻣﻲﻛﻨﻨﺪ ﭘﺲ در ﻗﺴﻤﺖ ﻣﺎﺳﻚ ﻣﺎ دﭼﺎر اﺷﻜﺎل ﻣﻲﺷﻮﻳﻢ ﺑﻪ ﻫﻤﻴﻦ ﺧﺎﻃﺮ ﻣﺎ ﺑﺮاي ﺗﻮﻟﻴﺪ ﻳﻚ ﻣﺎﺳﻚ‬
‫روش زﻳﺮ را در ﭘﻴﺶ ﻣﻲﮔﻴﺮﻳﻢ ‪.‬‬
‫ﺳﻄﺢ ﻣﺎﺳﻚ را از ﻳﻚ ﻻﻳﻪ از اﺗﻢﻫﺎﻳﻲ ﻣﺜﻞ ﻣﻮﻟﻴﺒﺪﻧﻮم و ﺳﻴﻠﻴﻜﻮن ﻣﻲﭘﻮﺷﺎﻧﻴ ﻢ ﻛﻪ در اﻳﻦ ﺣﺎﻟﺖ‬
‫ﻣﺎﺳﻚ ﻣﺎ رﻓﺘﺎر ﻳﻚ آﻳﻨﻪ را ﺧﻮاﻫﺪ داﺷﺖ‪.‬‬
‫ﻫﻤﭽﻨﻴﻦ در ﺑﺨﺶ ‪ optic‬ﺗﻮﺟﻪ داﺷﺘﻪ ﺑﺎﺷﻴﻢ ﻛﻪ اﻳﻦ آﻳﻨﻪﻫﺎ ﻣﻘﺪاري از اﻧﺮژي ﭘﺮﺗﻮ را ﺟﺬب ﻣﻲﻛﻨﺪ‬
‫ﻫﻤﭽﻨﻴﻦ ﻫﻤﺎﻧﻄﻮر ﻛﻪ ﮔﻔﺘﻪ ﺷﺪ ﻫﺮ ﻣﺎدهاي اﻳﻦ ﭘﺮﺗﻮ را ﺟﺬب ﻣﻲﻛﻨﺪ ﭘﺲ ﻣﺤﻴﻂ اﻳﻦ ﻓﺮاﻳﻨﺪ ﺑﺎﻳﺪ‬
‫ﻛﺎﻣﻼً در ﻳﻚ ‪ vacuum‬ﺑﺎﺷﺪ ﺑﻪ ﻫﻤﻴﻦ ﺧﺎﻃﺮ در داﺧﻞ ﻛﺮدن و ﺧﺎرج ﻛﺮدن وﻳﻔﺮ داراي ﻣﺤﺪودﻳﺖ‬
‫ﻫﺴﺘﻴﻢ ﻛﻪ اﻳﻦ ﻛﺎر ﺑﻪ ﺷﺪت ﺑﺎزده را ﻛﺎﻫﺶ ﻣﻲدﻫﺪ ‪.‬‬
‫ﻫﻨﮕﺎﻣﻲ ﻛﻪ ﭘﺮﺗﻮ ‪UV‬ﺑﻪ ﺳﻄﺢ ﻓﺘﻮرزﻳﺴﺖ ﻣﻲ رﺳﺪ ﻓﺘﻮرزﻳﺴﺖ اﻧﺮژي ﭘﺮﺗﻮ را ﺟﺬب ﻣﻲﻛﻨﺪ واﺗﻢ‬
‫ﻫﺎي ﺳﻄﺢ را ﻳﻮﻧﻴﺰه ﻣﻲﻛﻨﺪ و ‪ secondary electrons‬ﺗﻮﻟﻴﺪ ﻣﻲﮔﺮدد‪ .‬ﺗﺨﻤﻴﻦ زده ﻣﻲﺷﻮد ﺑﻪ ازاي‬
‫ﻫﺮ ﻓﻮﺗﻮن ‪ secondary electron 4 , uv‬ﺗﻮﻟﻴﺪ ﻣﻲ ﮔﺮدد‪.‬اﻳﻦ اﻟﻜﺘﺮونﻫﺎ در ﺣﺪ ﭼﻨﺪ ده ‪ eV‬اﻧﺮژي‬
‫داﺷﺘﻪ و ﺗﺎ ﭼﻨﺪ ده ‪ nm‬ﺑﻪ داﺧﻞ ﻧﻔﻮذ ﻣﻲﻛﻨﻨﺪ ‪.‬‬

‫‪368‬‬
‫‪ - 3- 8- 10‬ﻟﻴﺘﻮﮔﺮاﻓﻲ ﺑﻪ ﻛﻤﻚ اﺷﻌﻪ اﻟﻜﺘﺮوﻧﻲ ‪1‬‬

‫ﻟﻴﺘﻮﮔﺮاﻓﻲ ﺑﻪ ﻛﻤﻚ اﺷﻌﻪ اﻟﻜﺘﺮوﻧﻲ در ﺣﺎل ﺣﺎﺿﺮ دﻗﻴﻘﺘﺮﻳﻦ دﻗﺖ ﻗﺎﺑﻞ دﺳﺘﻴﺎﺑﻲ ﻏﻴﺮ ﺗﺤﻘﻴﻘﺎﺗﻲ را‬
‫ﺑﺮاي ﺻﻨﻌﺖ ﻓﺮاﻫﻢ ﻛﺮده اﺳﺖ ‪ .‬در اﻳﻦ روش ﺑﺎرﻳﻜﻪاي از اﻟﻜﺘﺮونﻫﺎ ﻣﺴﺘﻘﻴﻤﺎً و ﺑﺪون ﺣﻀﻮر ﻣﺎﺳﻚ‬
‫ﺑﻪ ﺳﻄﺢ رزﻳﺴﺖ ﻣﻲﺗﺎﺑﻨﺪ‪ .‬ﺑﺎ ﺧﺎﻣﻮش و روﺷﻦ ﻛﺮدن ﺑﺎرﻳﻜﻪ اﻟﻜﺘﺮوﻧﻲ و ﺟﺎروب ﻛﺮدن )‪ (Scan‬ﻛﻞ‬
‫ﺳﻄﺢ وﻳﻔﺮ‪ ،‬ﻣﻲﺗﻮان اﻟﮕﻮي ﻣﻮرد ﻧﻈﺮ را ﻣﺴﺘﻘﻴﻤﺎً و ﺑﺪون ﻣﺎﺳﻚ ﺑﻪ رزﻳﺴﺖ اﻧﺘﻘﺎل داد‪ .‬رزﻳﺴﺖ‬
‫ﻣﻮرد اﺳﺘﻔﺎده در اﻳﻦ روش ‪ PMMA‬و رزﻳﺴﺖﻫﺎي ﻏﻴﺮ ارﮔﺎﻧﻴﻚ ﻫﺴﺘﻨﺪ‪ .‬ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ ﺑﺎزدﻫﻲ ﻛﻢ‬
‫اﻳﻦ روش ) ﺑﻴﻦ ‪ 1‬ﺗﺎ ‪ 2‬وﻳﻔﺮ ﺑﺮ ﺳﺎﻋﺖ( و دﻗﺖ ﺑﺎﻻ ‪ ،‬از آن در ﻛﺎرﻫﺎي آزﻣﺎﻳﺸﮕﺎﻫﻲ و ﻳﺎ ﺳﺎﺧﺖ‬
‫ﻣﺎﺳﻚ اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ .‬ﻟﻨﺰﻫﺎي اﻟﻜﺘﺮواﺳﺘﺎﺗﻴﻜﻲ و اﻟﻜﺘﺮوﻣﻐﻨﺎﻃﻴﺴﻲ ﺑﻪ ﺟﺎي ﻟﻨﺰﻫﺎي اﭘﺘﻴﻜﻲ ﺑﺮاي‬
‫ﻣﻨﻌﻜﺲ ﻛﺮدن و ﻳﺎ ﻣﺘﻤﺮﻛﺰ ﻛﺮدن ﺑﺎرﻳﻜﻪ اﺳﺘﻔﺎده ﻣﻲﺷﻮﻧﺪ ‪.‬‬
‫ﻋﺎﻣﻞ اﺻﻠﻲ ﻣﺤﺪود ﻛﻨﻨﺪه دﻗﺖ در اﻳﻦ روش اﻧﺤﺮاف اﻟﻜﺘﺮونﻫﺎ ﺑﻌﺪ از ورود ﺑﻪ رزﻳﺴﺖ اﺳﺖ ﻛﻪ‬
‫اﻧﺮژي را ﺑﻪ ﻗﺴﻤﺖﻫﺎي ﻏﻴﺮ دﻟﺨﻮاه در رزﻳﺴﺖ ﻣﻨﺘﻘﻞ ﻣﻲﻛﻨﻨﺪ‪ .‬دﻗﺖ اﻳﻦ روش ﺑﺎ اﺳﺘﻔﺎده از‬
‫رزﻳﺴﺖﻫﺎي ﻣﻌﻤﻮﻟﻲ ﺗﺎ ‪ 50‬ﻧﺎﻧﻮﻣﺘﺮ و ﺑﺎ اﺳﺘﻔﺎده از رزﻳﺴﺖﻫﺎي ﻏﻴﺮ ارﮔﺎﻧﻴﻚ زﻳﺮ ‪ 10‬ﻧﺎﻧﻮﻣﺘﺮ اﺳﺖ ‪.‬‬

‫ﺷﻜﻞ ‪ 64‬ﭘﺮاﻛﻨﺪﮔﻲ اﻟﻜﺘﺮونﻫﺎ ﺑﺎﻋﺚ ﻣﺤﺪود ﺷﺪن دﻗﺖ در ﻓﺮآﻳﻨﺪ ‪ EBL‬ﻣﻲﺷﻮد‪.‬‬

‫‪1 E-Beam Lithography‬‬


‫‪369‬‬
‫ﺷﻜﻞ ‪65‬ﻟﻴﺘﻮ ﮔﺮاﻓﻲ ﺑﻪ روش ﭘﺮﺗﻮ اﻟﻜﺘﺮوﻧﻲ‬

‫ﻣﻘﺪار اﻧﺮژي ﻛﻪ ﺑﻪ اﺗﻢﻫﺎ در رزﻳﺴﺖ ﻣﻨﺘﻘﻞ ﻣﻲ ﺷﻮد از راﺑﻄﻪ زﻳﺮ ﺑﻪ دﺳﺖ ﻣﻲآﻳﺪ ‪.‬‬
‫‪ଵ‬‬
‫‪ ଶ‬ݒ݉ × = ܧ‬
‫‪ଶ‬‬
‫ﻣﻨﺒﻊ ﺗﻮﻟﻴﺪ اﻟﻜﺘﺮونﻫﺎ در اﻳﻦ روش ﺑﻪ دو ﺻﻮرت اﺳﺖ ‪:‬‬
‫‪- 1‬اﮔﺮ رزوﻟﻮﺷﻦ ﭘﺎﺋﻴﻦ ﺑﺨﻮاﻫﻴﻢ از ﻣﻨﺎﺑﻊ ‪ thermosonic‬اﺳﺘﻔﺎده ﻣﻲﻛﻨﻴﻢ ﻛﻪ ﻋﻤﻮﻣ ﺎً از ‪Lab6‬‬
‫اﺳﺘﻔﺎده‬
‫ﻣﻲﺷﻮد ‪.‬‬
‫‪- 2‬اﮔﺮ رزوﻟﻮﺷﻦ ﺑﺎﻻﺗﺮي ﺑﺨﻮاﻫﻴﻢ در ﻣﻨﺒﻊ از ﻣﻨﺎﺑﻊ ‪ field electron emission‬اﺳﺘﻔﺎده‬
‫ﻣﻲﻛﻨﻴﻢ‪.‬ﻛﻪ ﻋﻤﻮﻣﺎً از ‪ W/ZnO2‬ﮔﺮم اﺳﺘﻔﺎده ﻣﻲ ﻛﻨﻴﻢ‪.‬‬
‫ﻣﻴﻨﻴﻤﻢ زﻣﺎﻧﻲ را ﻛﻪ ﻻزم دارﻳﻢ ﺗﺎ ﻳﻚ ﺳﻄﺢ ﻣﺸﺨﺺ را ﺑﺎ ﻳﻚ ﻣﻘﺪار ‪ Dose‬ﻣﻌﻴﻦ ﻟﻴﺘﻮﮔﺮاﻓﻲ ﻛﻨﻴﻢ‬
‫از راﺑﻄﻪ زﻳﺮ ﺑﻪ دﺳﺖ ﻣﻲآﻳﺪ‪.‬‬

‫‪D×A=T×I‬‬

‫‪370‬‬
‫‪ - 4- 8- 10‬ﻟﻴﺘﻮﮔﺮاﻓﻲ ﺑﻪ ﻛﻤﻚ اﺷﻌﻪ ﻳﻮﻧﻲ ‪1‬‬

‫اﺻﻮل و اﻳﺪهﻫﺎي ﻛﻠﻲ اﻳﻦ روش ﻫﻤﺎﻧﻨﺪ ‪ EBL‬اﺳﺖ و اﻳﻦ ﺑﺎر ) ‪ H+, He+‬ﻳﺎ ‪ ( Ar+‬ﻣﻼء اﻧﺘﻘﺎل اﻧﺮژي ﺑﻪ‬
‫رزﻳﺴﺖ ﻫﺴﺘﻨﺪ‪ .‬ﻣﻲﺗﻮان ﻳﻮنﻫﺎ را ﻧﻴﺰ ﻣﺎﻧﻨﺪ اﻟﻜﺘﺮونﻫﺎ ﺑﻪ ﺻﻮرت ﻳﻚ ﺑﺎرﻳﻜﻪ در آورد و ﺑﺎ ﺧﺎﻣﻮش‬
‫و روﺷﻦ ﻛﺮدن ﺑﺎرﻳﻜﻪ و ﺟﺎروب ﻛﺮدن ﺳﻄﺢ اﻟﮕﻮ را ﺑﻪ وﻳﻔﺮ اﻧﺘﻘﺎل داد)‪.(Focused Ion Beam, FIB‬‬
‫ﻳﺎ اﻳﻨﻜﻪ ﺳﻄﺢ وﺳﻴﻌﻲ را ﻣﻮرد ﺗﺎﺑﺶ ﻗﺮار داد و از ﻣﺎﺳﻚ اﺳﺘﻔﺎده ﻛﺮد‪ .‬ﺑﻪ ﻋﻠﺖ ﺳﻨﮕﻴﻦﺗﺮ ﺑﻮدن‬
‫ﻳﻮنﻫﺎ از اﻟﻜﺘﺮونﻫﺎ اﺛﺮ ﭘﺮاﻛﻨﺪﮔﻲ ﻛﻤﺘﺮ اﺗﻔﺎق ﻣﻲاﻓﺘﺪ و دﻗﺖ از اﻳﻦ ﻟﺤﺎظ ﻛﻤﺘﺮ ﻣﺤﺪود ﻣﻲﺷﻮد‪.‬‬
‫ﻫﻤﭽﻨﻴﻦ اﻧﺮژي راﺣﺖﺗﺮ ﺑﻪ رزﻳﺴﺖ ﻣﻨﺘﻘﻞ ﻣﻲﺷﻮد و ﻇﻬﻮر آن از ‪ X-Ray‬و ‪ EBL‬راﺣﺖﺗﺮ اﺳﺖ‪.‬‬
‫دﻗﺖ اﻳﻦ روش ﺗﺎ ‪ 20‬ﻧﺎﻧﻮﻣﺘﺮ و ﺑﺎزدﻫﻲ آن ﻣﻌﺎدل روش ‪ EBL‬اﺳﺖ‪.‬‬

‫ﺷﻜﻞ ‪ 66‬ﻟﻴﺘﻮﮔﺮاﻓﻲ ﺑﻪ ﻛﻤﻚ ﭘﺮﺗﻮ ﻳﻮﻧﻲ‬

‫‪1 Ion Beam Lithography‬‬


‫‪371‬‬
‫ﻟﻴﺘﻮﮔﺮاﻓﻲ ﺑﻪ روش ‪Nano-Imprint‬‬ ‫‪- 5- 8- 10‬‬

‫ﺗﻜﻨﻴﻚ ﺟﺎﻟﺐ‪ ،‬داراي دﻗﺖ ﺑﺎﻻ و ارزان ﻗﻴﻤﺖ دﻳﮕﺮ ﻟﻴﺘﻮﮔﺮاﻓﻲ ‪ Nano-Imprint‬اﺳﺖ‪ .‬در اﻳﻦ ﻧﻮع‬
‫ﻟﻴﺘﻮﮔﺮاﻓﻲ ﻫﻢ ﻳﻚ ﻗﺎﻟﺐ ﻓﻠﺰي ﺣﺎوي اﻟﮕﻮي ﻣﻮرد ﻧﻈﺮ ﻣﺎ اﻳﺠﺎد ﻣﻲﺷﻮد‪ .‬ﺳﭙﺲ روي وﻳﻔﺮ را ﺑﺎ ﻳﻚ‬
‫ﻻﻳﻪ ‪ SAM‬ﻣﻲﭘﻮﺷﺎﻧﻨﺪ و ﻗﺎﻟﺐ را روي آن ﻓﺸﺎر ﻣﻲدﻫﻨﺪ‪ .‬در اداﻣﻪ ﺑﺎ ﮔﺮم ﻛﺮدن ﻗﺎﻟﺐ‪ ،‬ﻋﻮارض آن‬
‫ﺑﻪ داﺧﻞ ﻻﻳﻪ ‪ SAM‬ﻧﻔﻮذ ﻛﺮده و اﻟﮕﻮي ﻣﻮرد ﻧﻈﺮ ﻣﺎ را روي ‪ SAM‬ﺣﻚ ﻣﻲﻛﻨﻨﺪ‪ .‬ﺑﺎ وﺟﻮد ﺳﺎدﮔﻲ‪،‬‬
‫اﻳﻦ روش از ﺑﺎزدﻫﻲ ﺧﻮﺑﻲ ﺑﺮﺧﻮردار اﺳﺖ )ﺣﺪود ‪ 20‬وﻳﻔﺮ ﺑﺮ ﺳﺎﻋﺖ( و ﻛﻤﺘﺮﻳﻦ رزوﻟﻮﺷﻦ اﻳﺠﺎد‪-‬‬
‫ﺷﺪه ﺑﺎ آن ‪ 10‬ﻧﺎﻧﻮﻣﺘﺮ ﺑﻮده اﺳﺖ‪ .‬اوﻟﻴﻦ ﻣﺤﺼﻮل ﺗﺠﺎري داراي ﺗﻜﻨﻮﻟﻮژي ‪ 22‬ﻧﺎﻧﻮﻣﺘﺮ‪ ،‬ﻛﻪ از اﻧﻮاع‬
‫ﺣﺎﻓﻈﻪ ﻋﻤﻮدي )‪ (Perpendicular Memory‬اﺳﺖ و ﺑﺎ ﺷﻜﻞدﻫﻲ ﻓﻠﺰ ﻛﺮوم ﺑﺪﺳﺖ ﻣﻲآﻳﺪ‪ ،‬ﺑﻪ‬
‫وﺳﻴﻠﻪ اﻳﻦ ﻧﻮع ﻟﻴﺘﻮﮔﺮاﻓﻲ و ﺗﻮﺳﻂ ﺷﺮﻛﺖ ﺗﻮﺷﻴﺒﺎ وارد ﺑﺎزار ﺷﺪه اﺳﺖ‪.‬‬

‫ﺷﻜﻞ ‪ 67‬ﻟﻴﺘﻮﮔﺮاﻓﻲ ﺑﻪ روش ‪Nano- imprint‬‬

‫‪Soft lithography‬‬ ‫‪- 6- 8- 10‬‬


‫ﺑﻪ اﻳﻦ دﻟﻴﻞ ﺑﻪ اﻳﻦ روش ‪ soft‬ﮔﻔﺘﻪ ﻣﻲ ﺷﻮد ﭼﻮن از ﻣﻮادي ﻣﺜﻞ ‪elastomer‬ﻫﺎ اﺳﺘﻔﺎده ﻣﻲ ﻛﻨﻨﺪ‪.‬از‬
‫ﻣﺰاﻳﺎي اﻳﻦ روش ﻣﻲﺗﻮان ﺑﻪ ﻫﺰﻳﻨﻪ ﺑﺴﻴﺎر ﭘﺎﻳﻴﻦ اﺷﺎره ﻛﺮد‪.‬‬
‫در اﻳﻦ روش ﻋﻤﻮﻣﺎً از ﻣﻮادي ﺑﻪ ﻧﺎم ‪ PDMS‬اﺷﺎره ﻛﺮد ﻛﻪ ﻧﻮع ﺗﺠﺎري آن ‪ sylgard184‬اﺳﺖ ‪.‬اﻳﻦ‬
‫ﻣﺎده داراي ﺧﺼﻮﺻﻴﺎت ﻓﻴﺰﻳﻜﻲ ﺑﺴﻴﺎر ﺧﻮب ﺑﻮده و داراي ﭘﺎﻳﺪاري ﺣﺮارﺗﻲ ﺑﺎﻻ ﻫﺴﺘﻨﺪ ‪.‬اﮔﺮ اﻳﻦ‬
‫‪372‬‬
‫ﻣﺎده در داﺧﻞ ﻳﻚ ﻣﺤﻠﻮل ﻣﺜﻞ اﺗﺎﻧﻮل ﻗﺮار ﮔﻴﺮد و اﻳﻦ ﻣﺤﻠﻮل داراي ﻣﻮادي ﻣﺜﻞ ‪ ODT‬ﺑﺎﺷﺪ اﻳﻦ‬
‫ﻣﻮاد ﺑﻪ ﺳﻄﺢ ‪ PDMS‬ﻣﻲﭼﺴﺒﺪ و ﭼﻮن ‪ PDMS‬داراي اﻧﺮژي ﺳﻄﺤﻲ ﭘﺎﻳﻴﻨﻲ ﻫﺴﺘﻨﺪ ‪ ODT‬ﻫﺎ ﺑﻪ‬
‫راﺣﺘﻲ از ﺳﻄﺢ ﺟﺪا ﻣﻲ ﺷﻮﻧﺪ ‪.‬‬

‫‪-9-10‬ﻧﮕﺎﻫﻲ ﻛﻠﻲ ﺑﻪ ﻧﺎﻧﻮ ﻟﻴﺘﻮﮔﺮاﻓﻲ و ﻣﻮاﻧﻊ ﭘﻴﺶ روي آن‬


‫ﺻﻨﻌﺖ اﻟﻜﺘﺮوﻧﻴﻚ ﺑﻪ ﻃﻮر ﺟﺪي ﺑﻪ دﻧﺒﺎل ﭘﻴﺎده ﻛﺮدن روشﻫﺎي ﺟﺪﻳﺪ ﺳﺎﺧﺖ ﺳﻴﺴﺘﻢﻫﺎي‬

‫ﻧﺎﻧﻮﻣﺘﺮي اﺳﺖ ﺗﺎ ﺑﺘﻮاﻧﺪ ﺑﻪ روﻧﺪ ﺳﺎﺧﺘﻦ اﺑﺰارﻫﺎي ﻛﻮﭼﻚﺗﺮ‪ ،‬ﺳﺮﻳﻊﺗﺮ و ارزانﺗﺮ اداﻣﻪ دﻫﺪ‪ .‬ﻃﺒﻴﻌﻲ‬

‫اﺳﺖ ﻛﻪ در ﻗﺪم اول ﺑﺎﻳﺪ ﺗﻼش ﻛﻨﻴﻢ ﺗﺎ روشﻫﺎي ﻣﻮﺟﻮد ﺑﺮاي ﻣﻴﻜﺮ و اﻟﻜﺘﺮوﻧﻴﻚ را ﺑﻪ ﻧﺎﻧﻮ‬

‫اﻟﻜﺘﺮوﻧﻴﻚ ﺗﻌﻤﻴﻢ دﻫﻴﻢ‪ .‬اﻣﺎ ﻫﻤﺎنﻃﻮر ﻛﻪ ﻣﻲداﻧﻴﻢ‪ ،‬اﺳﺘﻔﺎده از روش ﻣﻌﻤﻮلِ ﻓﻮﺗﻮﻟﻴﺘﻮﮔﺮاﻓﻲ در‬

‫اﺑﻌﺎد رﻳﺰ ﺗﺮ‪ ،‬ﺑﺴﻴﺎر دﺷﻮارﺗﺮ اﺳﺖ‪ .‬ﺑﻪ ﻫﻤﻴﻦ ﻋﻠﺖ‪ ،‬ﺗﻮﻟﻴﺪﻛﻨﻨﺪﮔﺎن ﻗﻄﻌﺎت راﻳﺎﻧﻪ ﺑﻪ دﻧﺒﺎل‬

‫ﻓﻨﺎوريﻫﺎي ﺟﺎﻳﮕﺰﻳﻦ ﺑﺮاي ﺳﺎﺧﺖ ﻧﺎﻧﻮﭼﻴﭗﻫﺎ در آﻳﻨﺪه ﻫﺴﺘﻨﺪ ‪.‬‬

‫ﻟﻴﺘﻮﮔﺮاﻓﻲ ﭘﺮﺗﻮ اﻟﻜﺘﺮوﻧﻲ‪ ،‬ﻳﻜﻲ از ﺟﺎﻳﮕﺰﻳﻦﻫﺎي ﭘﻴﺶ رو اﺳﺖ‪ .‬در اﻳﻦ روش ﻫﻤﺎﻧﻄﻮر ﻛﻪ ﭘﻴﺶﺗﺮ‬

‫ﺑﻴﺎن ﺷﺪ‪ ،‬ﻃﺮح ﻣﺪار ﺑﺎ اﺳﺘﻔﺎده از ﭘﺮﺗﻮ اﻟﻜﺘﺮون روي ﻻﻳﻪ ﻧﺎزﻛﻲ از ﭘﻠﻴﻤﺮ ﻧﻮﺷﺘﻪ ﻣﻲﺷﻮد‪ .‬ﭘﺮﺗﻮ‬

‫اﻟﻜﺘﺮون در اﺑﻌﺎد اﺗﻤﻲ دﭼﺎر ﭘﺮاش ﻧﻤﻲﺷﻮد‪ ،‬ﺑﻨﺎﺑﺮاﻳﻦ ﻟﺒﻪﻫﺎي ﻃﺮح دﻳﮕﺮ ﻧﺎﺧﻮاﻧﺎ ﻧﻴﺴﺘﻨﺪ‪ .‬ﻣﺤﻘﻘﺎن‬

‫از اﻳﻦ روش ﺑﺮاي ﺗﺮﺳﻴﻢ ﺧﻄﻮﻃﻲ ﺑﺎ ﭘﻬﻨﺎي ﭼﻨﺪ ﻧﺎﻧﻮﻣﺘﺮ روي ﺳﻄﺢ ﺳﻴﻠﻴﻜﻮن آﻏﺸﺘﻪ ﺑﻪ‬

‫ﻓﻮﺗﻮرِزﻳﺴﺖ اﺳﺘﻔﺎده ﻛﺮدهاﻧﺪ‪ .‬ﺑﺎ اﻳﻦ ﺣﺎل‪ ،‬اﺑﺰارﻫﺎي ﭘﺮﺗﻮ اﻟﻜﺘﺮوﻧﻲ ﻛﻪ اﻣﺮوزه وﺟﻮد دارﻧﺪ‪ ،‬ﺑﺮاي‬

‫ﺗﻮﻟﻴﺪ اﻧﺒﻮه در ﺻﻨﻌﺖ ﻣﻨﺎﺳﺐ ﻧﻴﺴﺘﻨﺪ ‪ .‬زﻳﺮا اﻳﻦ روش ﻛُﻨﺪ اﺳﺖ ؛ ﻛﺎري ﺷﺒﻴﻪ ﻧﺴﺨﻪﺑﺮداري از روي‬

‫ﻳﻚ ﻧﻮﺷﺘﻪ ﺑﺎ دﺳﺖ‪.‬‬

‫اﮔﺮ اﻟﻜﺘﺮونﻫﺎ ﺟﻮاﺑﮕﻮ ﻧﻴﺴﺘﻨﺪ‪ ،‬ﭘﺲ ﭼﻪ ﺑﺎﻳﺪ ﻛﺮد؟ ﻳﻚ ﺟﻮاب دﻳﮕﺮ‪ ،‬اﺳﺘﻔﺎده از اﺷﻌﻪ اﻳﻜﺲ ﺑﺎ ﻃﻮل‬

‫ﻣﻮﺟﻲ ﺑﻴﻦ ‪ 0/1‬ﺗﺎ ‪ 10‬ﻧﺎﻧﻮﻣﺘﺮ ﻳﺎ ﻧﻮر ﻓﺮاﺑﻨﻔﺶ ﺑﺎ ﻃﻮل ﻣﻮج ﺑﻴﻦ ‪ 10‬ﺗﺎ ‪ 70‬ﻧﺎﻧﻮﻣﺘﺮ اﺳﺖ‪ .‬ﻛﻮﭼﻚﺗﺮ ﺑﻮدن‬

‫ﻃﻮل ﻣﻮج اﻳﻦ ﻧﻮرﻫﺎ از ﻃﻮل ﻣﻮج ﻧﻮر ﻓﺮاﺑﻨﻔﺶ ﻛﻪ اﻳﻨﻚ در ﻓﻮﺗﻮ ﻟﻴﺘﻮﮔﺮاﻓﻲ اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ ،‬ﺗﺄﺛﻴﺮ‬

‫‪373‬‬
‫ﭘﺮاش را ﻛﻤﺘﺮ ﻣﻲﻛﻨﺪ ‪ .‬ﺑﺎ اﻳﻦ ﺣﺎل‪ ،‬اﻳﻦ ﻓﻨﺎوريﻫﺎ ﻫﻢ ﻣﺸﻜﻼت ﺧﺎص ﺧﻮدﺷﺎن را دارﻧﺪ‪ .‬ﻋﺪﺳﻲﻫﺎي‬

‫ﻣﻌﻤﻮﻟﻲ ﻧﻮر در ﺑﺮاﺑﺮ ﭘﺮﺗﻮي ﻓﺮاﺑﻨﻔﺶ دﻳﮕﺮ ﺷﻔﺎف ﻧﻴﺴﺘﻨﺪ و اﺷﻌﻪ اﻳﻜﺲ را ﻣﺘﻤﺮﻛﺰ ﻧﻤﻲﻛﻨﻨﺪ‪ .‬در‬

‫ﻋﻴﻦ ﺣﺎل‪ ،‬اﻧﺮژي زﻳﺎ د‪ ‬اﻳﻦ ﭘﺮﺗﻮﻫﺎ ﺑﻪ ﺳﺮﻋﺖ ﺑﻪ ﻣﻮاد ﺗﺸﻜ ﻴﻞدﻫﻨﺪه ﻣﺎﺳﻚ و ﻋﺪﺳﻲﻫﺎ آﺳﻴﺐ‬

‫ﻣﻲرﺳﺎﻧﺪ‪ .‬اﻣﺎ ﺻﻨﻌﺖ ﻣﻴﻜﺮ و اﻟﻜﺘﺮوﻧﻴﻚ‪ ،‬ﺗﺮﺟﻴﺢ ﻣﻲدﻫﺪ از ﺗﻌﻤﻴﻢ روشﻫﺎي ﻣﻮﺟﻮد ﺑﺮاي ﺗﻮﻟﻴﺪ‬

‫ﻧﺎﻧﻮﭼﻴﭗﻫﺎ اﺳﺘﻔﺎده ﻛﻨﺪ‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ‪ ،‬اﻳﻦ روشﻫﺎ ﺑﻪ ﻃﻮر ﺟﺪي در ﺣﺎل ﺗﻮﺳﻌﻪاﻧﺪ‪ .‬ﺑﻌﻀﻲ از اﻳﻦ‬

‫روشﻫﺎ ﻣﺜ ﻼً اﺳﺘﻔﺎده از ﻓﻮﺗﻮﻟﻴﺘﻮﮔﺮاﻓﻲ ﭘﻴﺸﺮﻓﺘ ﻪ ﻓﺮاﺑﻨﻔﺶ ﺑﺮاي ﺳﺎﺧﺖ ﻣﺪار ﻣﺠﺘﻤﻊ ﻣﻤﻜﻦ اﺳﺖ‬

‫ﺑﻪ روشﻫﺎي ﭘﺮروﻧﻖ ﺗﺠﺎري ﺗﺒﺪﻳﻞ ﺷﻮﻧﺪ‪ .‬ﺑﺎ اﻳﻦ ﺣﺎل‪ ،‬ﺑﺎ اﻳﻦ روشﻫﺎ ﻧﺎﻧﻮﭼﻴﭗﻫﺎي ارزان ﺳﺎﺧﺘﻪ‬

‫ﻧﻤﻲﺷﻮﻧﺪ و ﻧﻤﻲﺗﻮان ﻧﺎﻧﻮﻓﻨﺎوري را در دﺳﺘﺮس ﺗﻌﺪاد ﺑﻴﺸﺘﺮي از ﻣﻬﻨﺪﺳﺎن و داﻧﺸﻤﻨﺪان ﻗﺮار‬

‫داد‪.‬ﺑﻨﺎ ﺑﻪ دﻳﺪﮔﺎه ﺷﺨﺼﻲ ﺧﻮد اﺣﺴﺎس ﻣﻲ ﻛﻨﻢ ﻧﻴﺎز ﺑﻪ وﻗﻮع ﻳﻚ ﺟﻬﺶ در داﻧﺶ ﻟﻴﺘﻮﮔﺮاﻓﻲ ﺑﺮاي‬

‫ﺳﺎﺧﺖ ادوات ﺑﺎ ﻗﻴﻤﺖ ﺗﺠﺎري ﻗﺎﺑﻞ رﻗﺎﺑﺖ ﺑﺎ روشﻫﺎي ﻧﻮﻳﻦ ﻟﻴﺘﻮﮔﺮاﻓﻲ وﺟﻮد دارد‪.‬ﺑﻪ ﻫﺮ ﻧﺤﻮ ﺷﺎﻳﺪ‬

‫ﻫﻤﻴﻦ ﺗﻌﻠﻞ اﻳﻨﺘﻞ در اراﺋﻪ ﭘﺮدازﻧﺪهﻫﺎي ‪ 32‬ﻧﺎﻧﻮﻣﺘﺮي دﻟﻴﻠﻲ ﺑﺮ ﺑﻪ ﺑﻦ ﺑﺴﺖ رﺳﻴﺪن ﺳﻴﺮ ﺻﻌﻮدي‬

‫ﻗﺎﻧﻮن ﻣﻮر ﺑﺎﺷﺪ !‬

‫ﻣﻨﺎﺑﻊ ‪:‬‬

‫‪Micro Chip Fabrication , Peter Van Zant - 1‬‬

‫‪Analog Integrated Circuit Design ,Behzad Razavi - 2‬‬

‫‪www.wikipedia.org- 3‬‬

‫‪www.google.com- 4‬‬

‫‪ - 5‬ﺟﺰوه درﺳﻲ دﻛﺘﺮ ﺑﺎﺑﺎﻳﻲ‬

‫‪374‬‬
‫ﻓﺼﻞ ‪Metallization -11‬‬

‫‪ -1-11‬ﻣﻘﺪﻣﻪ‬

‫‪ - 1- 1- 11‬ﻣﺮور ﻛﻠﻲ ﻓﺮآﻳﻨﺪ ﺳﺎﺧﺖ از آﻏﺎز ﺗﺎ ﻛﻨﻮن‬

‫در ﺣﺎﻟﺖ ﻛﻠﻲ ﻓﺮآﻳﻨﺪ ﺳﺎﺧﺖ وﺳﺎﻳﻞ و ﻗﻄﻌﺎت اﻟﻜﺘﺮوﻧﻴ ﻜﻲ ﺑﻪ دو ﺑﺨﺶ ﻛﻠﻲ ﺗﻘﺴﻴﻢ ﺑﻨﺪي‬
‫ﻣﻲﮔﺮدد‪.‬در ﺑﺨﺶ اول ﻛﻪ ﺷﺎﻣﻞ ﻓﺮآﻳﻨﺪﻫﺎﻳﻲ ﭼﻮن ‪ Oxidation, Etching, Lithography‬و ﻏﻴﺮه اﺳﺖ‬
‫ﻛﻪ از آﻏﺎز ﺗﺎﻛﻨﻮن ﺑﺮ روي وﻳﻔﺮ ﺻﻮرت ﭘﺬﻳﺮﻓﺘﻪ اﺳﺖ‪ ،‬وﺳﻴﻠﻪ اﻟﻜﺘﺮوﻧﻴﻜﻲ ﻣﻮرد ﻧﻈﺮ ﺳﺎﺧﺘﺎر‬
‫ﻣﻨﺎﺳﺐ را اﺧﺬ ﻧﻤﻮده اﺳﺖ‪ .‬اﻳﻦ ﻣﺮﺣﻠﻪ از ﺗﻮﻟﻴﺪ‪ Front End of Line (FEOL) ،‬ﻧﺎم دارد‪.‬‬
‫در ﺑﺨﺶ دوم ﻛﻪ ﺑﻪ ‪ Back End of Line‬ﺷﻬﺮت دارد‪ ،‬ﻗﻄﻌﺎت و ادوات ﻣﺨﺘﻠﻒ و ﻳﺎ ﺑﺨﺶﻫﺎي ﻣﺨﺘﻠﻒ‬
‫ﻳﻚ ﻗﻄﻌﻪ ﺑﺎﻳﺪ ﺑﻪ وﺳﻴﻠﻪ ﻣﻮاد داراي ﻗﺎﺑﻠﻴﺖ ﻫﺪاﻳﺖ ﺑﻪ ﻳﻜﺪﻳﮕﺮ وﺻﻞ ﮔﺮدﻧﺪ ‪.‬‬
‫اﻳﻦ ﺑﺨﺶ‪ ،‬ﻣﻮﺿﻮع اﺻﻠﻲ در ﺑﺤﺚ ﻓﻠﺰ ﻧﮕﺎري اﺳﺖ و در اﻳﻦ اراﺋﻪ ﺳﻌﻲ ﺑﺮ آن اﺳﺖ ﻛﻪ ﻓﺮآﻳﻨﺪ ﻓﻠﺰ‬
‫ﻧﮕﺎري ﺑﻪ ﺗﻔﺼﻴﻞ ﺑﺮرﺳﻲ ﮔﺮدد‪.‬‬

‫‪-2-1-11‬ﻓﻠﺰ ﻧﮕﺎري در ﻣﻌﻨﺎي ﻋﺎم ‪:‬‬


‫در ﻣﻌﻨﺎي ﻋﺎم‪ ،‬ﻓﻠﺰ ﻧﮕﺎري ﻧﺎم ﺗﻜﻨﻴﻜﻲ ﺟﻬﺖ ﭘﻮﺷﺎﻧﺪن ﻓﻠﺰ ﺑﺮ ﻫﺮ ﺳﻄﺢ ﻏﻴﺮ ﻓﻠﺰي اﺳﺖ‪ .‬ﻫﺮ ﺳﻄﺢ‬
‫ﻏﻴﺮ ﻓﻠﺰي‪ ،‬از ﻧﻈﺮ ﻫﺪاﻳﺖ اﻟﻜﺘﺮﻳﻜﻲ داراي ﺗﻮاﻧﺎﻳﻲ ﭘﺎﻳﻴﻨﻲ اﺳﺖ‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ‪ ،‬ﭘﻮﺷﺎﻧﺪن ﺳﻄﻮح ﻏﻴﺮ‬
‫ﻓﻠﺰي ﺑﺎ ﻓﻠﺰ‪ ،‬ﻗﺎﺑﻠﻴﺖ ﻫﺪاﻳﺖ اﻟﻜﺘﺮﻳﻜﻲ آﻧﺎن را ﺑﺎﻻ ﻣﻲ ﺑﺮد‪.‬‬
‫آﻏﺎز ﺑﻪ ﻛﺎر ﮔﻴﺮي ﻓﻠﺰ ﻧﮕﺎري‪ ،‬ﻫﻢزﻣﺎن ﺑﺎ ﺳﺎﺧﺖ اوﻟﻴﻦ آﻳﻴﻨﻪ در ﺳﺎل ‪ 1835‬ﺗﻮﺳﻂ ﻓﺮدي ﺑﻪ ﻧﺎم‬
‫‪ Justus von Liebig‬ﺑﻮد ﻛﻪ ﺗﻜﻨﻴﻚ ﭘﻮﺷﺎﻧﺪن آﻳﻴﻨﻪ ﺗﻮﺳﻂ ﻓﻠﺰ ﻧﻘﺮه را ﻛﺸﻒ ﻛﺮد‪ .‬اﻳﻦ روش ﺷﻴﺸﻪ‬
‫آﻳﻴﻨﻪ را ﺑﻪ ﺻﻮرت ﺳﻄﺤﻲ ﺑﺎ ﻗﺎﺑﻠﻴﺖ اﻧﻌﻜﺎس ﻧﻮر درﻣﻲ آورد ‪.‬‬

‫‪ - 3- 1- 11‬ﻓﻠﺰ ﻧﮕﺎري در اﻟﻜﺘﺮوﻧﻴﻚ ‪:‬‬

‫ﻣﺘﺎﻟﻴﺰﻳﺸﻦ ﻳﺎ ﻓﻠﺰ ﻧﮕﺎري ﻳﻜﻲ از ﻣﺮاﺣﻞ ‪ Fabrication‬اﺳﺖ ﻛﻪ در آن ﺑﻴﻦ ﻗﻄﻌﺎت ﺳﺎﺧﺘﻪ ﺷﺪه ﺑﻪ‬
‫ﻣﻨﻈﻮر ﺗﺸﻜﻴﻞ ﻣﺪار و اﺗﺼﺎل اﻟﻜﺘﺮﻳﻜﻲ ﻣﻨﺎﺳﺐ ﺑﻪ وﺳﻴﻠﻪ ﺳﻴﻢﻫﺎي ﻣﻴﻜﺮوﺳﻜﻮﭘﻲ ارﺗﺒﺎط ﺑﺮﻗﺮار‬

‫‪375‬‬
‫ﻣﻲﺷﻮد‪ .‬ﻫﻤﭽﻨﻴﻦ ارﺗﺒﺎط ﻣﺪار ﺑﺎ دﻧﻴﺎي ﺧﺎرج ﺗﻨﻬﺎ از ﻃﺮﻳﻖ ﻓﻠﺰ ﻧﮕﺎري ﻣﻤﻜﻦ ﻣﻲ ﺑﺎﺷﺪ ‪.‬ﺳﻮﻣﻴﻦ‬
‫ﻛﺎرﺑﺮد ﻓﻠﺰ ﻧﮕﺎري در زﻣﻴﻨﻪ ﺳﺎﺧﺖ ﻓﻴﻮزﻫﺎ در ﻣﺪارات ﺑﻪ ﺧﺼﻮص در‬
‫ﺧﺎﻧﻪﻫﺎي ﺣﺎﻓﻈﻪ ﻣﻲ ﺑﺎﺷﺪ ﻛﻪ در اﻳﻦ ﻣﻮرد در ﺑﺨﺶﻫﺎي ﺑﻌﺪ ﺻﺤﺒﺖ ﺧﻮاﻫﺪ ﮔﺮدﻳﺪ‪.‬‬
‫در ﺣﺎﻟﺖ ﻛﻠﻲ ﻓﻠ ﺰ ﻧﮕﺎري ﺑﻪ دو ﺻﻮرت اﻧﺠﺎم ﻣﻲﭘﺬﻳﺮد ‪:‬‬
‫اﻟﻒ( ‪ : Single level metallization‬ﻓﻠﺰ ﻧﮕﺎري ﺑﻪ ﺻﻮرت ﺗﻚ ﺳﻄﺤﻲ‬
‫ب ( ‪ : Multi level metallization‬ﻓﻠﺰ ﻧﮕﺎري ﺑﻪ ﺻﻮرت ﭼﻨﺪ ﺳﻄﺤﻲ‬
‫در ﻫﺮدو ﻣﻮرد ﺑﻪ ﺗﻔﺼﻴﻞ در ﺑﺨﺶﻫﺎي ﺑﻌﺪ ﺻﺤﺒﺖ ﺧﻮاﻫﺪ ﮔﺮدﻳﺪ ‪.‬‬
‫‪Single level metallization_3‬‬

‫ﻓﻠﺰ ﻧﮕﺎري ﺗﻚ ﺳﻄﺤﻲ ﺷﺎﻣﻞ ﻧﺸﺎﻧﺪن ﻓﻠﺰ ﻣﻮرد ﻧﻈﺮ ﺑﺮ روي ﺳﻄﺢ وﻳﻔﺮ و اﻳﺠﺎد اﻟﮕﻮي ﻣﻮرد ﻧﻈﺮ‬
‫ﺟﻬﺖ ﺳﻴﻢ ﻛﺸﻲ ﺧﻮاﻫﺪ ﺑﻮد‪.‬‬
‫ﻣﺮاﺣﻞ ﻓﻠﺰ ﻧﮕﺎري ﺗﻚ ﺳﻄﺤﻲ ﺑﻪ ﺷﻜﻞ زﻳﺮ اﺳﺖ‪:‬‬
‫اﻳﺠﺎد ‪ pattern‬ﻣﺮﺑﻮط ﺑﻪ ‪ contact‬ﺟﻬﺖ اﻳﺠﺎد دﺳﺘﺮﺳﻲ ﺑﻪ ﻧﻮاﺣﻲ دوپ ﺷﺪه‬

‫ﭘﻮﺷﺎﻧﺪن ﺳﻄﺢ وﻳﻔﺮ ﺑﺎ ﻳﻚ ﻻﻳﻪ ﻧﺎزك از ﺟﻨﺲ ﻓﻠﺰ )‪ 10000‬ﺗﺎ ‪ 15000‬آﻧﮕﺴﺘﺮوم (ﺑﺎ اﺳﺘﻔﺎده از ﻳﻜﻲ‬
‫از ﺗﻜﻨﻴﻚﻫﺎي ﺗﺒﺨﻴﺮ در ﺧﻸ ﻳﺎ اﺳﭙﺎﺗﺮﻳﻨﮓ ﻳﺎ ‪CVD‬‬

‫‪376‬‬
‫ﺑﺮداﺷﺘﻦ ﺑﺨﺶﻫﺎي ﻧﺎﺧﻮاﺳﺘﻪ از ﻻﻳﻪ ﻓﻠﺰي ﺑﻮﺳﻴﻠﺔ ﻗﺮار دادن ﻣﺎﺳﻚ ﻧﻮري و ﺳﭙﺲ اﻧﺠﺎم ﻋﻤﻞ‬
‫زداﻳﺶ ﻛﻪ در اﻳﻦ ﻣﺮﺣﻠﻪ ﺑﻪ ﺧﻄﻮط ﻓﻠﺰي ﺑﺎﻗﻲﻣﺎﻧﺪه‬
‫‪ metal line ،interconnect‬و ﻳﺎ ‪ lead‬ﮔﻔﺘﻪ ﻣﻲ ﺷﻮد ‪.‬‬

‫اﻧﺠﺎم ﻋﻤﻞ ﺗﺮﻣﻴﻢ ﺣﺮارﺗﻲ ) ‪ ( heat –treatment‬ﻳﺎ ‪ : alloying‬ﺑﻪ دﻟﻴﻞ اﻃﻤﻴﻨﺎن از ﺑﺮﻗﺮاري اﺗﺼﺎل‬
‫اﻟﻜﺘﺮﻳﻜﻲ ﺧﻮب ﺑﻴﻦ ﻓﻠﺰ و ﺳﻄﺢ وﻳﻔﺮ ‪.‬‬

‫‪Multilevel metallization_4‬‬

‫ﺑﺎ ﻗﺮار دادن اﺟﺰاي ﺑﻴﺸﺘﺮي روي ﺳﻄﺢ وﻳﻔﺮ ﭼﮕﺎﻟﻲ ﭼﻴﭗ اﻓﺰاﻳﺶ ﻣﻲﻳﺎﺑﺪ ‪ ،‬ﻛﻪ در اﻳﻦ ﺣﺎل‬
‫ﻧﺎﺣﻴﻪﻫﺎي ﻗﺎﺑﻞ دﺳﺘﺮس ﺑﺮاي ﺳﻴﻢ ﺑﻨﺪي ﻧﻴﺰ ﻛﺎﻫﺶ ﻣﻲﻳﺎﺑﺪ‪ .‬ﺑﺮاي رﻓﻊ اﻳﻦ وﺿﻊ دﺷﻮار از ﺳﻄﻮح‬
‫ﭼﻨﺪ ﻻﻳﻪ اﺳﺘﻔﺎده ﻣﻲﻛﻨ ﻴﻢ ﻛﻪ در اﻳﻦ ﺣﺎﻟﺖ ﻳﻚ ﻻﻳﻪ از ﻣﺎده دي اﻟﻜﺘﺮﻳﻚ ﺑﻴﻦ ﺳﻄﻮح ﺳﻴﻢ ﺑﻨﺪي‬
‫ﻗﺮار داده ﻣﻲﺷﻮد‪ .‬اﻳﻦ ﻣﺎده دي اﻟﻜﺘﺮﻳﻚ ﻣﻌﻤﻮﻻً از ﺟﻨﺲ اﻛﺴﻴﺪ ﻳﺎ ﻧﻴﺘﺮﻳﺪ ﺳﻴﻠﻴﺴﻴﻮم اﻧﺘﺨﺎب‬
‫ﻣﻲﺷﻮد‪ .‬ﺑﻌﺪ از ﻫﺮ ﻣﺮﺣﻠﻪ ﻓﻠﺰ ﻧﺸﺎﻧﻲ‪ ،‬ﻻﻳﻪ دي اﻟﻜﺘﺮﻳﻚ ﻧﺸﺎﻧﺪه ﺷﺪه و ﺳﭙﺲ ﻻﻳﻪ ﻓﻠﺰي ﺑﻌﺪي ﻗﺮار‬
‫ﻧﺎﻣﻴﺪه ﻣﻲﺷﻮد‪،‬‬ ‫داده ﻣﻲﺷﻮد ‪ .‬ارﺗﺒﺎط اﻳﻦ دو ﻻﻳﻪ ﻓﻠﺰي ﺗﻮﺳﻂ اﻳﺠﺎد ﺣﻔﺮهﻫﺎﻳﻲ ﻛﻪ ‪via‬‬

‫ﺑﺮﻗﺮارﻣﻲﺷﻮد‪ .‬اﻳﻦ ﺣﻔﺮهﻫﺎ ﺑﺎ ﻓﻠﺰ ﻳﺎ ﻓﻠﺰاﺗﻲ ﻏﻴﺮ از ﻓﻠﺰات اﺳﺘﻔﺎده ﺷﺪه در ﻻﻳﻪﻫﺎي ﻓﻠﺰي‬
‫ﭘﺮﻣﻲﺷﻮﻧﺪ ‪ .‬ﺗﻌﺪاد ﻻﻳﻪﻫﺎ ﻣﻌﻤﻮﻻً از ‪ 2‬ﺗﺎ ‪ 6‬ﻻﻳﻪ ﻣﻲ ﺑﺎﺷﺪ‪ .‬ﺣﺴﻦ آن ﻗﺎﺑﻠﻴﺖ اﻧﻌﻄﺎف ﺑﻴﺸﺘﺮ در ﻃﺮاﺣﻲ‬
‫ﻣﺪار و ﻫﻤﭽﻨﻴﻦ اﺷﻐﺎل ﺳﻄﺢ ﻛﻤﺘﺮي از وﻳﻔﺮ ﻣﻲ ﺑﺎﺷﺪ‪.‬‬

‫ﺳﺎﺧﺘﺎر اﺳﺘﻚ در ﻓﻠﺰ ﻧﮕﺎري ﭼﻨﺪ ﺳﻄﺤﻲ‬

‫‪377‬‬
‫اﺳﺘﻚ )‪ (stack‬ﺑﻪ ﻣﺠﻤﻮﻋﻪ‬
‫ﻻﻳﻪ ﻓﻠﺰ ﻧﺸﺎﻧﺪه ﺷﺪه و ﻃﺮح داده ﺷﺪه )‪،(Leads‬‬
‫ﻻﻳﻪ ﻋﺎﻳﻖ ﺑﻪ ﻛﺎر رﻓﺘﻪ در ﻣﻴﺎن ﻻﻳﻪ ﻓﻠﺰ و ﺳﻄﺢ وﻳﻔﺮ‪،‬‬
‫ﺳﻮراخﻫﺎي اﻳﺠﺎد ﺷﺪه در ﻻﻳﻪ ﻋﺎﻳﻖ‪،‬‬
‫و‬
‫ﻻﻳﻪ ﻣﺎﻧﻊ ﻗﺮارﮔﺮﻓﺘﻪ ﻣﻴﺎن ﻻﻳﻪ ﻓﻠﺰي و ﺳﻄﺢ وﻳﻔﺮ‬
‫ﮔﻔﺘﻪ ﻣﻲ ﺷﻮد‪.‬‬

‫ﺳﺎﺧﺘﺎر اﺳﺘﻚ در ﻫﺮ ﻻﻳﻪ ﻓﻠﺰ ﻧﮕﺎري ﺗﻜﺮار ﻣﻲﮔﺮدد ‪.‬‬


‫)اﻟﺒﺘﻪ ﺑﺎﻳﺪ دﻗﺖ داﺷﺖ ﻛﻪ ﻻﻳﻪ ﻣﺎﻧﻊ ﺑﻪ ﻛﺎر رﻓﺘﻪ ﻣﻴﺎن ﻻﻳﻪ ﻓﻠﺰي و ﺳﻄﺢ وﻳﻔﺮ ﺗﻨﻬﺎ ﻣﺨﺼﻮص اوﻟﻴﻦ‬
‫ﻻﻳﻪ اﺳﺖ ﻛﻪ در ارﺗﺒﺎط ﺑﺎ ﺳﻄﺢ وﻳﻔﺮ ﻣﻲﺑﺎﺷﺪ‪ .‬اﻳﻦ ﻻﻳﻪ ﻣﺎﻧﻊ در اﺳﺘﻚ ﻫﺎي ﺑﻌﺪي ﺗﻜﺮار‬
‫ﻧﻤﻲﮔﺮدد‪(.‬‬

‫ﺟﻨﺲ و ﻓﻮاﻳﺪ ﻗﺮارﮔﻴﺮي ﻻﻳﻪ ﻣﺎﻧﻊ )‪: (Barrier layer‬‬


‫ﺟﻨﺲ ﻻﻳﻪ ﻣﺎﻧﻊ از ﺳﻴﻠﻴﺴﺎﻳﺪ ﻓﻠﺰات دﻳﺮﮔﺪازي ﻫﻤﭽﻮن ﺗﻴﺘﺎﻧﻴﻮم‪ ،‬ﺗﻨﮕﺴﺘﻦ‪ ،‬ﺗﺎﻧﺘﺎﻟﻮم و ﻳﺎ ﻣﻮﻟﻴﺒﺪن‬
‫ﻣﻲﺑﺎﺷﺪ ‪.‬‬
‫ﻣﻨﻈﻮر از ﺳﻴﻠﻴﺴﺎﻳﺪ‪ ،‬آﻟﻴﺎژ ﻓﻠﺰات ﻧﺎمﺑﺮده ﺷﺪه ﺑﺎ ﺳﻴﻠﻴﻜﻮن ﺳﻄﺢ وﻳﻔﺮ‬
‫ﻣﻲﺑﺎﺷﺪ ‪.‬‬

‫ﻓﺎﻳﺪه ﻗﺮارﮔﻴﺮي اﻳﻦ ﻻﻳﻪ ﺑﻪ ﺷﺮح زﻳﺮ اﺳﺖ ‪:‬‬


‫ﺟﻠﻮﮔﻴﺮي از آﻟﻴﺎژ آﻟﻮﻣﻴﻨﻴﻮم و ﺳﻴﻠﻴﻜﻮن ﺳﻄﺢ وﻳﻔﺮ )در ﺣﺎﻟﺘﻲ ﻛﻪ آﻟﻮﻣﻴﻨﻴﻮم ﻓﻠﺰ ﻫﺪاﻳﺖ ﻛﻨﻨﺪه‬
‫ﺑﺎﺷﺪ ‪(.‬‬
‫اﻳﺠﺎد ﻳﻚ ﻻﻳﻪ ﺑﻴﻦ ﻻﻳﻪ ﻓﻠﺰي و ﺳﻄﺢ وﻳﻔﺮ ﺑﺎ ﻗﺎﺑﻠﻴﺖ ﻫﺪاﻳﺖ ﺑﺎﻻ‬

‫ﺟﻨﺲ و ﻓﻮاﻳﺪ ﻗﺮارﮔﻴﺮي ﻻﻳﻪ ﻋﺎﻳﻖ ﻣﻴﺎن ﺳﻄﺢ وﻳﻔﺮ و ﻻﻳﻪ ﻓﻠﺰ ﻧﺸﺎﻧﺪه ﺷﺪه‪:‬‬
‫ﺟﻨﺲ ﻻﻳﻪ ﻋﺎﻳﻖ از اﻛﺴﻴﺪ ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﺷﺪه‪ ،‬ﺳﻴﻠ ﻴﻜﻮن ﻧﻴﺘﺮﻳﺪ و ﻳﺎ ‪ polymide film‬ﻣﻲﺑﺎﺷﺪ‪.‬‬
‫ﻧﺎمﻫﺎي اﻳﻦ ﻻﻳﻪ ﺑﻪ ﺷﺮح زﻳﺮ اﺳﺖ ‪:‬‬

‫‪378‬‬
‫) ‪Intermetallic dielectric layer (IDL‬‬
‫‪or‬‬
‫)‪Intermediate metal dielectric (IMD‬‬

‫ﻓﺎﻳﺪه ﻗﺮارﮔﻴﺮي اﻳﻦ ﻻﻳﻪ ﺑﻪ ﺷﺮح زﻳﺮ اﺳﺖ ‪:‬‬


‫ﻣﻤﺎﻧﻌﺖ از اﺗﺼﺎل اﻟﻜﺘﺮﻳﻜﻲ ﻻﻳﻪﻫﺎي ﻓﻠﺰي ﺑﻪ ﻳﻜﺪﻳﮕﺮ‬

‫ﺳﻮراخﻫﺎي اﻳﺠﺎد ﺷﺪه درون ﻻﻳﻪ ﻋﺎﻳﻖ )‪:(Vias‬‬

‫اﻳﻦ ﺳﻮراخﻫﺎ درون ﻻﻳﻪ ﻋﺎﻳﻖ اﻳﺠﺎد ﻣﻲﮔﺮدﻧﺪ و اﻣﻜﺎن ارﺗﺒﺎط دو ﻻﻳﻪ زﻳﺮﻳﻦ و ﻻﻳﻪ ﺑﺎﻻﻳﻲ را‬
‫ﻓﺮاﻫﻢ ﻣﻲ ﺳﺎزﻧﺪ ‪.‬‬
‫‪Via‬ﻫﺎ در ﻣﺮاﺣﻞ ﺑﻌﺪ ﺗﻮﺳﻂ ﻻﻳﻪ ﻓﻠﺰي ﻧﺸﺎﻧﺪه ﺷﺪه‪ ،‬ﭘﺮ ﻣﻲﮔﺮدﻧﺪ ‪.‬‬

‫ﻣﻘﺎﻳﺴﻪ ﻣﻴﺎن ﻓﻠﺰ ﻧﮕﺎري ﭼﻨﺪ ﺳﻄﺤﻲ و ﺗﻚ ﺳﻄﺤﻲ ‪:‬‬


‫‪Multilevel metal systems‬‬ ‫‪Single-level metal systems‬‬

‫‪Cost‬‬ ‫‪higher‬‬ ‫‪lower‬‬

‫‪Yield‬‬ ‫‪lower‬‬ ‫‪higher‬‬

‫ﻓﻠﺰ ﻧﮕﺎري ﭼﻨﺪ ﺳﻄﺤﻲ ﻧﻴﺎز ﺑ ﻪ ﺗﻮﺟﻪ ﺑﻴﺸﺘﺮي ﺟﻬﺖ ﻫﻤﺎﻫﻨﮓ ﺳﺎزي ﺳﻄﺢ وﻳﻔﺮ و‬
‫ﻻﻳﻪﻫﺎي ﻋﺎﻳﻖ ﻣﻴﺎﻧﻲ در راﺳﺘﺎي اﻳﺠﺎد اﻣﻜﺎن ﻫﺪاﻳﺖ اﻟﻜﺘﺮﻳﻜﻲ ﻣﻨﺎﺳﺐ دارد‪.‬‬

‫ﺧﺼﻮﺻﻴﺎت ﻓﻠﺰ ﻣﻮرد اﺳﺘﻔﺎده در ‪: metallization‬‬

‫ﺑﺮاي ﻧﺸﺎﻧﺪن ﻫﺎدي ﺳﻄﺤﻲ ﺑﺎﻳﺪ ﻧﻜﺎﺗﻲ را در ﻧﻈﺮ ﮔﺮﻓﺖ از آن ﺟﻤﻠﻪ ﺧﺼﻮﺻﻴﺎت ﻫﺎدي ﻣﻮرد‬
‫ﻧﻈﺮ ﻣﻲﺑﺎﺷﺪ ﻛ ﻪ وﻳﮋﮔﻲﻫﺎي ﻣﻄﻠﻮب ﺑﺮاي ﻓﻠﺰ ﻋﺒﺎرﺗﻨﺪ از ‪:‬‬
‫‪379‬‬
‫ﻗﺎﺑﻠﻴﺖ ﻋﺒﻮر ﺟﺮﻳﺎن ﻣﻨﺎﺳﺐ ‪ ،‬ﭼﮕﺎﻟﻲ ﺟﺮﻳﺎن اﺗﺼﺎﻻت ﻣﻌﻤﻮﻻً در ﺣﺪ ‪ 10 5 A / cm 2‬اﺳﺖ‬
‫ﭼﺴﺒﻨﺪﮔﻲ ﻣﻨﺎﺳﺐ ﺑﺎ ﺳﻄﺢ ﺑﺎﻻﻳﻲ وﻳﻔﺮ ﻣﻌﻤﻮﻻً ‪sio2‬‬

‫ﺷﻜﻞ ﭘﺬﻳﺮي آﺳﺎن‬


‫اﺗﺼﺎل اﻟﻜﺘﺮﻳﻜﻲ ﻣﻨﺎﺳﺐ ﺑﺎ ﻣﺎده وﻳﻔﺮ‬
‫ﺧﻠﻮص ﺑﺎﻻ‬
‫ﻣﻘﺎوﻣﺖ در ﺑﺮاﺑﺮ ﺧﻮردﮔﻲ و زﻧﮓزدﮔﻲ‬
‫ﭘﺎﻳﺪاري ﻃﻮﻻﻧﻲ‬

‫‪-2-11‬ﺑﺮرﺳﻲ ﺧﺼﻮﺻﻴﺎت ﭼﻨﺪ ﻫﺎدي‬


‫در اداﻣﻪ ﺑﻪ ﺑﺮرﺳﻲ ﺧﺼﻮﺻﻴﺎت ﭼﻨﺪ ﻓﻠﺰ ﻛﻪ ﺑﻴﺸﺘﺮ در ﻋﻤﻞ ﻓﻠﺰ ﻧﺸﺎﻧﻲ ﻛﺎرﺑﺮد دارﻧﺪ ﻣﻲﭘﺮدازﻳﻢ ‪:‬‬

‫‪ - 1- 2- 11‬ﻃﻼ‬

‫اوﻟﻴﻦ ﻓﻠﺰي ﻛﻪ در ﺳﺎﺧﺘﻤﺎن ادوات اﺳﺘﻔﺎده ﺷﺪه اﺳﺖ ﻃﻼ ﻣﻲ ﺑﺎﺷﺪ‪ .‬ﻃﻼ اﺗﺼﺎل اﻟﻜﺘﺮﻳﻜﻲ ﺿﻌﻴﻔﻲ‬
‫را ﺑﺎ ﺳﻴﻠﻴﻜﻮن ﺑﺮﻗﺮار ﻣﻲ ﻛﻨﺪ‪ .‬راه ﺣﻞ اﻳﻦ ﻣﺴﺌﻠﻪ اﺳﺘﻔﺎده از ﻻﻳﻪ ﭘﻼﺗﻴﻨﻴﻮم ﺑﻴﻦ ﻃﻼ و ﺳﻴﻠ ﻴﻜﻮن‬
‫ﻣﻲﺑﺎﺷﺪ‪ .‬ﭘﻼﺗﻴﻨﻴﻢ ﺑﻪ ﺷﻜﻞ ﭘﻼﺗﻴﻨﻴﻮم ﺳﻴﻠﻴﺴﺎﻳﺪ ﮔﺮم ﻣﻲﺷﻮد ﻛﻪ ﻻﻳﻪ ﻣﻴﺎﻧ ﻲ ﻣﻨﺎﺳﺐ را اﻳﺠﺎد‬
‫ﻣﻲﻛﻨﺪ و از ﻧﻔﻮذ ﻃﻼ در ﻗﻄﻌﻪ ﻧﻴﺰ ﺟﻠﻮﮔﻴﺮي ﻣﻲﻛﻨﺪ‪ .‬ﻃﻼ در ﺑﻴﺸﺘﺮ ﻗﻄﻌﺎت ﺳﻴﻠ ﻴﻜﻮﻧﻲ ﺑﺎﻋﺚ اﻳﺠﺎد‬
‫ﺟﺮﻳﺎنﻫﺎي ﻧﺸﺘﻲ ﻣﻲﺷﻮد ﻛﻪ ﻋﻤﻠﻜﺮد ﻗﻄﻌﻪ را ﻣﺨﺘﻞ ﻣﻲ ﺳﺎزد‪ .‬ﭘﻼﺗﻴﻨﻴﻢ ﺳﻴﻠﻴﺴﺎﻳﺪ ﻫﻤﭽﻨﻴﻦ ﺑﻪ‬
‫ﻋﻨﻮان ﻳﻚ ﻻﻳﻪ ﭼﺴﺒﻨﺪه ﺑﻜﺎر ﻣﻲرود‪ ،‬ﭼﺮا ﻛﻪ ﻃﻼ ﺑﻪ آﺳﺎﻧﻲ ﺑﻪ دي اﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻮن ﻧﻤﻲﭼﺴﺒﻨﺪ‪.‬‬
‫ﻣﺴﺌﻠﻪ دﻳﮕﺮ ﺑﺎ ﻃﻼ ﻧﺮﻣﻲ آن اﺳﺖ ‪ .‬ﺑﻪ ﻫﻤﻴﻦ ﺟﻬﺖ ﻃﻼ ﺑﺮاي ﺣﻔﺎﻇﺖ ﺑﻪ ﻳﻚ ﻻﻳﻪ ﻓﻮﻗﺎﻧﻲ از‬
‫ﻣﻮﻟﻴﺒﺪﻧﻴﻮم اﺣﺘﻴﺎج دارد‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ ﻳﻚ ﻻﻳﻪ ﻛﻠﻔﺖ ﻓﻠﺰي ﺧﻮاﻫﻴﻢ داﺷﺖ ﻛﻪ ﺷﺎﻣﻞ ﭘﻼﺗﻴﻦ – ﻃﻼ و‬
‫ﻣﻮﻟﻴﺒﺪﻧﻴﻮم اﺳﺖ ﻛﻪ ﺑﻪ اﻳﻦ ﻻﻳﻪ ﻓﻠﺰي ‪ sandwich‬ﻳﺎ ‪ stack‬ﮔﻔﺘﻪ ﻣﻲﺷﻮد ‪ stack .‬ﻳﻚ ﻻﻳﻪ ﺿﺨﻴﻢ‬
‫ﻓﻠﺰي ﺷﺎﻣﻞ دو ﻳﺎ ﺳﻪ ﻓﻠﺰ اﺳﺖ ﻛﻪ ﺑﺮاي ﻋﻤﻠﻜﺮد درﺳﺖ ﻣﺪار اﺣﺘﻴﺎج اﺳﺖ ‪.‬‬
‫ﻃﻼ ﻣﻌﻤﻮﻻً از ﻃﺮﻳﻖ ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﺑﻪ ﻛﻤﻚ روش ‪ Sputtering‬ﺑﺮ ﭘﺸﺖ ﺳﻄﺢ وﻳﻔﺮ ﻗﺮار ﻣﻲﮔﻴﺮد‪ .‬اﻳﻦ‬
‫ﻓﺮآﻳﻨﺪ ‪ Backside Plating‬ﻧﺎم دارد‪.‬‬

‫‪380‬‬
‫‪ - 2- 2- 11‬آﻟﻮﻣﻴﻨﻴﻮم‬

‫ﭘﻴﺶ از ﺗﻮﺳﻌﻪ ﻣﺪارات ‪ VLSI‬ﻧﺨﺴﺘﻴﻦ ﻣﺎده ﻓﻠﺰ ﻧﮕﺎري آﻟﻮﻣﻴﻨﻴﻮم ﺧﺎﻟﺺ ﺑﻮد‪ .‬اﻟﺒﺘﻪ ﻫﺪاﻳﺖ اﻟﻜﺘﺮﻳﻜﻲ‬
‫آﻟﻮﻣﻴﻨﻴﻮم ﻧﺴﺒﺖ ﺑﻪ ﻣﺲ و ﻃﻼ ﻛﻤﺘﺮ اﺳﺖ‪ .‬اﻣﺎ ﻧﺴﺒﺖ ﺑﻪ ﺳﺎﻳﺮ ﻓﻠﺰات ﺧﺼﻮﺻﻴﺎت ﺑﻬﺘﺮي دارد‪.‬‬
‫ﻣﻘﺎوﻣﺘﻲ ﺑﻪ اﻧﺪازه ﻛﺎﻓﻲ ﻛﻮﭼﻚ )‪ (2.7 µΩ·cm‬و ﭼﮕﺎﻟﻲ ﺣﺎﻣﻞﻫﺎي ﺟﺮﻳﺎن ﺧﻮﺑﻲ دارد‪ .‬ﭼﺴﺒﻨﺪﮔﻲ‬
‫ﺧﻮﺑﻲ ﺑﺎ دي اﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻮن دارد ﺑﺎ ﺧﻠﻮص ﺑﺎﻻ در دﺳﺘﺮس اﺳﺖ و ﺑﻄﻮرذاﺗﻲ ﻣﻘﺎوﻣﺖ اﺗﺼﺎل‬
‫ﭘﺎﻳﻴﻨﻲ ﺑﺎ ﺳﻴﻠﻴﻜﻮن دارد و در ﻓﺮاﻳﻨﺪﻫﺎي ﻓﺘﻮرزﻳﺴﺖ ﻧﺴﺒﺘﺎً ﺳﺎده اﻟﮕﻮ ﺑﺮداري ﻣﻲ ﺷﻮد‪.‬‬
‫اﻣﺎ ﺳﻪ اﻳﺮاد ﻋﻤﺪه در اﺳﺘﻔﺎده از آﻟﻮﻣﻴﻨﻴﻮم وﺟﻮد دارد ﻳﻜﻲ ﭘﺪﻳﺪه ﺷﻜﻞ ﮔﻴﺮي ﻧﻘﻄﻪ ﻳﻮ ﺗﻜﺘﻴﻚ‪،‬‬
‫دﻳﮕﺮي ﭘﺪﻳﺪه ‪ electro migration‬و ﺳﻮﻣﻴﻦ ﻣﺸﻜﻞ ﻣﻘﺎوﻣﺖ اﻟﻜﺘﺮﻳﻜﻲ ﺑﺎﻻﺗﺮ در ﻧﺎﺣﻴﻪ اﺗﺼﺎل ﺑﺎ‬
‫ﺳﻴﻠﻴﻜﻮن ﻧﺴﺒﺖ ﺑﻪ ﻃﻼ و ﻣﺲ ﻣﻲ ﺑﺎﺷﺪ ‪.‬‬

‫‪Eutectic - 3- 2- 11‬‬
‫ﺗﺸﻜﻴﻞ ﻳﻮﺗﻜﺘﻴﻚ ﺑﺪﻳﻦ ﻣﻌﻨﺎﺳﺖ ﻛﻪ دو ﻓﻠﺰي ﻛﻪ در ارﺗﺒﺎط ﺑﺎ ﻳﻜﺪﻳﮕﺮ ﻫﺴﺘﻨﺪ‪ ،‬در ﻫﻨﮕﺎم ﺣﺮارت‬
‫دﻳﺪن در درﺟﻪ ﺣﺮارﺗﻲ ﺑﻪ ﻣﺮاﺗﺐ ﭘﺎﻳﻴﻦﺗﺮ از ﻧﻘﻄﻪ ذوب ﻫﺮ ﻳﻚ در ﻫﻢ ﺣﻞ ﮔﺮدﻧﺪ و ﺗﺸﻜﻴﻞ آﻟﻴﺎژ‬
‫دﻫﻨﺪ‪ .‬ﺗﺸﻜﻴﻞ ﻳﻮﺗﻜﺘﻴﻚ در ﻣﺤﺪوده دﻣﺎﻳﻲ ﺧﺎﺻﻲ رخ ﻣﻲدﻫﺪ‪ .‬ﺑﺪﻳﻦ ﻣﻌﻨﺎ ﻛﻪ در دﻣﺎي ﺧﺎﺻﻲ اﻳﻦ‬
‫ﻓﺮآﻳﻨﺪ ﺷﺮوع و در دﻣﺎي دﻳﮕﺮي ﻛﺎﻣﻞ ﻣﻲﮔﺮدد‪.‬‬

‫ﻳﻮﺗﻜﺘﻴﻚ ﻣﺮﺑﻮط ﺑﻪ آﻟﻮﻣﻴﻨﻴﻮم و ﺳﻴﻠﻴﻜﻮن در دﻣﺎي ‪ 450‬درﺟﻪ ﺳﻠﺴﻴﻮس ﺷﺮوع و در ‪ 570‬درﺟﻪ‬


‫ﻛﺎﻣﻞ ﻣﻲﮔﺮدد‪ .‬اﻳﻦ ﻫﻤﺎن ﻣﺤﺪوده دﻣﺎﻳﻲ اﺳﺖ ﻛﻪ ﺟﻬﺖ اﻳﺠﺎد اﺗﺼﺎل اﻫﻤﻴﻚ ﻣﻨﺎﺳﺐ‪ ،‬ﺑﺎﻳﺪ دو‬
‫ﺳﻄﺢ ﺣﺮارت داده ﺷﻮﻧﺪ ‪ .‬رخ داد ﭼﻨﻴﻦ ﭘﺪﻳﺪهاي ‪ junction spiking‬ﻧﻴﺰ ﻧﺎم دارد و در ﺻﻮرت ﻛﻢ‬
‫ﻋﻤﻖ ﺑﻮدن ﻧﺎﺣﻴﻪ اﺗﺼﺎل ﺳﺒﺐ ﺧﺮاﺑﻲ ﻗﻄﻌﻪ ﻣﻲﮔﺮدد ‪.‬‬
‫اﺗﺼﺎل اﻫﻤﻴﻚ اﺗﺼﺎﻟﻲ اﺳﺖ ﻛﻪ در آن راﺑﻄﻪ ﺟﺮﻳﺎن و وﻟﺘﺎژ دو ﺳﻄﺢ در ﺣﺎل ﺗﻤﺎس از راﺑﻄﻪ اﻫﻢ‬
‫ﭘﻴﺮوي ﻛﻨﺪ ‪.‬‬
‫دو راه ﺣﻞ ﺑﺮاي اﻳﻦ ﻣﺴﺌﻠﻪ ﺑﻜﺎر ﮔﺮﻓﺘﻪ ﻣﻲ ﺷﻮد‪.‬‬
‫روش اول(اﺳﺘﻔﺎده از آﻟﻴﺎژ آﻟﻮﻣﻴﻨﻴﻮم_ﺳﻴﻠﻴﻜﻮن‬

‫‪381‬‬
‫راه ﺣﻞ اول‪ ،‬اﺳﺘﻔﺎده از آﻟﻴﺎژ آﻟﻮﻣﻴﻨﻴﻮم ﺑﺎ ‪ %1‬ﺗﺎ ‪ 2%‬ﺳﻴﻠﻴﻜﻮن ﻣﻲﺑﺎﺷﺪ‪ .‬در ﻃﻮل ﻣﺮﺣﻠﻪ ﮔﺮم‬
‫ﻛﺮدن اﺗﺼﺎل‪،‬آﻟﻮﻣﻴﻨﻴﻮم ﺑﻴﺸﺘﺮ ﺑﺎ ﺳﻴﻠ ﻴﻜﻮن ﻣﻮﺟﻮد در آﻟﻴﺎژ و ﻛﻤﺘﺮ ﺑﺎ ﺳﻴﻠﻴﻜﻮن ﻣﻮﺟﻮد در وﻳﻔﺮ‬
‫ﺗﻮﻟﻴﺪ آﻟﻴﺎژ‬
‫ﻣﻲﻛﻨﺪ ‪ .‬اﻳﻦ ﻓﺮاﻳﻨﺪ ﺻﺪ درﺻﺪ ﻣﻮﺛﺮ ﻧﻴﺴﺖ و ﻫﻤﻴﺸﻪ ﺑﻴﻦ آﻟﻮﻣﻴﻨﻴﻮم و وﻳﻔﺮ آﻟﻴﺎژﻫﺎﻳﻲ ﺑﻪ وﺟﻮد‬
‫ﻣﻲآﻳﺪ‪.‬‬

‫روش دوم(اﺳﺘﻔﺎده از آﻟﻴﺎژ ﺳﻴﻠ ﻴﻜﻮن ﺑﺎ ﻓﻠﺰات دﻳﺮﮔﺪاز )ﺗﺸﻜﻴﻞ ﺳﻴﻠﻴﺴﺎﻳﺪ (‬


‫راه ﺣﻞ دوم‪ ،‬اﺳﺘﻔﺎده از ﻳﻚ ﻻﻳﻪ ﻓﻠﺰي ﺑﻪ ﻋﻨﻮان ﺳﺪ )‪ (barrier metals‬ﻣﻲﺑﺎﺷﺪ ‪ ،‬ﻛﻪ آﻟﻮﻣﻴﻨﻴﻮم و‬
‫ﺳﻴﻠﻴﻜﻮن را از ﻳﻜﺪﻳﮕﺮ ﺟﺪا ﻣﻲﺳﺎزد و از ﺷﻜﻞ ﮔﻴﺮي آﻟﻴﺎژ ﻳﻮﺗﻜﺘﻴﻚ ﺟﻠﻮﮔﻴﺮي ﻣﻲﻛﻨﺪ ‪.‬‬
‫آﻟﻴﺎژﻫﺎﻳﻲ ﻛﻪ ﻣﻌﻤﻮﻻً ﺑﻪ ﻋﻨﻮان ﺳﺪ اﺳﺘﻔﺎده ﻣﻲﮔﺮدﻧﺪ ﻋﺒﺎرﺗﻨﺪ از ‪ TiW :‬و ‪ . TiN‬ﻫﺮ دو روش در‬
‫ﺻﻔﺤﻪ ﺑﻌﺪ ﺗﻮﺿﻴﺢ داده ﺷﺪه اﺳﺖ ‪.‬‬

‫اﺳﺘﻔﺎده از ‪ TiW‬ﺑﻪ ﻋﻨﻮان ‪: Barrier Metal‬‬


‫‪ TiW‬ﺑﺎ روش اﺳﭙﺎﺗﺮﻳﻨﮓ روي ﻛﺎﻧﺘﻜﺖ ﺑﺎز‪ ،‬ﻗﺒﻞ از آﻟﻮﻣﻴﻨﻴﻮم ﻳﺎ آﻟﻴﺎژ آﻟﻮﻣﻴﻨﻴﻮم ﻧﺸﺎﻧﺪه ﻣﻲﺷﻮد‪.‬‬
‫ﻗﺴﻤﺖﻫﺎي اﺿﺎﻓﻲ ﻧﻴﺰ در ﻣﺮاﺣﻞ زداﻳﺶ آﻟﻮﻣﻴﻨﻴﻮم از روي ﺳﻄﺢ اﻛﺴﻴﺪ‪ ،‬ﺣﺬف ﻣﻲ ﮔﺮدد‪.‬‬
‫ﺑﻌﻀﻲ اوﻗﺎت ﻫﻢ اﺑﺘﺪا ﻳﻚ ﻻﻳﻪ ﭘﻼﺗﻴﻨﻴﻮم ﺳﻴﻠﻴﺴﺎﻳﺪ ﻧﺸﺎﻧﺪه ﻣﻲ ﺷﻮد ‪.‬‬

‫اﺳﺘﻔﺎده از ‪ TiN‬ﺑﻪ ﻋﻨﻮان ‪: Barrier Metal‬‬


‫ﻻﻳﻪ ‪ TiN‬ﻧﻴﺰ ﺑﺎ ﻫﺮ روﺷﻲ ﻣﺜﻞ ﺗﺒﺨﻴﺮ ﻳﺎ اﺳﭙﺎﺗﺮﻳﻨﮓ و ﻳﺎ ‪ CVD‬ﻗﺎﺑﻞ ﻧﺸﺎﻧﺪن اﺳﺖ ‪.‬‬

‫‪382‬‬
‫ﺑﻌﻀﻲ اوﻗﺎت ﻫﻢ اﺑﺘﺪا ﻳﻚ ﻻﻳﻪ ﭘﻼﺗﻴﻨﻴﻮم ﺳﻴﻠﻴﺴﺎﻳﺪ ﻧﺸﺎﻧﺪه ﻣﻲ ﺷﻮد ‪.‬‬

‫در اﻳﻦ ﺣﺎﻟﺖ ﭘﻮﺷﺶ ﻛﺎﻣﻞ ﺗﻤﺎم زواﻳﺎ و ﮔﻮﺷﻪﻫﺎي ﻧﻮاﺣﻲ ‪ Contact‬ﺣﺎﺻﻞ ﺧﻮاﻫﺪ ﺷﺪ ‪.‬‬

‫‪Electro migration - 4- 2- 11‬‬

‫آﻟﻮﻣﻴﻨﻴﻮم ﺑﺎ ﻣﺴﺌﻠﻪ اي ﺑﻪ ﻧﺎم ‪ electro migration‬ﻣﻮاﺟﻪ اﺳﺖ ‪ .‬ﻣﺴﺌﻠﻪ زﻣﺎﻧﻲ رخ ﻣﻲدﻫﺪ ﻛﻪ‬
‫ﻓﻠﺰﻫﺎي ﻧﺎزك آﻟﻮﻣﻴﻨﻴﻮم در ﻓﻮاﺻﻞ ﻃﻮﻻﻧﻲ ﺣﺎﻣﻞ ﺟﺮﻳﺎنﻫﺎي ﺑﺎﻻ ﻣﻲ ﮔﺮدﻧﺪ‪ ،‬ﻣﺎﻧﻨﺪ ﻣﻮﻗﻌﻴﺘﻲ ﻛﻪ در‬
‫ﻣﺪارات ‪ VLSI‬ﺑﻪ وﺟﻮد ﻣﻲآﻳﺪ‪ .‬اﻳﻦ ﺟﺮﻳﺎن ﻳﻚ ﻣﻴﺪان اﻟﻜﺘﺮﻳﻜﻲ را در ﻓﻠﺰ اﻳﺠﺎد ﻣﻲﻛﻨﺪ‪ ،‬ﻛﻪ از‬
‫ﻃﺮﻓﻲ ﻛﻪ ﺟﺮﻳﺎن ﺗﻮﻟﻴﺪ ﺷﺪه اﺳﺖ‪ ،‬ﻳﻚ ﮔﺮادﻳﺎن ﺣﺮارﺗﻲ را در ﻓﻠﺰ اﻳﺠﺎد ﻣﻲﻛﻨﺪ‪ .‬آﻟﻮﻣﻴﻨﻴﻮم در ﻓﻠﺰ‪،‬‬
‫ﻣﺘﺤﺮك ﺷﺪه در ﻣﻴﺪان ﺧﻮدش در ﺟﻬﺖ ﮔﺮادﻳﺎن ﻧﻔﻮذ ﻣﻲﻛﻨﺪ ‪ .‬اوﻟﻴﻦ اﺛﺮ آن ﻧﺎزك ﺷﺪن ﻓﻠﺰ‬
‫ﻣﻲﺑﺎﺷﺪ و در ﻧﻬﺎﻳﺖ ﻓﻠﺰ ﻣﻲﺗﻮاﻧﺪ ﻛﺎﻣﻼً ﺟﺪا ﺷﻮد‪ .‬ﻣﺘﺄﺳﻔﺎﻧﻪ اﻳﻦ ﺣﺎدﺛﻪ ﺑﻌﺪ از آﻧﻜﻪ ﻣﺪار در ﻳﻚ‬
‫ﻣﻴﺪان در ﺣﺎل ﻛﺎرﻣﻲ ﺑﺎﺷﺪ رخ ﻣﻲدﻫﺪ ﻛﻪ ﺑﺎﻋﺚ اﻳﺠﺎد ﻧﻘﺺﻫﺎﻳﻲ در ﺗﺮاﺷﻪ ﻣﻲﮔﺮدد‪.‬‬

‫‪383‬‬
‫آﻟﻮﻣﻴﻨﻴﻮم ﺑﻪ ﺻﻮرت ﭘﻠﻲ ﻛﺮﻳﺴﺘﺎل اﺳﺖ و وﻗﺘﻲ ﺟﺮﻳﺎن اﻟﻜﺘﺮﻳﻜﻲ در اﻳﻦ ﮔﺮﻳﻦ ﻫﺎ ﺟﺎري ﻣﻲﺷﻮد‪،‬‬
‫اﻟﻜﺘﺮونﻫﺎ ﮔﺮﻳﻨﻬﺎ را ﺑﻤﺒﺎران ﻣﻲﻛﻨﻨﺪ و ﺗﻌﺪادي از ﮔﺮﻳﻨﻬﺎ ﺣﺮﻛﺖ ﻣﻲ ﻛﻨﻨﺪ و ﻓﻠﺰ را ﻧﺎزك و ﺧﺮاب‬
‫ﻣﻲﻛﻨﺪ‪ .‬در ﻧﺘﻴﺠﻪ ﺟﺮﻳﺎن ﺑﻴﺸﺘﺮي ﺟﺎري ﺷﺪه و ﺳﺒﺐ ﺗﻜﻪ ﺷﺪن ﻓﻠﺰ ﻣﻲ ﺷﻮد‪.‬‬

‫روش ﺟﻠﻮﮔﻴﺮي از رخ داد ﭘﺪﻳﺪه ﻣﻬﺎﺟﺮت اﻟﻜﺘﺮﻳﻜﻲ )‪: (Electro migration‬‬

‫ﺑﻪ ﻣﻨﻈﻮر ﺟﻠﻮﮔﻴﺮي از ﭘﺪﻳﺪه ‪ ،electro migration‬ﻻﻳﻪاي از آﻟﻮﻣﻴﻨﻴﻮم و‪ .5%‬ﺗﺎ ‪ 4%‬ﻣﺲ ﻳﺎ آﻟﻴﺎژي از‬
‫آﻟﻮﻣﻴﻨﻴﻮم و ‪ 0.1%‬ﺗﺎ ‪ 0.5%‬ﺗﻴﺘﺎﻧﻴﻮم ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻣﻲ ﺷﻮد‪.‬‬

‫‪384‬‬
‫اﻏﻠﺐ آﻟﻴﺎژﻫﺎي آﻟﻮﻣﻴﻨﻴﻮم ﺑﺎ ﻣﺲ و ﺳﻴﻠﻴﻜﻮن ﺑﺮ روي وﻳﻔﺮ ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻣﻲ ﺷﻮد‪ ،‬ﺗﺎ ﻫﻢ ﻣﺴﺌﻠﻪ آﻟﻴﺎژ‬
‫ﺷﺪن و ﻫﻢ ﻣﺴﺌﻠﻪ ‪ electro migration‬را ﺣﻞ ﻛﻨﺪ ‪.‬‬

‫ﻣﻮاﻧﻊ ﺑﻬﺮه ﮔﻴﺮي از آﻟﻴﺎژﻫﺎ ‪:‬‬


‫از ﻣﻮاﻧﻊ اﺳﺘﻔﺎده از آﻟﻴﺎژﻫﺎي آﻟﻮﻣﻴﻨﻴﻮﻣﻲ‪ ،‬اﻓﺰاﻳﺶ ﭘﻴﭽﻴﺪﮔﻲ ﻓﺮاﻳﻨﺪ و ﺗﺠﻬﻴﺰات ﻻﻳﻪ ﻧﺸﺎﻧﻲ و ﺗﻐﻴﻴﺮ‬
‫در ﻧﺮخ زداﻳﺶ )‪ (etch‬ﻓﻴﻠﻢ ﻣﻲﺑﺎﺷﺪ‪ .‬ﺑﻌﻼوه‪ ،‬ﻣﻘﺎوﻣﺖ وﻳﮋه ﻓﻴﻠﻢ در ﻣﻘﺎﻳﺴﻪ ﺑﺎ آﻟﻮﻣﻴﻨﻴﻮم ﺧﺎﻟﺺ‬
‫اﻓﺰاﻳﺶ ﻳﺎﻓﺘﻪ و ﻣﻘﺪار اﻓﺰاﻳﺶ ﺑﺎ ﺗﺮﻛﻴﺒﺎت آﻟﻴﺎژ و رﻓﺘﺎرﻫﺎي ﮔﺮﻣﺎﻳ ﻲ ﻣﻲﺗﻮاﻧﺪ ﺗﻐﻴﻴﺮ ﻛﻨﺪ‪ ،‬وﻟﻲ‬
‫ﻣﻲﺗﻮاﻧﺪ ﭼﻴﺰي ﺣﺪود ‪ 25‬ﺗﺎ‪ 30‬درﺻﺪ ﺑﺎﺷﺪ ‪.‬‬

‫‪ - 5- 2- 11‬ﻣﻘﺎوﻣﺖ اﻟﻜﺘﺮﻳﻜﻲ ﺑﺎﻻﺗﺮ آﻟﻮﻣﻴﻨﻴﻮم در ﻧﺎﺣﻴﻪ اﺗﺼﺎل ﺑﺎ ﺳﻴﻠﻴﻜﻮن ﻧﺴﺒﺖ ﺑﻪ ﻃﻼ و ﻣﺲ‬

‫ﻣﻘﺎوﻣﺖ اﻟﻜﺘﺮﻳﻜﻲ ﺑﺎﻻﺗﺮ آﻟﻮﻣﻴﻨﻴﻮم در ﻧﺎﺣﻴﻪ اﺗﺼﺎل ﺑﺎ ﺳﻴﻠﻴﻜﻮن ﻧﺴﺒﺖ ﺑﻪ ﻃﻼ و ﻣﺲ و ﻣﺸﻜﻼت‬


‫ﻣﺮﺑﻮط ﺑﻪ آﻟﻴﺎژ ﺳﺎزي ﺳﺒﺐ ﮔﺮدﻳﺪ ﻛﻪ ﺑﻪ دﻧﺒﺎل ﻓﻠﺰات ﺟﺪﻳﺪي ﺟﻬﺖ ﺟﺎﻳﮕﺰﻳﻨﻲ آﻟﻮﻣﻴﻨﻴﻮم در‬
‫ﻣﺪارات ‪ VLSI‬ﺑﺎﺷﻴﻢ ‪.‬‬
‫ﺑﻪ ﻃﻮر ﻣﺜﺎل ﭘﻠﻲ ﺳﻴﻠﻴ ﻜﻮن ﻣﻘﺎوﻣﺖ اﺗﺼﺎل اﻟﻜﺘﺮﻳﻜﻲ ﻛﻤﺘﺮي ﺑﺎ ﺳﻴﻠ ﻴﻜﻮن دارد و در ﺑﺴﻴﺎري از‬
‫ﻣﺪارات‬
‫‪ MOS‬ﺑﻪ ﻛﺎر ﻣﻲرود ‪.‬‬

‫ﻓﻠﺰات دﻳﺮ ﮔﺪاز )‪(Refractory Metals‬‬ ‫‪-3-11‬‬


‫ﻓﻠﺰات دﻳﺮﮔﺪاز ﻣﻮرد ﺗﻮﺟﻪ در ﻣﺪارات ‪ VLSI‬ﺷﺎﻣﻞ ‪ Ta ،W ،Ti‬و ‪ Mo‬اﺳﺖ‪.‬ﺳﻴﻠﻴﺴﺎﻳﺪ اﻳﻦ ﻓﻠﺰات‬
‫ﻫﻨﮕﺎﻣﻲ ﻛﻪ ﺑﺎ ﺳﻴﻠﻴﻜﻮن ﺳﻄﺢ وﻳﻔﺮ ﺗﺸﻜﻴﻞ آﻟﻴﺎژ ﻣﻲدﻫﻨﺪ‪ ،‬اﻳﺠﺎد ﻣﻲﮔﺮدد‪.‬‬
‫)‪( WSi2, TaSi2, MoSi2, TiSi2‬‬

‫‪385‬‬
‫‪ - 1- 3- 11‬ﻛﺎرﺑﺮدﻫﺎي ﻋﻤﻮﻣﻲ ﻓﻠﺰات دﻳﺮﮔﺪاز و ﺳﻴﻠﻴﺴﺎﻳﺪ آنﻫﺎ‬

‫ﭘﺮ ﻛﺮدن ﺳﻮراخﻫﺎي ‪via‬‬

‫ﺗﺸﻜﻴﻞ ﻻﻳﻪ ﻣﺎﻧﻊ ﺟﻬﺖ ﺟﻠﻮﮔﻴﺮي از ﻧﻔﻮذ آﻟﻮﻣﻴﻨﻴﻮم ﺑﻪ درون ﺳﻴﻠﻴﻜﻮن وﻳﻔﺮ‬
‫اﻳﺠﺎد ﻻﻳﻪﻫﺎي ﻫﺪاﻳﺖ ﻛﻨﻨﺪه‬

‫اﻳﻦ ﻃﻴﻒ ﮔﺴﺘﺮده ﻛﺎرﺑﺮد ﺑﻪ دﻟﻴﻞ ﻣﻘﺎوﻣﺖ وﻳﮋه ﭘﺎﻳﻴﻦﺗﺮ و ﻣﻘﺎوﻣﺖ در ﻧﺎﺣﻴﻪ اﺗﺼﺎل‬
‫ﭘﺎﻳﻴﻦﺗﺮ ﻓﻠﺰات دﻳﺮ ﮔﺪاز اﺳﺖ‪.‬‬

‫‪Plug & Plug Filling - 2- 3- 11‬‬

‫اﮔﺮ ﻓﻠﺰات دﻳﺮ ﮔﺪاز ﺑﻪ ﻋﻨﻮان ﻓﻠﺰ ﭘﺮ ﻛﻨﻨﺪه ﺳﻮراخﻫﺎي ‪ via‬ﺑﻪ ﻛﺎر روﻧﺪ‪ ،‬ﺳﻮراخﻫﺎي ﭘﺮ ﺷﺪه ‪ plug‬و‬
‫اﻳﻦ ﻋﻤﻞ ‪ plug filling‬ﻧﺎم دارد‪.‬‬

‫ﻛﺎرﺑﺮدﻫﺎي ﺗﻨﮕﺴﺘﻦ ﺑﻪ ﻋﻨﻮان ﻳﻜﻲ از ﻓﻠﺰات دﻳﺮ ﮔﺪاز ‪:‬‬


‫‪a. MOS gate interconnection‬‬
‫‪b. Al-Si barrier structure‬‬
‫)‪c. via plugs (by selective deposition into holes or by CVD‬‬
‫ﺑﻪ ﻋﻨﻮان ﻣﺜﺎل در زﻳﺮ ﺗﺼﺎوﻳﺮي از ﻛﺎرﺑﺮد ﻓﻠﺰ ﺗﻴﺘﺎﻧﻴﻮم ﺑﻪ ﻋﻨﻮان ﻻﻳﻪ ﻣﺎﻧﻊ ﻧﺸﺎن داده ﺷﺪه اﺳﺖ ‪:‬‬

‫‪386‬‬
‫ﻣﺲ‬ ‫‪-4-11‬‬
‫از ﺳﺎل ‪ 1997‬در ﺷﺮﻛﺖ ‪ ،IBM‬اﺳﺘﻔﺎده از ﻣﺲ ﺑﻪ ﺟﺎي ‪ AL‬آﻏﺎز ﺷﺪه اﺳﺖ ‪.‬در ﺣﺎل ﺣﺎﺿﺮ ﻣﺲ ﺑﻪ‬
‫ﺗﺪرﻳﺞ ﺟﺎﻳﮕﺰﻳﻦ ﺗﻨﮕﺴﺘﻦ ﻣﻲﺷﻮد از ﺗﻨﮕﺴﺘﻦ ﺑﺮاي ﭘﺮ ﻛﺮدن ‪ via‬اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‪ .‬ﮔﻔﺘﻴﻢ ﻛﻪ در‬
‫اﺳﺘﻔﺎده از آﻟﻮﻣﻴﻨﻴﻮم ﺑﺎ ﭘﺪﻳﺪه ﻣﺰاﺣ ﻢ ‪ electro migration‬ﻣﻮاﺟﻪ ﺑﻮدهاﻳﻢ‪،‬اﻣﺎ ﺑﻪ دﻻﻳﻞ ﭘﻴﭽﻴﺪه‬
‫ﻓﻴﺰﻳﻜﻲ ﻛﻪ ﺗﺎ ﺣﺪي ﺑﻪ ﻛﻢﺗﺮ ﺑﻮدن ﻣﻘﺎﻣﺖ ﻣﺲ ﻧﺴﺒﺖ ﺑﻪ ‪ AL‬ﻣﺮﺑﻮط ﻣﻲﺷﻮد‪ ،‬در ﻣﺲ اﻳﻦ ﭘﺪﻳﺪه رخ‬
‫ﻧﻤﻲدﻫﺪ‪.‬‬
‫ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ ﺑﺎﻻﺗﺮ ﺑﻮدن ﻫﺪاﻳﺖ ﻣﺲ ﻧﺴﺒﺖ ﺑﻪ آﻟﻮﻣﻴﻨﻴﻮم و ﻋﺪم رخ دادن ﭘﺪﻳﺪه ﻓﻮق ﺑﻪ ﻧﻈﺮ‬
‫ﻣﻲرﺳﺪ ﻛﻪ اﺳﺘﻔﺎده از ﻣﺲ ﺑﻬﺘﺮ ﺑﺎﺷﺪ‪ ،‬اﻣﺎ ﺑﻪ دﻟﻴﻞ اﻳﻨﻜﻪ ﺗﻜﻨﻮﻟﻮژي ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻣﺲ ﭼﻨﺪان‬
‫ﭘﻴﺸﺮﻓﺘﻪ ﻧﻴﺴﺖ از ﻣﺲ اﺳﺘﻔﺎده ﻧﻤﻲ ﺷﻮد‪.‬‬
‫ﺑﺮاي ﻣﺜﺎل در ﻻﻳﻪ ﻧﺸﺎﻧﻲ ‪ AL‬وﻗﺘﻲ ﻛﻪ در ﻣﺤﻴﻂ ﭘﻼﺳﻤﺎي ﻛﻠﺮ آن را اﻟﮕﻮ ﺑﻨﺪي ﻛﻨﻴﻢ‪ALCL3 ،‬‬

‫ﺗﺸﻜﻴﻞ ﻣﻲﺷﻮد ﻛﻪ ﻳﻚ ﻣﺎده ﻓﺮار اﺳﺖ ‪ .‬اﻣﺎ در ﻣﺲ ‪ CuCl2‬ﺗﺸﻜﻴﻞ ﻣﻲ ﺷﻮد‪ ،‬ﻛﻪ ﻓﺮار ﻧﻴﺴﺖ و‬
‫ﻋﻤﻠﻴﺎت ‪ etch‬ﻛﺮدن ﺑﻪ راﺣﺘﻲ ﺻﻮرت ﻧﻤﻲﮔ ﻴﺮد‪ .‬روش ﻓﻌﻠﻲ ﭘﺮوﺳﻪ ‪ damascene‬ﻧﺎﻣﻴﺪه ﻣﻲﺷﻮد‪.‬‬

‫‪387‬‬
‫ﻣﺸﻜﻞ دﻳﮕﺮ در اﺳﺘﻔﺎده از ﻣﺲ ﻗﺎﺑﻠﻴﺖ ﻧﻔﻮذ ﺑﺎﻻي آن در ﺳﻴﻠﻴﻜﻮن اﺳﺖ ‪ .‬ﺑﺮاي ﺣﻞ اﻳﻦ ﻣﺸﻜﻞ‬
‫ﺑﺎﻳﺪ ﻳﻚ ﺳﺪ ﻧﺎزك از ﺟﻨﺲ ‪ Ta ،TaSiN ،TaN‬و ﻳﺎ ‪ Tin‬ﻗﺒﻞ از ‪ Cu‬روي ‪ Si‬ﻧﺸﺎﻧﺪه ﺷﻮد‪ .‬ﺷﻜﻞ زﻳﺮ‬
‫وﺟﻮد ﻳﻚ ﻻﻳﻪ ﺳﺪ ﻗﺒﻞ از ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻣﺲ را ﻧﺸﺎن ﻣﻲدﻫﺪ‪.‬‬

‫ﺷﻜﻞ ‪ : 9‬وﺟﻮد ﻳﻚ ﻻﻳﻪ ﺳﺪ ﻗﺒﻞ از ﻣﺲ ﺑﺮاي ﺟﻠﻮﮔﻴﺮي از ﻧﻔﻮذ در ‪Si‬‬

‫‪- 1- 4- 11‬روش ﻫﺎي ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻣﺲ‬

‫ﺳﻪ روش ﻛﻠﻲ ﺑﺮاي ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻣﺲ ﺑﺮ روي ﺳﻄﺢ وﻳﻔﺮ وﺟﻮد دارد ﻛﻪ ﻋﺒﺎرﺗﻨﺪ از ‪:‬‬
‫‪Electrochemical Plating( Seed Deposition)_1‬‬
‫‪Sputtering_2‬‬
‫‪CVD_3‬‬
‫روش دوم و ﺳﻮم ﺑﺎ ﻻﻳﻪ ﻧﺸﺎﻧﻲ دﻳﮕﺮ ﻓﻠﺰات ﻣﺸﺘﺮك اﺳﺖ و ﺑﻌﺪاً ﺗﻮﺿﻴﺢ داده ﺧﻮاﻫﺪ ﺷﺪ‪.‬‬

‫‪Electrochemical Plating‬‬ ‫‪- 2- 4- 11‬‬


‫در اﻳﻦ روش اﺑﺘﺪا ﻻﻳﻪاي از ﺟﻨﺲ ﻣﺲ ﺗﻮﺳﻂ ﻓﺮآﻳﻨﺪ ‪ Sputtering‬درون ﺳﻮراخﻫﺎي ‪ via‬ﻧﺸﺎﻧﺪه‬
‫ﻣﻲﺷﻮد‪.‬اﻳﻦ ﻻﻳﻪ ﺑﻪ ﻧﺎم ‪ Seed Layer‬ﻣﻌﺮوف اﺳﺖ و ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻳﻜﻨﻮاﺧﺖ ﻣﺲ ﺑﺮ ﺳﻄﺢ ‪ via‬در‬
‫ﻣﺮﺣﻠﻪ ﻻﻳﻪ ﻧﺸﺎﻧﻲ را ﺗﻀﻤﻴﻦ ﻣﻲﻛﻨﺪ ‪.‬‬

‫‪388‬‬
‫در ﻣﺮﺣﻠﻪ ﺑﻌﺪ‪ ،‬وﻳﻔﺮ در ﻣﺤﻠﻮل ‪ CuSO4‬در ﺣﺎﻟﻲ ﻛﻪ ﺑﻪ ﭘﺎﻳﻪ ﻣﻨﻔﻲ )ﻛﺎﺗﺪ( ﻣﺘﺼﻞ اﺳﺖ‪ ،‬ﻣﻌﻠﻖ‬
‫ﻣﻲﮔﺮدد‪.‬در اﻳﻦ ﺣﺎﻟﺖ ﺑﺎ ﻋﺒﻮر ﺟﺮﻳﺎن‪ ،‬ﻣﺲ از ﻣﺤﻠﻮل ‪ CuSO4‬ﺟﺪا ﺷﺪه و ﺑﺮ روي ﺳﻄﺢ وﻳﻔﺮ‬
‫ﻣﻲﻧﺸﻴﻨﺪ و ﮔﺎز‪ H2‬در آﻧﺪ آزاد ﻣﻲﮔﺮدد‪.‬‬

‫ﻣﺸﻜﻞ ﻣﺮﺑﻮط ﺑﻪ روش آﺑﻜﺎري )‪ (Plating‬ﻣﺲ ﻋﺒﺎرﺗﻨﺪ از ‪:‬‬

‫ﻋﺪم ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻳﻜﻨﻮاﺧﺖ ﺑﻪ دﻟﻴﻞ ﻏﻴﺮ ﻳﻜﻨﻮاﺧﺘﻲ ﺟﺮﻳﺎن ﻋﺒﻮري‬

‫‪damascene & dual-damascene method‬‬ ‫‪- 3- 4- 11‬‬


‫در ﻓﺮآﻳﻨﺪ ﺑﻪ ﻛﺎر ﮔﻴﺮي ﻣﺲ ﺑﻪ ﻋﻨﻮان ﻓﻠﺰ ﻫﺪاﻳﺖ ﻛﻨﻨﺪه‪ ،‬ﻣ ﺸﻜﻼﺗﻲ ﻫﻤﭽﻮن زداﻳﺶ‪ ،‬ﻣﻘﺎوﻣﺖ‬
‫اﻟﻜﺘﺮﻳﻜﻲ در ﻧﺎﺣﻴﻪ اﺗﺼﺎل ﺑﺎ ﺳﻴﻠﻴﻜﻮن‪ ،‬ﻧﻔﻮذ ﺑﻪ درون ﺳﻴﻠ ﻴﻜﻮن و ﺳﻴﻠ ﻴﻜﻮن دي اﻛﺴﻴﺪ ﺳﺒﺐ‬
‫ﻣﻲﮔﺮدد ﻛﻪ ﺑﻪ دﻧﺒﺎل روش ﺧﺎﺻﻲ ﺑﻪ ﻋﻨﻮان ﻓﺮآﻳﻨﺪ ﻟﻴﺘﻮﮔﺮاﻓﻲ ﺑﺮاي ﻣﺲ ﺑﮕﺮدﻳﻢ ﻛﻪ ﺷﺎﻣﻞ ﺳﻪ‬
‫ﻣﺮﺣﻠﻪ اﺳﺖ‪:‬‬
‫‪1. Development of a low-k barrier/liner‬‬
‫)‪2. Copper electrochemical plating (Cu ECP‬‬
‫)‪3. Chemical mechanical polishing (CMP‬‬
‫اﻳﻦ ﻓﺮآﻳﻨﺪ ﺑﻪ ﻧﺎم ‪ dual damascene‬ﺷﻬﺮت دارد ﻛﻪ ﻣﺮﺣﻠﻪ ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻣﺲ در اﻳﻦ روش‪ ،‬ﺗﻮﺳﻂ‬
‫‪389‬‬
‫آﺑﻜﺎري اﻟﻜﺘﺮوﺷﻴﻤﻴﺎﻳﻲ )‪ (Electrochemical Plating‬اﻧﺠﺎم ﻣﻲ ﮔﻴﺮد‪.‬‬

‫ﻣﻔﻬﻮم ‪: Damascene‬‬
‫‪ Damascene‬ﺑﺪﻳﻦ ﻣﻌﻨﺎﺳﺖ ﻛ ﻪ اﺑﺘﺪا ﻳﻚ ﻻﻳﻪ ﻋﺎﻳﻖ ﺗﻮﺳﻂ روش )‪ Sputtering (PVD‬ﺑﺮ روي‬
‫ﺳﻄﺢ ﻣﻮرد ﻧﻈﺮ ﻧﺸﺎﻧﺪه ﺷﻮد‪ .‬ﺳﭙﺲ ﺳﻮراﺧﻲ درون ﻧﺎﺣﻴﻪ ﻻﻳﻪ ﻋﺎﻳﻖ ﻣﻮرد ﻧﻈﺮ ﺗﻮﺳﻂ ﻓﺮاﻳﻨﺪ‬
‫اﻳﺠﺎد ﻣﻲﮔﺮدد‪ .‬در ﻣﺮﺣﻠﻪ آﺧﺮ ﻧﻴﺰ ﻓﻠﺰ ﻣﻮرد ﻧﻈﺮ ﺑﺮ روي ﺳﻄﺢ ﻻﻳﻪ ﻋﺎﻳﻖ‬ ‫‪Photolithography‬‬

‫ﭘﻮﺷﺎﻧﺪه ﻣﻲ ﺷﻮد ﻛﻪ ﺑﻪ درون ﺳﻮراخﻫﺎي اﻳﺠﺎد ﺷﺪه ﻧﻔﻮذ ﻣﻲﻛﻨﺪ‪ .‬ﻣﻌﻤﻮﻻً ﻓﻠﺰ ﻣﻮرد ﻧﻈﺮ ﻛﻤﻲ ﺑﻴﺶ‬
‫از ﺳﻄﺢ ﻻﻳﻪ ﻋﺎﻳﻖ ﺑﺎﻻ ﻣﻲآﻳﺪ ﻛﻪ در اﻳﻦ ﺣﺎﻟﺖ ﻧﻴﺎز ﺑﻪ ﻳﻚ ﻣﺮﺣﻠﻪ ﭘﺮداﺧﺖ ﺷﻴﻤﻴﺎﻳﻲ و ﻣﻜﺎﻧﻴﻜﻲ‬
‫)‪ (CMP‬ﻧﻴﺰ اﺳﺖ ‪.‬‬

‫روش ‪: Dual Damascene‬‬


‫روﺷﻲ اﺳﺖ ﻛﻪ ﺑﺮ ﻣﺒﻨﺎي ﻣﻔﻬﻮم ‪ Damascene‬ﭘﺎﻳﻪ ﮔﺬاري ﮔﺸﺘﻪ اﺳﺖ و ﺷﺎﻣﻞ ﻣﺮاﺣﻞ زﻳﺮ اﺳﺖ ‪:‬‬
‫‪1. Deposition of a low-k dielectric on the first metal surface‬‬
‫‪2. Planarization of the dielectric by a CMP step‬‬
‫‪3. Patterning vias through the dielectric‬‬
‫‪4. Lowering the dielectric surface to get wider trenches‬‬
‫‪5. Metal layer deposition by ECP and dielectric surface polishing‬‬
‫در اﻳﻦ روش‪ ،‬اﺑﺘﺪا ﻳﻚ ﻻﻳﻪ ﻋﺎﻳﻖ ﺑﺎ ﺿﺮﻳﺐ دي اﻟﻜﺘﺮﻳﻚ ﻛﻢ ﺑﺮ ﺳﻄﺢ وﻳﻔﺮ ﻧﺸﺎﻧﺪه ﻣﻲﺷﻮد ‪.‬‬
‫ﺳﭙﺲ ﺳﻄﺢ ﻋﺎﻳﻖ ﺗﻮﺳﻂ روش ‪ CMP‬ﭘﺮداﺧﺖ ﻣﻲﮔﺮدد‪ .‬در ﻣﺮﺣﻠﻪ ﺑﻌﺪ ‪ Via‬ﻫﺎ درون ﻋﺎﻳﻖﻫﺎ اﻳﺠﺎد‬
‫ﻣﻲﮔﺮدد و ﺳﻄﺢ ﻓﺎﺻﻠﻪ ﺑﻴﻦ دو ‪ Via‬ﻛﻪ ﻗﺮار اﺳﺖ ﻣﺮﺗﺒﻂ ﮔﺮدﻧﺪ‪ ،‬ﻛﻤﻲ زداﻳﺶ ﭘﻴﺪا ﻣﻲﻛﻨﺪ ﺗﺎ ﻛﻤﻲ‬
‫ﭘﺎﻳﻴﻦﺗﺮ از ﺳﻄﺢ اﺻﻠﻲ ﻋﺎﻳﻖ ﻗﺮار ﮔﻴﺮد‪ .‬ﺑﺪﻳﻦ ﺗﺮﺗﻴﺐ ﺑﻌﺪ از ﻋﻤﻞ ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻓﻠﺰ‪ ،‬ﻣﻲﺗﻮان ﻛﻞ‬
‫ﺳﻄﺢ ﻋﺎﻳﻖ را ﺑﻪ ﻳﻚ ﺻﻮرت ﺗﺤﺖ ﭘﺮداﺧﺖ ﻗﺮار داد و ﻛﻞ ﺳﻄﺢ را ﻛﺎﻣﻼً ﻳﻚ دﺳﺖ ﻛﺮد‪ .‬ﻧﻮاﺣﻲ‬
‫ﻓﻠﺰي ﻧﻔﻮذ ﻛﺮده درون ﺷﻜﺎفﻫﺎ‬

‫‪390‬‬
‫ارﺗﺒﺎط دﻫﻲ ﺑﻴﻦ دو ‪ Via‬را اﻧﺠﺎم ﻣﻲدﻫﻨﺪ ‪.‬‬

‫‪ - 4- 4- 11‬ﭘﻠﻲ ﺳﻴﻠﻴﻜﻮن ﺑﻪ ﻋﻨﻮان ﻫﺎدي ) و ﻳﺎ در ﺷﻜﻞ اﻛﺴﻴﺪ ﺑﻪ ﻋﻨﻮان ﻋﺎﻳﻖ(‬

‫ﭘﻠﻲ ﺳﻴﻠﻴﻜﻮن آﻻﻳﺶ ﺷﺪه ‪ :‬ﺑﺎ ﻇﻬﻮر ﮔﻴﺖ ﺳﻴﻠ ﻴﻜﻮﻧﻲ ﺗﻜﻨﻮﻟﻮژي ‪ ،MOS‬اﺳﺘﻔﺎده از ﺧﻄﻮط ﭘﻠﻲ‬
‫ﺳﻴﻠﻴﻜﻮﻧﻲ ﺑﺮ روي ﺗﺮاﺷﻪ ﺑﻪ ﺻﻮرت ﻳﻚ ﻧﮕﺮش ﻃﺒﻴﻌﻲ ﺑﺮاي ﻓﻴﻠﻢﻫﺎي ﻫﺎدي درآﻣﺪه اﺳﺖ‪ .‬ﺑﺮاي‬
‫اﺳﺘﻔﺎده ﺑﻪ ﻋﻨﻮان ﻳﻚ ﻫﺎدي‪ ،‬ﭘﻠﻲ ﺳﻴﻠﻴﻜﻮن ﺑﺎﻳﺪ آﻻﻳﺶ ﺷﻮد‪ ،‬ﺗﺎ ﻗﺎﺑﻠﻴﺖ ﻫﺪاﻳﺖ اﻟﻜﺘﺮﻳﻜﻲ‬
‫)‪ (conductivity‬آن اﻓﺰاﻳﺶ ﭘﻴﺪا ﻛﻨﺪ‪ .‬در ﺿﻤﻦ ﭘﻠﻲ ﺳﻴﻠﻴﻜﻮن ﻗﺎﺑﻠﻴﺖ ﺗﺤﻤﻞ دﻣﺎﻳﻲ ﺑﺎﻻﻳﻲ را دارد ‪.‬‬
‫در ﺣﺎﻟﻲ ﻛﻪ آﻟﻮﻣﻴﻨﻴﻮم ﺗﺤﻤﻞ دﻣﺎﻳﻲ ﺑﺎﻻ ﻧﺪارد‪ .‬ﻧﺎﺧﺎﻟﺼﻲاي ﻛﻪ ﻋﻤﻮﻣﺎً ﺗﺮﺟﻴﺢ داده ﻣﻲﺷﻮد‪ ،‬ﻓﺴﻔﺮ‬
‫ﻣﻲﺑﺎﺷﺪ؛ ﭼﺮا ﻛﻪ ﻗﺎﺑﻠﻴﺖ ﺣﻼﻟﻴﺖ ﺟﺎﻣﺪ ‪ Solid Solubility‬ﺑﺎﻻﻳﻲ در ﺳﻴﻠﻴﻜﻮن دارد ‪.‬ﻫﻤﭽﻨﻴﻦ از‬
‫آرﺳﻨﻴﻚ ‪ ،‬و ﺑﻮرون ﻧﻴﺰ اﺳﺘﻔﺎده ﻣﻲﮔﺮدد‪ .‬آﻻﻳﺶ ﺑﺎ اﺳﺘﻔﺎده از دﻳﻔﻴﻮژن‪ ،‬ﻛﺎﺷﺖ ﻳﻮﻧﻲ ﻳﺎ آﻻﻳﺶ در‬
‫ﺟﺎي ﺧﻮد در ﻃﻮل ﻓﺮاﻳﻨﺪ‪ LPCVD‬ﺻﻮرت ﻣﻲ ﮔﻴﺮد‪ .‬ﻫﺮ ﻳﻚ از اﻳﻦ روشﻫﺎ ﻧﺘﺎﻳﺞ آﻻﻳﺸﻲ ﻣﺨﺘﻠﻔﻲ را‬
‫ﺗﻮﻟﻴﺪ ﻣﻲﻛﻨﻨﺪ‪ .‬اﺧﺘﻼفﻫﺎ ﺑﻪ اﺛﺮ دﻣﺎي آﻻﻳﺶ ﺑﺮ ﺳﺎﺧﺘﺎر ‪ grain‬ﻣﺮﺑﻮط ﻣﻲ ﺷﻮد‪ .‬ﻫﺮ ﭼﻪ دﻣﺎ ﭘﺎﻳﻴﻦﺗﺮ‬
‫ﺑﺎﺷﺪ ﻣﻘﺪار ﻧﺎﺧﺎﻟﺼﻲ ﻛﻪ در ﺳﺎﺧﺘﺎر ‪ polygrain‬ﺑﻪ دام ﻣﻲاﻓﺘﺪ ﺑﺰرگﺗﺮ ﻣﻲﺑﺎﺷﺪ ﻛﻪ اﻳﻦ‬
‫ﻧﺎﺧﺎﻟﺼﻲﻫﺎي ﺑﻪ دام اﻓﺘﺎده دﻳﮕﺮ ﻧﻘﺸﻲ در ﻫﺪاﻳﺖ ﻧﺪارﻧﺪ ‪ .‬اﻳﻦ وﺿﻌﻴﺘﻲ اﺳﺖ ﻛﻪ ﻣﻌﻤﻮﻻً در ﻛﺎﺷﺖ‬
‫ﻳﻮﻧﻲ رخ ﻣﻲدﻫﺪ‪ .‬آﻻﻳﺶ ﺑﻪ روش ‪ CVD‬درﺟﺎي ﺧﻮد‪ ،‬ﭘﺎﻳﻴﻦ ﺗﺮﻳﻦ ﻗﺎﺑﻠﻴﺖ ﺗﺤﺮك ﺣﺎﻣﻞﻫﺎي‬
‫ﻧﺎﺧﺎﻟﺼﻲﻫﺎﻳﻲ را دارد ﻛﻪ در ﻣﺮز داﻧﻪ )‪ (grain boundary‬ﺑﻪ دام اﻓﺘﺎدهاﻧﺪ‪.‬‬

‫‪391‬‬
‫ﭘﻠﻲ ﺳﻴﻠ ﻴﻜﻮن آﻻﻳﺶ ﺷﺪه اﻣﺘﻴﺎز ﻳﻚ اﺗﺼﺎل اﻫﻤﻲ ﺧﻮب ﺑﺎ وﻳﻔﺮ ﺳﻴﻠﻴﻜﻮﻧ ﻲ را دارد‪ ،‬و ﻣﻲﺗﻮاﻧﺪ‬
‫ﺑﻪ ﺷﻜﻞ ﻳﻚ ﻻﻳﻪ ﻋﺎﻳﻖ اﻛﺴﻴﺪ ﺷﻮد‪ .‬اﻛﺴﻴﺪﻫﺎي ﭘﻠﻲ ﺳﻴﻠﻴﻜﻮﻧﻲ‪ ،‬ﻛﻴﻔﻴﺖ ﻛﻤﺘﺮي ﻧﺴﺒﺖ ﺑﻪ‬
‫اﻛﺴﻴﺪﻫﺎي ﺣﺮارﺗﻲاي دارد‪ ،‬ﻛﻪ ﺑﺮ روي ﺳﻴﻠ ﻴﻜﻮن ﺗﻚ ﻛﺮﻳﺴﺘﺎل رﺷﺪ داده ﻣﻲﺷﻮد ‪.‬‬

‫‪ - 5- 4- 11‬ﻧﺘﻴﺠﻪ ﮔﻴﺮي‬

‫در ﻣﺠﻤﻮع ﺑﻪ ﻧﻈﺮ ﻣﻲ رﺳﺪ اﺳﺘﻔﺎده از آﻟﻴﺎژ ‪) Cu - Al-Si‬در ﺣﺎﻟﺖ ﻣﻘﺎوﻣﺖ ﻛﻢ ( و اﺳﺘﻔﺎده از‬
‫ﭘﻠﻲ ﺳﻴﻠﻴﻜﻮن )در ﻣﻮاردي ﻛﻪ ﻣﻘﺎوﻣﺖ ﺧﻴﻠﻲ ﻛﻢ ﻻزم ﻧﻴﺴﺖ و ﻫﻤﭽﻨﻴﻦ ﮔﻴﺘﻬﺎي ﺗﺮاﻧﺰﻳﺴﺘﻮر(‬
‫ﺑﺎﺷﺪ‪ .‬در ﺟﺪول ‪ 1‬ﻣﻘﺎوﻣﺖ و ﻧﻘﻄﻪ ذوب ﺑﺮﺧﻲ از ﻓﻠﺰات ﻧﺸﺎن‬ ‫ﻣﻄﻠﻮب‬

‫داده ﺷﺪه اﺳﺖ‪ .‬ﺑﺮاي ﭘﺮ ﻛﺮدن اﺗﺼﺎﻻت ‪ Via‬ﻧﻴﺰ از ﻓﻠﺰ ﺗﻨﮕﺴﺘﻦ اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‪.‬‬

‫ﻧﻜﺘﻪ دﻳﮕﺮ در ﺧﺼﻮص ﻓﻠﺰات ﭘﺎﻳﺪاري ﺣﺮارﺗﻲ آﻧﻬﺎﺳﺖ‪ .‬اﺗﺼﺎل ﻓﻠﺰ آﻟﻮﻣﻴﻨﻴﻮم روي ﺳﻴﻠﻴﻜﻮن‬
‫ﺗﺎدﻣﺎي ﻛﻤﺘﺮ از ‪ 450‬درﺟﻪ ﺳﺎﻧﺘﻲﮔﺮاد و ﺗﻨﮕﺴﺘﻦ روي ﺳﻴﻠﻴﻜﻮن ﺗﺎ دﻣﺎي ﻛﻤﺘﺮ از ‪ 600‬درﺟﻪ‬
‫ﺳﺎﻧﺘﻲﮔﺮاد ﭘﺎﻳﺪار اﺳﺖ ‪ .‬از آﻧﺠﺎ ﻛﻪ اﻳﻦ دﻣﺎﻫﺎ ﻧﺴﺒﺖ ﺑﻪ دﻣﺎي اﻛﺴﻴﺪاﺳﻴﻮن ﻛﻤﺘﺮ اﺳﺖ‪ ،‬ﺑﺎﻳﺪ ﻓﻠﺰ‬
‫ﻧﮕﺎري را در ﻣﺮﺣﻠﻪ آﺧﺮ اﻧﺠﺎم داد‪ .‬ﺣﺘﻲ ﺑﻌﺪ از اﻳﻨﻜﻪ ﻣﺎ ﻓﻠﺰ ﻣﻄﻠﻮب را اﻧﺘﺨﺎب ﻛﺮدﻳﻢ‪ ،‬ﺑﺎﻳﺪ ﺗﻮﺟﻪ‬
‫داﺷﺘﻪ ﺑﺎﺷﻴﻢ ﻛﻪ اﺗﺼﺎل اﻳﻦ دو ﻧﻴﺰ ﻣﻨﺎﺳﺐ ﺑﺎﺷﺪ‪ .‬دو ﻧﻮع اﺗﺼﺎل وﺟﻮد دارد اﻫﻤﻲ و ﺷﺎﺗﻜﻲ ‪.‬‬

‫‪392‬‬
‫ﻻﻳﻪﻫﺎي ﻋﺎﻳﻖ‬ ‫‪-5-11‬‬
‫ﺟﻨﺲ ﻻﻳﻪﻫﺎي ﻋﺎﻳﻖ در ﻣﺪارات اﻟﻜﺘﺮوﻧﻴﻜﻲ از اﻫﻤﻴﺖ ﺑﺴﻴﺎر ﺑﺎﻻﻳﻲ ﺑﺮﺧﻮردار اﺳﺖ‪.‬اﻳﻦ ﺑﺪان دﻟﻴﻞ‬
‫اﺳﺖ ﻛﻪ ﻻﻳﻪﻫﺎي ﻋﺎﻳﻖ ﺳﻬﻢ ﺑﺴﺰاﻳﻲ در ﻣﻴﺰان ﺛﺎﺑﺖ زﻣﺎﻧﻲ ﺳﻴﺴﺘﻢ و د ر ﻧﺘﻴﺠﻪ ﻣﻴﺰان ﺗﺄﺧﻴﺮ‬
‫ﺳﻴﺴﺘﻢ دارﻧﺪ ‪.‬‬
‫‪T=R*C‬‬ ‫اﻳﻦ ﺗﺄﺛﻴﺮ از راﺑﻄﻪ و ﺷﻜﻞ زﻳﺮ ﻗﺎﺑﻞ ﻣﺤﺎﺳﺒﻪ اﺳﺖ ‪:‬‬

‫ﻫﻤﺎن ﻃﻮر ﻛﻪ از ﺷﻜﻞ و راﺑﻄﻪ ﻇﺮﻓﻴﺖ ﺧﺎزﻧﻲ ﭘﻴﺪاﺳﺖ‪ ،‬ﻻﻳﻪ ﻋﺎﻳﻖ ﺑﺎ ﻻﻳﻪﻫﺎي ﻓﻠﺰي ﺗﺸﻜﻴﻞ ﺧﺎزن‬
‫ﻣﻲدﻫﺪ‪ ،‬ﻛﻪ اﻳﻦ ﺧﺎزن ﺑﻪ ﻫﻤﺮاه ﻣﻘﺎوﻣﺖ ﻻﻳﻪﻫﺎي ﻓﻠﺰي ﺑﻴﺎن ﮔﺮ ﺛﺎﺑﺖ زﻣﺎﻧﻲ ﺳﻴﺴﺘﻢ اﺳﺖ‪ .‬ﻇﺮﻓﻴﺖ‬
‫ﺧﺎزﻧﻲ ﻣﺴﺘﻘﻴﻤﺎً ﺑﺎ ﺛﺎﺑﺖ دي اﻟﻜﺘﺮﻳﻚ ﻻﻳﻪ ﻋﺎﻳﻖ در ارﺗﺒﺎط اﺳﺖ‪ .‬ﺑﻨﺎ ﺑﺮ اﻳﻦ ﺑﺎ اﻧﺘﺨﺎب دي اﻟﻜﺘﺮﻳﻚ‬
‫ﺑﺎ ﺛﺎﺑﺖ‬
‫دي اﻟﻜﺘﺮﻳﻚ ﻛﻤﺘﺮ‪ ،‬ﻣﻴﺰان ﻇﺮﻓﻴﺖ ﺧﺎزﻧﻲ و در ﻧﺘﻴﺠﻪ ﺛﺎﺑﺖ زﻣﺎﻧﻲ ﺳﻴﺴﺘﻢ ﻛﺎﻫﺶ ﻣﻲﻳﺎﺑﺪ و ﺑﺪﻳﻦ‬
‫ﺗﺮﺗﻴﺐ ﺳﺮﻋﺖ ﺳﻴﺴﺘﻢ در ﭘﺎﺳﺦ دﻫﻲ ﺑﺎﻻﺗﺮ ﻣﻲ رود‪.‬‬
‫ﻣﻴﺰان ﺛﺎﺑﺖ دي اﻟﻜﺘﺮﻳﻚ ﻣﻨﺎﺳﺐ ﺑﺮاي ﺳﻴﺴﺘﻢﻫﺎي اﻟﻜﺘﺮﻳﻜﻲ ﺑﻴﻦ ‪ 1.5‬ﺗﺎ ‪ 2‬ﻣﻲﺑﺎﺷﺪ ﻛﻪ اﻳﻦ‬
‫ﻣﺤﺪوده‪،‬‬
‫دي اﻛﺴﻴﺪ ﺳﻴﻠ ﻴﻜﻮن ﺑﺎ ﺛﺎﺑﺖ زﻣﺎﻧﻲ ‪ 3.9‬را ﺟﻬﺖ ﺳﺎﺧﺖ ﻗﻄﻌﺎت اﻟﻜﺘﺮوﻧﻴﻜﻲ ﻧﺎﻣﻨﺎﺳﺐ ﻣﻲﺳﺎزد ‪ .‬اﻣﺎ‬
‫دﻳﮕﺮ ﺧﻮاص ‪ SiO2‬ﻫﻤﭽﻮن ﭼﺴﺒﻨﺪﮔﻲ ﻣﻨﺎﺳﺐ ﺑﻪ ﺳﻄﺢ ﺳﻴﻠﻴﻜﻮن آن را ﺑﻪ ﻋﻨﻮان ﻋﺎﻳﻖ ﺳﻄﺤﻲ ﭘﺮ‬
‫ﻛﺎرﺑﺮ ﻣﻌﺮﻓﻲ ﻣﻲ ﻧﻤﺎﻳﺪ ‪.‬‬

‫‪393‬‬
‫در زﻳﺮ ﻟﻴﺴﺘﻲ از ﺑﺮﺧﻲ ﻓﻠﺰات راﻳﺞ و دي اﻟﻜﺘﺮﻳﻚ ﻣﻨﺎﺳﺐ آنﻫﺎ را ﻣﻲﺑ ﻴﻨﻴﺪ ‪:‬‬

‫ﻛﺎرﺑﺮدﻫﺎي ﻧﻮارﻫﺎي ﻓﻠﺰي )‪(Metal film‬‬ ‫‪-6-11‬‬

‫‪- 1- 6- 11‬ﻓﻴﻮز‬

‫ﻓﻴﻮز ﻳﻚ ﻗﻄﻌﻪي اﻟﻜﺘﺮﻳﻜﻲ اﺳﺖ ﻛﻪ وﻗﺘﻲ ‪ overload‬ﻣﻲﺷﻮد‪ ،‬ﻣﻲﺗﻮاﻧﺪ ﺟﺮﻳﺎن اﻟﻜﺘﺮﻳﻜﻲ را‬
‫ﻗﻄﻊ ﻛﻨﺪ‪ .‬ﭘﻴﺸﺮﻓﺖ ﺗﻜﻨﻮﻟﻮژي ﻓﻴﻮزﻫﺎي ﻻﻳﻪ ﻧﺎزك‪ ،‬اﻣﻜﺎن اﻳ ﺠﺎد ﻣﺪارات ‪ PROM‬را داده اﺳﺖ ﻛﻪ‬
‫ﻣﻲﺗﻮاﻧﻨﺪ ﺑﺮاي ﻣﺪت ﺛﺎﺑﺘﻲ ﺑﺮﻧﺎﻣﻪ رﻳﺰي ﺷﻮﻧﺪ‪ .‬در اﻳﻦ ﺟﺎ ﻣﻨﻈﻮر از ﻓﻴﻮز‪ ،‬ﻳﻚ اﻟﻤﺎن ﺣﻔﺎﻇﺘﻲ‬
‫ﻧﻤﻲﺑﺎﺷﺪ‪ ،‬ﺑﻠﻜﻪ ﺑﺎ ﺳﻮﺧﺘﻦ ﻳﺎ ﻧﺴﻮﺧﺘﻦ آن ﻳﻚ ﺳﻠﻮل ﺣﺎﻓﻈﻪ ﻳﺎ ﮔﻴﺖ ﻣﻨﻄﻘﻲ ﺑﺮﻧﺎﻣﻪ رﻳﺰي ﻣﻲﺷﻮد‪.‬‬
‫ﻫﻤﭽﻨﻴﻦ ﻓﻴﻮز اﺟﺎزهي ‪ field programming‬دﻳﺘﺎ را در ﻗﺴﻤﺖﻫﺎي ﺣﺎﻓﻈﻪي ﭼﻴﭗ داده اﺳﺖ‪.‬‬
‫ﺳﻮزاﻧﺪن ﻳﻚ ﻓﻴﻮز ﺗﻮﺳﻂ ﮔﺮﻣﺎي اﻳﺠﺎد ﺷﺪه در ﻗﺴﻤﺖ ﮔﺮدﻧﻪ آن ﺻﻮرت ﻣﻲﮔﻴﺮد‪ .‬ﺟﺮﻳﺎن ﻋﺒﻮري‬
‫از ﻓﻴﻮز را ﻛﻪ ﺑﺎ اﻳﺠﺎد ﮔﺮﻣﺎ ﺳﺒﺐ ﺳﻮﺧﺘﻪ ﺷﺪن ﻓﻴﻮز ﻣﻲﺷﻮد ﺟﺮﻳﺎن ‪ Blowing‬ﻣﻲ ﻧﺎﻣﻨﺪ ‪ .‬ﮔﺮﻣﺎي‬
‫ﺗﻮﻟﻴﺪ ﺷﺪه در ﮔﺮدﻧﻪ ﻓﻴﻮز ﺑﻪ ﻣﻘﺪار ﻣﺎده ﻓﻴﻮز د ر آن ﻧﺎﺣﻴﻪ و ﻫﻤﭽﻨﻴﻦ ﺑﻪ ﺳﻄﺢ ﺟﺮﻳﺎن ‪Blowing‬‬

‫ﺑﺴﺘﮕﻲ دارد‪.‬‬

‫‪394‬‬
‫ﻓﻴﻠﻢﻫﺎي ﻓﻠﺰي ﻣﻌﻤﻮ ﻻً از ﻧﻴﻜﺮوم ‪)Nichrome‬آﻟﻴﺎژي ﺷﺎﻣﻞ ‪ 80‬درﺻﺪ ﻧﻴﻜﻞ و ‪ 20‬درﺻﺪ ﻛﺮوم(‬
‫و آﻟﻴﺎژ ﺗﻴﺘﺎﻧﻴﻮم – ﺗﻨﮕﺴﺘﻦ ‪ Ti-W‬ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻣﻲﺷﻮﻧﺪ‪ .‬اﻧﺪازه ﻓﻴﻠﻢﻫﺎي ﻓﻠﺰي در ﻣﺤﺪوده ‪200- 500‬‬
‫آﻧﮕﺴﺘﺮوم ﻣﻲﺑﺎﺷﻨﺪ‪.‬‬

‫‪ :b‬ﻓﻴﻮز‬ ‫‪ :a‬ﺷﻤﺎﺗﻴﻚ آراﻳﻪاي ﻓﻴﻮزﻫﺎي ﺣﺎﻓﻈﻪ‬

‫‪ - 2- 6- 11‬اﻟﻜﺘﺮود ﮔﻴﺖ ﺗﺮاﻧﺰﻳﺴﺘﻮر ‪ MOS‬و ﺧﺎزنﻫﺎ‬

‫ﺗﺮاﻧﺰﻳﺴﺘﻮرﻫﺎي ‪ MOS‬داراي ﺳﺎﺧﺘﺎر ﺧﺎزن ﮔﻮﻧﻪ ﻫﺴﺘﻨﺪ و اﻟﻜﺘﺮود ﺑﺎﻻﻳﻲ ﻛﻪ ﮔﻴﺖ ﻧﺎﻣﻴﺪه‬
‫ﻣﻲﺷﻮد‪ ،‬ﻳﻚ ﺳﺎﺧﺘﺎر ﻣﻬﻢ در ﺗﺮاﻧﺰﻳﺴﺘﻮرﻫﺎي ‪ MOS‬ﻣﺤﺴﻮب ﻣﻲﺷﻮد ‪.‬‬
‫در اﻛﺜﺮ ﻧﻴﻤﻪ ﻫﺎديﻫﺎ ﺟﺮﻳﺎن اﻟﻜﺘﺮﻳﻜﻲ از ﻳﻚ ﻣﻨﺒﻊ ﺧﺎرﺟﻲ ﺑﻪ داﺧﻞ ﺳﻴﺴﺘﻢ ﻓﻠﺰي ﭼﻴﭗ وارد‬
‫ﻣﻲﺷﻮد؛ از ﺟﻤﻠﻪ ﺗﺮاﻧﺰﻳﺴﺘﻮرﻫﺎي دوﻗﻄﺒﻲ‪ ،‬دﻳﻮدﻫﺎ و ﻣﻘﺎوﻣﺖ و ﻓﻴﻮزﻫﺎ‪ .‬ﺗﺮاﻧﺰﻳﺴﺘﻮرﻫﺎي ‪ MOS‬ﻳﻚ‬
‫ﺳﻮرس و ﻳﻚ درﻳﻦ دارﻧﺪ ﻛﻪ ﺑﻮﺳﻴﻠﺔ ﮔﻴﺖ از ﻫ ﻢ ﺟﺪاﺷﺪهاﻧﺪ ‪ .‬ﻫﻴﭻ ﺟﺮﻳﺎﻧﻲ از ﺳﻴﺴﺘﻢ ﻓﻠﺰي ﺑﻪ‬
‫داﺧﻞ ﮔﻴﺖ وارد ﻧﻤﻲﺷﻮد ‪ .‬در ﻋﻮض وﻟﺘﺎژي ﺑﻪ ﻓﻠﺰ ﺑﺎﻻي ﮔﻴﺖ اﻋﻤﺎل ﻣﻲ ﺷﻮد ﻛﻪ در آﻧﺠﺎ ﻓﻠﺰ ﺑﺎرﻫﺎ‬
‫را در ﺳﻄﺢ وﻳﻔﺮ زﻳﺮ ﮔﻴﺖ اﻟﻘﺎ ﻣﻲﻛﻨﺪ ‪ .‬در ﺑﻴﺸﺘﺮ ﻣﺪارﻫﺎ ﮔﻴﺖ ﺑﻮﺳﻴﻠﺔ ﻳﻚ ﭘﻠﻲ ﺳﻴﻠﻴﻜﻮن رﺷﺪ‬
‫داده ﺷﺪه‪ ،‬ﺷﻜﻞ داده ﻣﻲﺷﻮد ‪.‬ﺟﻬﺖ اﻓﺰاﻳﺶ ﻫﺪاﻳﺖ‪ ،‬ﭘﻠﻲ ﺳﻴﻠﻴﻜﻮن آﻻﻳﺶ ﻣﻲﺷﻮد ﻛﻪ ﻫﻢ ﺑﻪ‬
‫ﻋﻨﻮان ﮔﻴﺖ ‪ MOS‬و ﻫﻢ ﺑﻪ ﻋﻨﻮان ﻫﺎدي ﻣﺪار ﻋﻤﻞ ﻣﻲ ﻛﻨﺪ ‪.‬‬
‫دي‬ ‫ﺧﺎزنﻫﺎ ﻧﻴﺎز ﺑﻪ دو ﻻﻳﻪي ﻫﺎدي دارﻧﺪ ﻛﻪ اﻟﻜﺘﺮود ﻧﺎﻣﻴﺪه ﻣﻲﺷﻮﻧﺪ‪ ،‬ﻛﻪ ﺑﻪ وﺳﻴﻠﻪي ﻳﻚ‬
‫اﻟﻜﺘﺮﻳﻚ از ﻫﻢ ﺟﺪا ﻣﻲﺷﻮﻧﺪ‪ .‬در ﺧﺎزنﻫﺎي اﻟﻜﺘﺮوﻟﻴﺖ‪ ،‬اﻟﻜﺘﺮود ﺑﺎﻻﺋﻲ ﺑﺎ ﺳﻴﺴﺘﻢﻫﺎي ﻻﻳﻪ ﻧﺸﺎﻧﻲ‬
‫ﻓﻠﺰات ﺳﺎﺧﺘﻪ ﻣﻲﺷﻮد ‪.‬‬

‫‪395‬‬
‫‪ - 3- 6- 11‬ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻃﻼ ﭘﺸﺖ وﻳﻔﺮ‬

‫ﮔﻪ ﮔﺎﻫﻲ ﻃﻼ را ﺑﺮ روي ﭘﺸﺖ وﻳﻔﺮ‪ ،‬ﻗﺒﻞ از ﻣﺮﺣﻠﻪي ‪ wafersort‬ﺗﺒﺨﻴﺮ ﻣﻲ ﻛﻨﻨﺪ ‪.‬در اﻳﻦ ﻛﺎرﺑﺮد‪،‬‬
‫ﻃﻼ ﻣﺜﻞ ﻟﺤﻴﻢ ﻋﻤﻞ ﻣﻲﻛﻨﺪ ‪.‬‬

‫روشﻫﺎ ي ﻻﻳﻪ ﻧﺸﺎﻧﻲ‬ ‫‪-7-11‬‬


‫ﺗﻜﻨﻴﻚﻫﺎي ﻣﺘﺎﻟﻴﺰاﺳﻴﻮن ﻫﻤﺎﻧﻨﺪ ﻓﺮاﻳﻨﺪﻫﺎي دﻳﮕﺮ ﺳﺎﺧﺖ در ﭘﺎﺳﺦ ﺑﻪ ﻧﻴﺎزﻫﺎي ﻣﺪارﻫﺎي ﺟﺪﻳﺪ رو‬
‫ﺑﻪ ﺑﻬﺒﻮد و ﺗﻜﺎﻣﻞ ﺗﺪرﻳﺠﻲ ﻣﻲ ﺑﺎﺷﺪ ‪ .‬روش ﻋﻤﺪه ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻓﻠﺰي ﺗﺎ اواﺳﻂ ﺳﺎل ‪ 1970‬ﺗﺒﺨﻴﺮ در‬
‫ﺧﻸ ﺑﻮد‪ .‬آﻟﻮﻣﻴﻨﻴﻮم‪ ،‬ﻃﻼ و ﻓﻠﺰﻫﺎي ﻓﻴﻮز ﻫﻤﮕﻲ ﺑﺎ اﻳﻦ ﺗﻜﻨﻴﻚ ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻣﻲ ﺷﺪﻧﺪ‪ .‬ﻧﻴﺎز ﺑﻪ ﻻﻳﻪ‬
‫ﻧﺸﺎﻧﻲ ﺳﻴﺴﺘﻢﻫﺎ و آﻟﻴﺎژﻫﺎي ﭼﻨﺪ ﻓﻠﺰي ﺑﻪ ﻫﻤﺮاه ﻧﻴﺎ ز ﺑﺮاي ﭘﻮﺷﺶ ﺑﻬﺘﺮ ﻣﺮاﺣﻞ ﻻﻳﻪ ﻧﺸﺎﻧﻲ‪،‬‬
‫ﻣﻘﺪﻣﻪاي ﺑﺮاي اﻳﺠﺎد روش اﺳﭙﺎﺗﺮﻳﻨﮓ ﺷﺪ ﻛﻪ ﺑﻪ ﻋﻨﻮان ﺗﻜﻨﻴﻚ ﻻﻳﻪ ﻧﺸﺎﻧﻲ اﺳﺘﺎﻧﺪارد ﺑﺮاي ﺳﺎﺧﺖ‬
‫ﻣﺪار ‪ VLSI‬ﻣﻲﺑﺎﺷﺪ‪ .‬ﻧﻴﺎز ﺑﺮاي ﺳﺎﺧﺖ ﻓﻠﺰ ﻧﺴﻮز) ﻣﻘﺎوم( ﺑﺎﻋﺚ اﻳﺠﺎد ﺗﻜﻨﻴﻚ ﺳﻮﻣﻲ ﺑﻨﺎم ‪ CVD‬ﺷﺪ‪.‬‬

‫‪- 1- 7- 11‬ﺗﺒﺨﻴﺮ در ﺧﻸ‬

‫ﺗﺒﺨﻴﺮ در ﺧﻸ ﺑﺮاي ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻓﻠﺰﻫﺎ ﺑﺮ روي ادوات ﮔﺴﺴﺘﻪ و ﻣﺪارﻫﺎﻳﻲ ﺑ ﺎ ﺳﻄﻮح ﺗﺠﻤﻊ ﭘﺎﻳﻴﻦﺗﺮ‬
‫ﻣﻮرد اﺳﺘﻔﺎده ﻗﺮار ﻣﻲ ﮔﻴﺮد‪ .‬ﻫﻤﭽﻨﻴﻦ ﺑﺮاي ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻃﻼ در ﭘﺸﺖ وﻳﻔﺮ ﻛﻪ ﺑﺮاي از ﺑﻴﻦ ﺑﺮدن‬
‫ﭼﺴﺒﻨﺪﮔﻲ در ﻳﻚ ﺑﺴﺘﻪ ) ‪ ( package‬ﻣﻮرد اﺳﺘﻔﺎده ﻗﺮار ﻣﻲﮔﻴﺮد از اﻳﻦ روش اﺳﺘﻔﺎده ﻣﻲﻛﻨﻨﺪ‪.‬‬
‫ﺗﺒﺨﻴﺮ در ﺧﻸ در داﺧﻞ ﻳﻚ ﻣﺤﻔﻈﻪ ﺗﺨﻠﻴﻪ ﺷﺪه ﺻﻮرت ﻣﻲﮔﻴﺮد‪ .‬ﻣﺤﻔﻈﻪ ﻣﻲﺗﻮاﻧﺪ از ﺟﻨﺲ ﻛﻮارﺗﺰ‬
‫ﻳﺎ ﻓﻮﻻد زﻧﮓ ﻧﺰن ﺑﺎﺷﺪ‪ .‬در داﺧﻞ ﻣﺤﻔﻈﻪ ﻣﻜﺎﻧﻴﺰﻣﻲ ﺑﺮاي ﺗﺒﺨﻴﺮ ﻣﻨﺒﻊ ﻓﻠﺰي)ﻓﻠﺰ ﻣﻮرد ﻧﻈﺮ ﺑﺮاي‬
‫ﻻﻳﻪ ﻧﺸﺎﻧﻲ(‪ ،‬ﻧﮕﻪ دارﻧﺪهﻫﺎي وﻳﻔﺮ‪ ،‬ﻳﻚ دﻳﺎﻓﺮاﮔﻢ )‪ ،( shutter‬ﻣﻮﻧﻴﺘﻮرﻫﺎي ﻧﺸﺎن دﻫﻨﺪه ﺿﺨﺎﻣﺖ و‬
‫ﻧﺮخ رﺷﺪ و ﻫﻴﺘﺮﻫﺎ وﺟﻮد دارﻧﺪ‪ .‬ﻣﺤﻔﻈﻪ ﺑﻪ ﭘﻤﭗ ﺗﺨﻠﻴﻪ ﻣﺘﺼﻞ ﻣﻲ ﺷﻮد‪.‬‬
‫از آﻧﺠﺎ ﻛﻪ آﻟﻮﻣﻴﻨﻴﻮم ﺑﺤﺮاﻧﻲﺗﺮﻳﻦ ﻣﺎدهاي اﺳﺖ ﻛﻪ ﺗﺒﺨﻴﺮ ﻣﻲﺷﻮد ﺑﻪ ﻫﻤﻴﻦ ﺟﻬﺖ ﻣﺎ ﺑﺎﻳﺪ ﺑﺮ روي‬
‫ﻻﻳﻪ ﻧﺸﺎﻧﻲ آن ﻣﺘﻤﺮﻛﺰ ﺷﻮﻳﻢ‪ .‬ﺧﻸ ﺑﻪ ﭼﻨﺪ دﻟﻴﻞ ﻣﻮرد ﻧﻴﺎز اﺳﺖ‪ .‬اوﻟﻴﻦ دﻟﻴﻞ ﻣﻼﺣﻈﻪ ﺷﻴﻤﻴﺎﻳﻲ‬
‫اﺳﺖ‪ .‬اﮔﺮ ﺗﻌﺪادي ﻣﻮﻟﻜﻮل اﻛﺴﻴﮋن در ﻣﺤﻔﻈﻪ وﺟﻮد داﺷﺘﻪ ﺑﺎﺷﺪ‪ ،‬در آن ﺻﻮرت اﺗﻢﻫﺎي آﻟﻮﻣﻴﻨﻴﻮم‬
‫ﻛﻪ ﺑﺎﻳﺪ ﺑﺎ اﻧﺮژي ﺑﺎﻻ ﺑﺮ روي وﻳﻔﺮ ﻧﺸﺎﻧﺪه ﺷﻮﻧﺪ‪ ،‬ﺑﺎ آن ﺗﺸﻜﻴﻞ ﺗﺮي اﻛﺴﻴﺪ آﻟﻮﻣﻴﻨﻴﻮم ‪Al2O3‬‬

‫‪396‬‬
‫ﻣﻲدﻫﻨﺪ‪ .‬ﺗﺮي اﻛﺴﻴﺪ آﻟﻮﻣﻴﻨﻴﻮم ﻳﻚ دي اﻟﻜﺘﺮﻳﻚ اﺳﺖ ﻛﻪ اﮔﺮ ﺑﺎ ﻓﻴﻠﻢ ﻧﺸﺎﻧﺪه ﺷﺪه ﺗﺮﻛﻴﺐ ﺷﻮد‪،‬‬
‫ﻧﻘﺶ آﻟﻮﻣﻴﻨﻴﻮم را ﺑﻪ ﻋﻨﻮان ﻳﻚ ﻫﺎدي ﺑﻪ ﻣﺨﺎﻃﺮه ﺧﻮاﻫﺪ اﻧﺪاﺧﺖ‪ .‬دﻟﻴﻞ دوم ﺑﺮاي ﻻﻳﻪ ﻧﺸﺎﻧﻲ در‬
‫ﺧﻸ‪ ،‬اﻳﺠﺎد ﭘﻮﺷﺶ ﻳﻜﻨﻮاﺧﺖ اﺳﺖ ‪ .‬ﻫﻨﮕﺎﻣﻲ ﻛﻪ ﻓﺸﺎر ﺑﻪ اﻧﺪازه ﻛﺎﻓﻲ ﻛﺎﻫﺶ ﭘﻴﺪا ﻣﻲﻛﻨﺪ ‪ ،‬ﻃﻮل‬
‫ﭘﻮﻳﺶ آزاد ) ‪ (mean free path‬اﺗﻢﻫﺎي ﭘﻮﺷﺶ دﻫﻨﺪه اﻓﺰاﻳﺶ ﻳﺎﻓﺘﻪ و از اﺑﻌﺎد ﻣﺤﻔﻈﻪ ﻧﻴﺰ ﺗﺠﺎوز‬
‫ﻣﻲﻛﻨﺪ‪ .‬در اﻳﻦ وﺿﻌﻴﺖ‪ ،‬اﺗﻢﻫﺎي ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻣﻄﻤﺌﻨ ﺎً ﻗﺒﻞ از آﻧﻜﻪ ﺑﻪ ﻫﻤﺪﻳﮕﺮ ﺑﺮﺧﻮرد ﻛﻨﻨﺪ و ﺑﺎﻋﺚ‬
‫ﻻﻳﻪ ﻧﺸﺎﻧﻲﻫﺎي ﻏﻴﺮ ﻳﻜﻨﻮاﺧﺖ ﺷﻮﻧﺪ‪ ،‬ﺑﻪ وﻳﻔﺮﻫﺎ ﺑﺮﺧﻮرد ﺧﻮاﻫﻨﺪ ﻛﺮد‪ .‬ﺧﻸ ﻣﻮرد ﻧﻴﺎز ﺑﺮاي ﺗﺒﺨﻴﺮ‬
‫ﻣﻮﻓﻘﻴﺖ آﻣﻴﺰ آﻟﻮﻣﻴﻨﻴﻮم از ﻓﺸﺎر ‪ 5 × 10 −5‬ﺗﺎ ‪ 1 × 10 −9‬ﺗﻮرﻳﭽﻠﻲ ﻣﻲ ﺑﺎﺷﺪ ‪.‬‬

‫‪ - 1- 1- 7- 11‬ﻣﻨﺎﺑﻊ ﺗﺒﺨﻴﺮ‬

‫ﻗﺒﻞ از ﺗﻮﺿﻴﺢ در ﻣﻮرد روشﻫﺎي ﮔﻮﻧﺎﮔﻮﻧﻲ ﻛﻪ ﺳﺒﺐ ﺗﺒﺨﻴﺮ ﻳﻚ ﻓﻠﺰ ﻣﻲﺷﻮد ﻣﺮوري ﺑﺮ ﺗﺌﻮري‬
‫ﭘﺎﻳﻪاي ﺗﺒﺨﻴﺮ ﻣﻨﺎﺳﺐ ﻣﻲ ﺑﺎﺷﺪ ‪ .‬ﺑﻴﺸﺘﺮ ﻣﺎ ﺑﺎ ﺗﺒﺨﻴﺮ ﻳﻚ ﻣﺎﻳﻊ از ﻟﻴﻮان آزﻣﺎﻳﺸﮕﺎﻫﻲ آﺷﻨﺎ ﻫﺴﺘﻴﻢ‪.‬‬

‫‪397‬‬
‫ﻣﻘﺪار ﻗﺎﺑﻞ ﻣﻼﺣﻈﻪاي ﻓﻌﺎﻟﻴﺖ اﺗﻤﻲ و ﻳﺎ ﻣﻮﻟﻜﻮﻟﻲ در ﺳﻄﺢ ﻣﺎﻳﻊ وﺟﻮد دارد ‪ .‬اﻧﺮژي اﻳﻦ ﻓﻌﺎﻟﻴﺖ از‬
‫درﺟﻪ ﺣﺮارت )ﮔﺮﻣﺎي ( ﻣﺎﻳﻊ ﺣﺎﺻﻞ ﻣﻲﺷﻮد‪.‬‬
‫در ﺣﺎﻟﺖ ﻣﺎﻳﻊ ‪ ،‬ﻣﻮﻟﻜﻮلﻫﺎ داﺋﻤﺎً ﻣﺎﻳﻊ را ﺗﺮك و ﺑﻪ ﺳﻮي آن ﺑﺎز ﻣﻲﮔﺮدﻧﺪ‪ .‬ﺑﺮﺧﻲ از ﻣﻮﻟﻜﻮلﻫﺎ‬
‫اﻧﺮژي ﻛﺎﻓﻲ دارﻧﺪ ﻛﻪ ﺳﻄﺢ ﻣﺎﻳﻊ را ﻛﺎﻣﻼً رﻫﺎ ﻛﺮده و در اﺗﻤﺴﻔﺮ اﻃﺮاف ﻗﺮار ﺑﮕﻴﺮﻧﺪ‪ .‬ﺗﺒﺨﻴﺮ ﺑﻪ ﻋﻠﺖ‬
‫اﻧﺮژي داﺧﻠﻲ ﻣﺎﻳﻊ)ﺑﻪ ﺧﺎﻃﺮ ﺑﻴﺎورﻳﺪ ﺣﺘﻲ در درﺟﻪ ﺣﺮارت اﺗﺎق ﻫﻢ ﻣﻮاد داراي اﻧﺮژي ﻫﺴﺘﻨﺪ( و‬
‫ﺗﺮاﻛﻢ ﭘﺎﻳﻴﻦﺗﺮ ﻣﻮﻟﻜﻮلﻫﺎي آب در اﺗﻤﺴﻔﺮ ﺑﺎﻻي آب ﻣﺎﻳﻊ رخ ﻣﻲدﻫﺪ‪ .‬ﻧﺮخ ﺗﺒﺨﻴﺮ ﻣﻲﺗﻮاﻧﺪ ﺑﺎ‬
‫دادن اﻧﺮژي ﺑﻪ ﻣﻮﻟﻜﻮلﻫﺎي آب اﻓﺰاﻳﺶ ﭘﻴﺪا ﻛﻨﺪ‪ ،‬ﻳﻌﻨﻲ ﺑﺎ ﮔﺮم ﻛﺮدن و ﻳﺎ ﻛﺎﻫﺶ رﻃﻮﺑﺖ در ﻫﻮاي‬
‫ﺑﺎﻻي آب ﻣﺎﻳﻊ‪ .‬ﻫﻤﻴﻦ ﻓﺮاﻳﻨﺪ ﺗﺒﺨﻴﺮ ﻣﻲﺗﻮاﻧﺪ در ﻣﻮرد ﻣﻮاد ﺟﺎﻣﺪ ﻧﻴﺰ اﺗﻔﺎق ﺑﻴﻔﺘﺪ‪ .‬ﻻزم اﺳﺖ ﻛﻪ‬
‫ﻓﻠﺰ ﮔﺮم ﺷﻮد ﺗﺎ ﺑﻪ ﺣﺎﻟﺖ ﻣﺎﻳﻊ در آﻳﺪ‪ .‬ﺑﻪ ﻃﻮري ﻛﻪ اﺗﻢﻫﺎ ﻳﺎ ﻣﻮﻟﻜﻮلﻫﺎ در اﺗﻤﺴﻔﺮ اﻃﺮاف ﺗﺒﺨﻴﺮ‬
‫ﺷﻮﻧﺪ‪.‬‬
‫ﭼﻬﺎر روش ﺑﺮاي ﺗﺒﺨﻴﺮ ﻓﻠﺰات در ﻳﻚ ﺳﻴﺴﺘﻢ ﺧﻼء ﻣﻮرد اﺳﺘﻔﺎده ﻗﺮار ﻣﻲ ﮔﻴﺮد ﻛﻪ ﻋﺒﺎرﺗﻨﺪ از‪:‬‬
‫ﻓﻴﻠﻤﺎن ﻫﺎ ‪Filaments‬‬
‫‪RF heating‬‬
‫ﭘﺮﺗﻮ اﻟﻜﺘﺮوﻧﻲ‬
‫ﻓﻠﺶ ﺻﻔﺤﻪ داغ ‪Flash hot plate‬‬

‫‪ - 2- 1- 7- 11‬ﺗﺒﺨﻴﺮ ﻓﻴﻠﻤﺎﻧﻲ‬

‫ﺗﺒﺨﻴﺮ ﻓﻴﻠﻤﺎﻧﻲ ﺳﺎدهﺗﺮﻳﻦ روش در ﻣﻴﺎن ﭼﻬﺎر روش ﻓﻮق ﻣﻲ ﺑﺎﺷﺪ‪ .‬اﻳﻦ روش ﺑﺮاي ﺗﺒﺨﻴﺮﻫﺎﻳﻲ ﻏﻴﺮ‬
‫ﺑﺤﺮاﻧﻲ از ﻗﺒﻴﻞ ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻃﻼ در ﭘﺸﺖ وﻳﻔﺮ ﻣﻮرد اﺳﺘﻔﺎده ﻗﺮار ﻣﻲﮔﻴﺮد‪ .‬در اﻳﻦ روش ﻣﺎده ﺑﻪ‬
‫ﺷﻜﻞ ﻳﻚ ﺳﻴﻢ ﻛﻪ ﺑﺎ ﻳﻚ ﺳﻴﻢ ﭘﻴﭻ از ﺟﻨﺲ ﺗﻨﮕﺴﺘﻦ )ﻳﺎ ﻫﺮ ﻣﺎده دﻳﮕﺮي ﻛﻪ ﻗﺎدر ﺑﻪ اﻳﺴﺘﺎدﮔﻲ‬
‫در ﻣﻘﺎﺑﻞ ﺣﺮارت ﺑﺎﻻ ﺑﺎﺷﺪ( ﭘﻴﭽﻴﺪه ﻣﻲ ﺷﻮد‪.‬‬
‫ﺟﺮﻳﺎن زﻳﺎدي از ﻣﻴﺎن ﻓﻠﺰ ﺗﻨﮕﺴﺘﻦ ﻋﺒﻮر ﻣﻲﻛﻨﺪ ﻛﻪ ﺑﺎﻋﺚ ﻣﻲﺷﻮد ﻓﻠﺰ ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﺑﻪ ﺷﻜﻞ ﻣﺎﻳﻊ‬
‫در آﻳﺪ‪ .‬ﺳﭙﺲ درون ﻣﺤﻔﻈﻪ‪ ،‬ﺑﺨﺎر ﺷﺪه و وﻳﻔﺮﻫﺎ را ﭘﻮﺷﺶ دﻫﺪ‪ .‬روش دﻳﮕﺮ از ﻳﻚ ﻓﻴﻠﻤﺎن ﻣﺴﻄﺢ‬
‫ﺑﺎ ﻳﻚ ﮔﻮدي ﻛﻪ ﺑﺮاي ﻧﮕﻪ داﺷﺘﻦ ﻗﻄﻌﺎت ﻣﻮاد ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻣﻲ ﺑﺎﺷﺪ اﺳﺘﻔﺎده ﻣﻲﻛﻨﺪ‪.‬‬
‫ﺗﺒﺨﻴﺮ ﺑﻪ روش ﻓﻴﻠﻤﺎﻧﻲ ﺑﻪ واﺳﻄﻪ ﺗﻐﻴﻴﺮات ﺣﺮارﺗﻲ در ﻃﻮل ﻓﻴﻠﻤﺎن ﭼﻨﺪان ﻗﺎﺑﻞ ﻛﻨﺘﺮل ﻧﻴﺴﺖ‪.‬‬
‫ﺑﺮاي ﻻﻳﻪ ﻧﺸﺎﻧﻲﻫﺎ ي ﻏﻴﺮ ﺑﺤﺮاﻧﻲ‪ ،‬ﻓﻴﻠﻤﺎن آﻧﻘﺪر ﮔﺮم ﺧﻮاﻫﺪ ﺷﺪ ﺗﺎ ﻫﻤﻪ ﻣﻮاد ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﺗﺒﺨﻴﺮ‬

‫‪398‬‬
‫ﺷﻮﻧﺪ‪ .‬ﻛﻨﺘﺮل اﺿﺎﻓﻲ ﺑﺎ اﺳﺘﻔﺎده از ﻳﻚ ﺷﺎﺗﺮ ﺻﻮرت ﻣﻲﮔﻴﺮد ﺗﺎ ﻻﻳﻪ ﻧﺸﺎﻧﻲ را در زﻣﺎﻧﻲ ﻛﻪ‬
‫ﻗﺒﻼﺗﺨﻤﻴﻦ زده ﺷﺪه اﺳﺖ ﻗﻄﻊ ﻛﻨﺪ‪ .‬ﻋﻴﺐ ﺗﺒﺨﻴﺮ ﻓﻴﻠﻤﺎﻧﻲ اﻳﻦ اﺳﺖ ﻛﻪ ﻫﻤﻪ آﻟﻮدﮔﻲﻫﺎي درون‬
‫ﻣﻮاد ﻣﻨﺎﺑﻊ ﻳﺎ ﻓﻴﻠﻤﺎن ﻧﻴﺰ ﺑﺮ روي وﻳﻔﺮ ﺗﺒﺨﻴﺮ ﻣﻲ ﺷﻮﻧﺪ ‪.‬‬
‫ﻻﻳﻪ ﻧﺸﺎﻧﻲ آﻟﻴﺎژﻫﺎ ﺑﺎ اﻳﻦ روش ﺑﺴﻴﺎر ﺳﺨﺖ ﻣﻲﺑﺎﺷﺪ‪ .‬ﻫﺮ ﻋﻨﺼﺮي ﻧﺮخ ﺗﺒﺨﻴﺮ ﻣﺘﻔﺎوﺗﻲ در ﻳﻚ‬
‫درﺟﻪ ﺣﺮارت ﻣﻌﻴﻦ دارد‪ .‬ﻫﻨﮕﺎﻣﻲﻛﻪ آﻟﻴﺎژي ﻣﺎﻧﻨﺪ ﻧﻴﻜﺮوم‪ ،‬ﺗﺒﺨﻴﺮ ﻣﻲ ﺷﻮد‪ .‬ﻧﻴﻜﻞ و ﻛﺮوﻣﻴﻮم ﻫﺮ‬
‫ﻛﺪام ﺑﺎ ﻧﺮخﻫﺎي ﻣﺘﻔﺎوﺗﻲ ﺗﺒﺨﻴﺮ ﻣﻲ ﺷﻮد‪ .‬در ﻧﺘﻴﺠﻪ ﺗﺮﻛﻴﺐ ﻓﻴﻠﻢ ﺑﺮ روي وﻳﻔﺮ ﻧﻴﺰ از ﺗﺮﻛﻴﺐ ﻣﺎده‬
‫اوﻟﻴﻪ ﻣﺘﻔﺎوت ﺧﻮاﻫﺪ ﺑﻮد‪.‬‬

‫‪RF heating - 3- 1- 7- 11‬‬


‫در اﻳﻦ روش از ﺗﺸﻌﺸﻌﺎت ﻓﺮﻛﺎﻧﺲ رادﻳﻮﻳﻲ ﺑﺮاي ﺗﺒﺨﻴﺮ ﻓﻠﺰ اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ .‬ﮔﺮم ﻛﻨﻨﺪهﻫﺎي ‪RF‬‬

‫ﻣﺎﻧﻨﺪ اﺟﺎق ﮔﺎزﻫﺎي ﻣﺎﻳﻜﺮووﻳﻮي ﻛﻪ ﺑﺮاي ﭘﺨﺖ ﻏﺬا و ﺑﻴﺴﻜﻮﻳﺖ و‪ ...‬اﺳﺘﻔﺎده ﻣﻲ ﺷﻮﻧﺪ ﻋﻤﻞ‬
‫ﻣﻲﻛﻨﻨﺪ ‪ .‬وﻗﺘﻲ ﻛﻪ ﻣﺤﺼﻮﻻت از درون ﻳﻚ اﺟﺎق )ﮔﺮم ﻛﻨﻨﺪه( ﻣﻲﮔﺬرﻧﺪ ﺑﻪ ﺻﻮرت ﻳﻚ ﻓﺮآﻳﻨﺪي ﻛﻪ‬
‫ﮔﺮﻣﺎ ﺑﻪ ﺻﻮرت ﻣﺴﺘﻘﻴﻢ ﻳﺎ ﺣﺠﻤﻲ از ﻃﺮﻳﻖ ﻳﻚ ﻣﻨﺒﻊ اﻧﺮژي ﻓﺮﻛﺎﻧﺲ رادﻳﻮﻳﻲ ﺳﺎﻃﻊ ﻣﻲ ﺷﻮﻧﺪ‪،‬ﮔﺮﻣﺎ‬
‫داده ﻣﻲﺷﻮﻧﺪ ‪.‬‬
‫در ﻧﻮع ﺳﺎده ﻳﻚ ﮔﺮم ﻛﻨﻨﺪه‪ ،RF‬ﻣﺎدهاي ﻛﻪ ﺑﺎﻳﺪ ﮔﺮﻣﺎ داده ﺷﻮد ﻣﻴﺎن دو ﺻﻔﺤﻪ ﻓﻠﺰي ﻛﻪ اﻳﻦ دو‬
‫ﺻﻔﺤﻪ ﺗﺸﻜﻴﻞ ﻳﻚ ﺧﺎزن اﻟﻜﺘﺮﻳﻜﻲ ﻣﻄﺎﺑﻖ ﺷﻜﻞ ﻣﻲدﻫﻨﺪ‪ ،‬ﻗﺮار داده ﻣﻲ ﺷﻮد‪ .‬ﻣﺎده ﺑﻴﻦ دو‬

‫‪399‬‬
‫اﻟﻜﺘﺮود ﺑﻪ ﺻﻮرت ﻳﻚ دي اﻟﻜﺘﺮﻳﻚ ﭘﺮ اﺗﻼف ﻋﻤﻞ ﻣﻲﻛﻨﺪ‪).‬ﺑﻨﺎ ﺑﺮ اﻳﻦ ﮔﺎﻫﻲ از اﻳﻦ روش ﺑﻪ ﻧﺎم دي‬
‫اﻟﻜﺘﺮﻳﻚ ‪ Heating‬ﻫﻢ ﻧﺎم ﺑﺮده ﻣﻲ ﺷﻮد‪ (.‬و اﻧﺮژي اﻣﻮاج ﻓﺮﻛﺎﻧﺲ رادﻳﻮﻳﻲ را از ﻃﺮﻳﻖ ﻳﻚ ژﻧﺮاﺗﻮر‬
‫ﻛﻪ ﺑﻪ ﺻﻔﺤﺎت وﺻﻞ ﻣﻲ ﺑﺎﺷﺪ ﺟﺬب ﻣﻲﻛﻨﻨﺪ ‪.‬‬
‫ﻓﺮآﻳﻨﺪ ‪ RF Heating‬ﺑﺴﺘﮕﻲ ﺑﻪ رﺳﺎﻧﺎﻳﻲ ﻳﻮﻧﻲ ﻣﺎدهاي ﻛﻪ ﺑﺎﻳﺪ ﮔﺮم ﺷﻮد دارد‪ .‬اﻳﻦ اﺛﺮ را ﻣﻲﺗﻮان ﺑﺎ‬
‫دو ﻣﻴﻠﻪ آﻫﻨﺮﺑﺎﻳﻲ ﺗﺸﺒﻴﻪ ﻛﺮد‪ .‬ﻣﻲداﻧﻴﻢ ﻛﻪ اﮔﺮ دو ﻗﻄﺐ ﻫﻤﻨﺎم آﻫﻨﺮﺑﺎ ﻛﻨﺎر ﻳﻜﺪﻳﮕﺮ ﺑﺎﺷﻨﺪ‪ ،‬ﻫﻤﺪﻳﮕﺮ‬
‫را دﻓﻊ ﻣﻲﻛﻨﻨﺪ و دو ﻗﻄﺐ ﻏﻴﺮ ﻫﻤﻨﺎم ﻫﻤﺪﻳﮕﺮ را ﺟﺬب ﻣﻲ ﻛﻨﻨﺪ‪.‬‬

‫ﺑﻪ ﻃﺮﻳﻖ ﻣﺸﺎﺑﻪ‪ ،‬ﻣﻮﻟﻜﻮلﻫﺎي ﻗﻄﺒﻲ ﺑﻪ ﺻﻮرت ﻳﻮن ﻫﺎي ﺑﺎردار ﺑﺎ ﺑﺎرﻫﺎي ﻣﺜﺒﺖ و ﻣﻨﻔﻲ ﻣﻲ ﺑﺎﺷﻨﺪ ‪.‬‬
‫اﮔﺮ دو ﺻﻔﺤﻪ اﻟﻜﺘﺮود ﻛﻪ ﻣﻴﺎن آنﻫﺎ ﻣﺎدهاي ﻗﺮار ﮔﺮﻓﺘﻪ اﺳﺖ ﺑﻪ ﻃﻮر ﻣﺜﺒﺖ و ﻣﻨﻔﻲ ﺑﺎردار ﺷﺪه‬
‫ﺑﺎﺷﻨﺪ‪ ،‬ﻣﻮﻟﻜﻮلﻫﺎي ﻫﻤﻨﺎم ﺗﻤﺎﻳﻞ و ﮔﺮاﻳﺶ ﺑﻪ اﻳﻦ دارﻧﺪ ﻛﻪ در ﻳﻚ ﺟﻬﺖ ﻗﺮار ﮔﻴﺮﻧﺪ ‪.‬اﮔﺮ ﺑﺎرﻫﺎي‬
‫روي ﺻﻔﺤﺎت ﻣﻌﻜﻮس ﺷﻮﻧﺪ‪ ،‬ﻣﻮﻟﻜﻮلﻫﺎ ﺑﻪ دور ﺧﻮد ﻣﻲ ﭼﺮﺧﻨﺪ و در ﺟﻬﺖ ﻣﻌﻜﻮس ﺑﻪ ﻳﻚ ﺧﻂ‬
‫ﻣﻲﺷﻮﻧﺪ‬

‫ﻣﻌﻜﻮس ﻛﺮدن ﺑﺎرﻫﺎ ﺳﺒﺐ ﻣﻲﺷﻮد ﻛﻪ ﻣﻮﻟﻜﻮلﻫﺎ ﺑﺎ ﻫﻢ اﺻﻄﻜﺎك و ﻣﺎﻟﺶ ﭘﻴﺪا ﻛﻨﻨﺪ‪ ،‬ﻛﻪ اﻳﻦ‬
‫اﺻﻄﻜﺎك ﺑﺎﻋﺚ ﺑﻪ وﺟﻮد آﻣﺪن ﮔﺮﻣﺎ ﻣﻲ ﺷﻮد‪ .‬ﺑﻪ ﻃﻮر ﻣﻌﻤﻮل اﻳﻦ اﺗﻔﺎق در رﻧﺞ ﻓﺮﻛﺎﻧﺲﻫﺎي ﺑﺎﻻ در‬
‫ﻣﺤﺪوده ﻣﮕﺎ ﻫﺮﺗﺰ اﺗﻔﺎق ﻣﻲاﻓﺘﺪ‪.‬ﻓﺮﻛﺎﻧﺲ ‪ RF‬اي ﻛﻪ در ‪ dielectric heating‬ﻣﻮرد اﺳﺘﻔﺎده ﻗﺮار‬
‫ﻣﻲﮔﻴﺮد ﻣﻌﻤﻮﻻً ‪ 13.56 MHz‬و ‪ 27.12 MHz‬و ‪ 40.68 MHz‬ﻣﻲﺑﺎﺷﺪ‪ .‬اﻳﻦ ﻓﺮﻛﺎﻧﺲﻫﺎ ﺑﺮاي ﻛﺎرﺑﺮدﻫﺎي‬

‫‪400‬‬
‫ﺻﻨﻌﺘﻲ ‪،‬ﻋﻠﻤﻲ و اﻫﺪاف ﭘﺰﺷﻜﻲ )‪ (I.S.M‬اﺧﺘﺼﺎص داده ﺷﺪهاﻧﺪ ﻛﻪ ﺑﻪ ﻣﻨﻈﻮر اﺟﺘﻨﺎب از ﺗﺪاﺧﻞ ﺑﺎ‬
‫اﺳﺘﻔﺎده ﻛﻨﻨﺪﮔﺎن ﻣﺤﺪوده دﻳﮕﺮ ﻃﻴﻒ ﻓﺮﻛﺎﻧﺲ رادﻳﻮﻳﻲ ﻣﻲ ﺑﺎﺷﺪ ‪.‬‬
‫اﺛﺮ ﮔﺮﻣﺎﻳﻲ ﺑﺴﺘﮕﻲ ﺑﻪ ﻓﺮﻛﺎﻧﺲ ﻣﻮج ﻣﻮرد اﺳﺘﻔﺎده‪ ،‬وﻟﺘﺎژ ﻣﻴﺪان ‪ RF‬و ﺿﺮﻳﺐ اﺗﻼف ﻣﺎدهاي ﻛﻪ‬
‫ﻣﻲﺧﻮاﻫﺪ ﮔﺮم ﺷﻮد ﺑﺴﺘﮕﻲ دارد‪ .‬ﺿﺮﻳﺐ اﺗﻼف ﺑﻪ ﺻﻮرت ﺣﺎﺻﻞ ﺿﺮب ﺛﺎﺑﺖ دي اﻟﻜﺘﺮﻳﻚ ﻣﺎده ‪ε r‬‬

‫و ﺗﺎﻧﮋاﻧﺖ ﺗﻠﻔﺎت ) ) ‪ ( tan(δ‬ﻣﻲ ﺑﺎﺷﺪ‪ .‬ﻣﻌﺎدﻟﻪ زﻳﺮ ﭼﮕﻮﻧﮕﻲ اﻳﻦ واﺑﺴﺘﮕﻲ را ﻣﺸﺨﺺ ﻣﻲﻛﻨﺪ ‪:‬‬
‫)‪Power / Unitvolume = 2πε 0 ε r E 2 tan δ ( Watts‬‬

‫ﻣﻘﺪار واﺗﻲ ﻛﻪ ﺑﻪ وﺳﻴﻠﻪ اﻳﻦ ﻣﺤﺎﺳﺒﺎت ﻣﺸﺨﺺ ﻣﻲ ﺷﻮد‪ ،‬ﻣﻴﺰان ﺗﻮان ﺧﺮوﺟﻲ ﻓﺮﻛﺎﻧﺲ ﺑﺎﻻي ﻣﻮرد‬
‫ﻧﻴﺎز ﺑﺮاي ژﻧﺮاﺗﻮر‪ RF‬را ﻣﺸﺨﺺ ﻣﻲﻛﻨﺪ‪ ،‬ﻛﻪ در راﺑﻄﻪ ﺑﺎﻻ ‪ δ‬زاوﻳﻪ ﺗﻠﻔﺎت و ‪ ε r tan δ‬ﺿﺮﻳﺐ ﺗﻠﻔﺎت و‬
‫‪ ε 0 = 8.854 × 10 −12‬و ‪ E‬ﻣﻴﺪان اﻟﻜﺘﺮﻳﻜﻲ اﻋﻤﺎﻟﻲ ﻣﻮﺛﺮ ﻣﻲ ﺑﺎﺷﺪ ‪.‬‬
‫ﺑﺪﻳﻦ ﺗﺮﺗﻴﺐ و ﻃﺒﻖ ﻣﻜﺎﻧﻴﺰم ﺑﺎﻻ ﻣﺎدهاي ﻛﻪ ﺑﻪ ﻋﻨﻮان دي اﻟﻜﺘﺮﻳﻚ اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ ،‬ﺗﻮاﻧﺎﻳﻲ‬
‫ﺗﺒﺨﻴﺮ ﺷﺪن ﻣﻲﻳﺎﺑﺪ و اﻳﻦ روش ﺑﻪ ﻋﻨﻮان ﻳﻜﻲ از روشﻫﺎي ﺗﺒﺨﻴﺮ اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‪.‬‬

‫‪ - 4- 1- 7- 11‬ﭘﺮﺗﻮ اﻟﻜﺘﺮوﻧﻲ‬

‫ﻧﻴﺎز ﺑﻪ ﻛﻨﺘﺮل ﺗﺒﺨﻴﺮ و آﻟﻮدﮔﻲ ﻛﻢ ﺑﺎﻋﺚ ﺗﻮﺳﻌﻪ ﻣﻨﺎﺑﻊ ﺗﺒﺨﻴﺮ ﺑﻪ روش ﭘﺮﺗﻮ اﻟﻜﺘﺮوﻧ ﻲ ﺑﺮاي‬
‫آﻟﻮﻣﻴﻨﻴﻮم ﺷﺪ‪ .‬ﺳﻴﺴﺘﻢ ﻣﻮرد اﺳﺘﻔﺎده‪ ،‬ﺗﻔﻨﮓ ﭘﺮﺗﻮ اﻟﻜﺘﺮوﻧ ﻲ ﻳﺎ ﺑﺮاي ﺳﺎدﮔﻲ ﺗﻔﻨﮓ اﻟﻜﺘﺮوﻧﻲ‬
‫ﻧﺎﻣﻴﺪه ﻣﻲﺷﻮد ‪ .‬اﻳﻦ ﻣﻨﺒﻊ ﺗﺒﺨﻴﺮ ﺷﺎﻣﻞ ﻳﻚ ﺑﻮﺗﻪ ﻣﺴﻲ ﺑﺎ ﺳﻴﺴﺘﻢ ﺧﻨﻚ ﻛﻨﻨﺪه آﺑﻲ و ﺑﺎ ﻳﻚ ﺣﻔﺮه‬
‫ﻣﺮﻛﺰي ﺑﺮاي ﻧﮕﻪ داﺷﺘﻦ آﻟﻮﻣﻴﻨﻴﻮم ﻣﻲ ﺑﺎﺷﺪ‪ .‬در ﻳﻚ ﻃﺮف ﺑﻮﺗﻪ‪ ،‬ﻓﻴﻠﻤﺎن ﺑﺎ درﺟﻪ ﺣﺮارت ﺑﺎﻻ ﻗﺮار‬
‫دارد‪ .‬ﺟﺮﻳﺎن ﺑﺎﻻﻳﻲ از داﺧﻞ ﻓﻴﻠﻤﺎن ﻋﺒﻮر ﻣﻲﻛﻨﺪ ﻛﻪ ﺑﺎﻋﺚ ﭘﺮﺗﺎب اﻟﻜﺘﺮونﻫﺎ ﻣﻲﺷﻮد‪.‬‬
‫اﻟﻜﺘﺮونﻫﺎي ﻣﻨﻔﻲ ﺗﻮﺳﻂ ﻳﻚ آﻫﻦ رﺑﺎ ‪ 270‬درﺟﻪ ﺧﻢ ﻣﻲﺷﻮﻧﺪ ‪ ،‬ﺑﻄﻮرﻳﻜﻪ ﭘﺮﺗﻮ اﻟﻜﺘﺮوﻧ ﻲ ﺑﻪ ﻣﺮﻛﺰ‬

‫ﺑﺎر در ﺣﻔﺮه ﺑﺮﺧﻮرد ﻣﻲﻛﻨﺪ‪ .‬اﻳﻦ اﻟﻜﺘﺮونﻫﺎ ﺑﺎ اﻧﺮژي زﻳﺎد‪ ،‬اﺳﺘﺨﺮي از آﻟﻮﻣﻴﻨﻴﻮم ﻣﺎﻳﻊ در ﺣﻔﺮه‬
‫اﻳﺠﺎد ﻣﻲﻛﻨﻨﺪ‪ .‬آﻟﻮﻣﻴﻨﻴﻮم از اﻳﻦ اﺳﺘﺨﺮ داﺧﻞ ﻣﺤﻔﻈﻪ ﺗﺒﺨﻴﺮ ﺷﺪه و ﺑﺮ روي وﻳﻔﺮﻫﺎﻳﻲ ﻛﻪ ﺗﻮ ﺳﻂ‬
‫ﻧﮕﻪدارﻧﺪه ﻫﺎ در ﺑﺎﻻي ﻣﺤﻔﻈﻪ ﻧﮕﻪ داﺷﺘﻪ ﺷﺪهاﻧﺪ‪ ،‬ﻣﻲﻧﺸﻴ ﻨﺪ‪.‬‬
‫ﺧﻨﻚ ﻛﻨﻨﺪه آﺑﻲ ﻟﺒﻪﻫﺎي ﺑﻮﺗﻪ را در ﺣﺎﻟﺖ ﺟﺎﻣﺪ ﻧﮕﻪ ﻣﻲدارد‪ ،‬ﺑﻨﺎﺑﺮاﻳﻦ ﻣﺎﻧﻊ از آن ﻣﻲ ﺷﻮد ﻛﻪ‬
‫آﻟﻮدﮔﻲﻫﺎي ﺑﻮﺗﻪ ﻣﺴﻲ ﺗﺒﺨﻴﺮ ﺷﻮﻧﺪ ‪ .‬ﺗﺒﺨﻴﺮ ﺑﻪ روش ﺗﻔﻨﮓ اﻟﻜﺘﺮوﻧﻲ‪ ،‬ﺑﻪ ﻧﺴﺒﺖ ﺑﺮاي ﻣﻨﺎﺑﻊ‬
‫ﻋﻨﺎﺻﺮي از ﻗﺒﻴﻞ آﻟﻮﻣﻴﻨﻴﻮم و ﻃﻼ ﻛﻨﺘﺮل ﺷﺪه ﻣﻲ ﺑﺎﺷﺪ‪ .‬ﺑﻪ واﺳﻄﻪ ﻫﻤﺎن ﻣﺤﺪودﻳﺘﻲ ﻛﻪ در ﻣﻮرد‬

‫‪401‬‬
‫ﻣﻨﺎﺑﻊ ﺗﺒﺨﻴﺮ ﻓﻴﻠﻤﺎﻧﻲ ﮔﻔﺘﻪ ﺷﺪ‪ ،‬ﻳﻌﻨﻲ ﻧﺮخ ﺗﺒﺨﻴﺮ ﻣﺘﻔﺎوت ﻋﻨﺎﺻﺮ ﻣﺨﺘﻠﻒ‪ ،‬اﻳﻦ روش ﺑﺮاي ﻻﻳﻪ‬
‫ﻧﺸﺎﻧﻲ آﻟﻴﺎژﻫﺎ ﭼﻨﺪان ﻣﻔﻴﺪ ﻧﻴﺴﺖ‪.‬‬

‫‪402‬‬
‫‪ - 5- 1- 7- 11‬ﻓﻠﺶ ﺻﻔﺤﻪ داغ‬

‫ﺳﻴﺴﺘﻢ داغ‪ ،‬ﺑﺎ ﺳﻴﺴﺘﻢ ﻓﻠﺶ ﺗﻮﺳﻌﻪ داده ﺷﺪ ﺗﺎ ﺑﺮﺧﻲ از ﻣﺴﺎﺋﻞ ﺗﺒﺨﻴﺮ آﻟﻴﺎژﻫﺎ ﺑﺎ ﻣﻨﺎﺑﻊ ﺗﻔﻨﮓ‬
‫اﻟﻜﺘﺮوﻧﻲ را ﺣﻞ ﻛﻨﺪ‪ .‬اﻳﻦ ﻣﻨﺒﻊ ﺷﺎﻣﻞ ﻳﻚ ﺻﻔﺤﻪ داغ اﺳﺖ ﻛﻪ ﺑﺎﻻﺗﺮ از ﻧﻘﻄﻪ ذوب آﻟﻴﺎژ ﻣﻮرد ﻧﻈﺮ‬
‫ﻧﮕﻪ داﺷﺘﻪ ﺷﺪه اﺳﺖ‪ .‬ﺳﻴﻢ ﻧﺎزﻛﻲ از آﻟﻴﺎژ ﺑﻪ ﻃﻮر ﺧﻮدﻛﺎر ﺑﻪ روي ﺳﻄﺢ ﺻﻔﺤﻪ داغ ﺗﻐﺬﻳﻪ ﻣﻲ ﺷﻮد‪.‬‬
‫ﻫﻤﻴﻦﻛﻪ ﺑﺎ ﻧﻮك ﺳﻴﻢ اﺗﺼﺎل ﭘﻴﺪا ﻛﺮد‪ ،‬ذوب ﺷﺪه و ﻣﺎده ﺳﺮﻳﻌﺎً ﺑﻪ ﺻﻮرت ﺑﺨﺎر در ﻣﻲآﻳﺪ‪ ،‬و وﻳﻔﺮﻫﺎ‬
‫را در ﻣﺤﻔﻈﻪ ﻣﻲﭘﻮﺷﺎﻧﺪ‪ .‬از آﻧﺠﺎ ﻛﻪ ﻫﻤﻪ ﻋﻨﺎﺻﺮ ﺑﻪ ﻃﻮر ﻫﻢزﻣﺎن ﻓﻠﺶ زده ﻣﻲ ﺷﻮﻧﺪ ﺑﻪ ﻫﻤﻴﻦ ﺟﻬﺖ‬
‫ﺗﺮﻛﻴﺐ ﻓﻴﻠﻢ ﺑﺮ روي وﻳﻔﺮ ﺑﻪ ﺗﺮﻛﻴﺐ ﺳﻴﻢ ﺧﻴﻠﻲ ﻧﺰدﻳﻚ اﺳﺖ‪.‬‬

‫از آﻧﺠﺎ ﻛﻪ ﻣﻨﺒﻊ ﺿﺮورﺗ ﺎً ﻳﻚ ﻣﻨﺒﻊ ﻧﻘﻄﻪاي اﺳﺖ ‪ ،‬ﻟﺬا ﻫﺪف اﺻﻠﻲ در ﻫﺮ ﺳﻴﺴﺘﻢ ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻓﻠﺰي‪،‬‬
‫ﭘﻮﺷﺶ ﻣﺮﺣﻠﻪاي ﺧﻮب اﺳﺖ‪ .‬دﻟﻴﻞ رﻗﺎﺑﺖ ﺑﺮ ﺳﺮ ﺗﺒﺨﻴﺮ ﻛﻨﻨﺪهﻫﺎي ﺧﻸ ﻧﻴﺰ ﻫﻤﻴﻦ اﺳﺖ‪ .‬ﻣﺴﺌﻠﻪ‪،‬‬
‫زﻣﺎﻧﻲ ﭘﻴﺶ ﻣﻲآﻳﺪ ﻛﻪ ﻃﺮﻓﻲ ﻛﻪ ﺑﺮاي اﻛﺴﻴﺪ ﺳﻄﺤﻲ ﺑﺎز ﻣﻲ ﻣﺎﻧﺪ ﻣﻲﺗﻮاﻧﺪ ﺧﻴﻠﻲ ﻧﺎزك ﺷﻮد ﻳﺎ‬
‫ﺣﻔﺮهﻫﺎﻳﻲ اﻳﺠﺎد ﺷﻮد‪.‬‬
‫ﭼﻨﺪﻳﻦ روش ﺑﺮاي اﻃﻤﻴﻨﺎن ﻳﺎﻓﺘﻦ از ﭘﻮﺷﺶ ﻣﺮﺣﻠﻪاي ﺧﻮب ﻣﻮرد اﺳﺘﻔﺎده ﻗﺮار ﻣﻲﮔﻴﺮد‪ .‬روش‬
‫اﺑﺘﺪاﻳﻲ اﺳﺘﻔﺎده از ﻳﻚ ﻧﮕﻪدارﻧﺪه وﻳﻔﺮ ﮔﻨﺒﺪي ﺷﻜﻞ ﺑﺎ ﺣﺮﻛﺎت ﺳﻴﺎرهاي اﺳﺖ ﻛﻪ در ﺑﺎﻻي ﻣﻨﺒﻊ‬
‫آوﻳﺰان‬
‫ﻣﻲﺷﻮد‪ .‬ﻧﮕﻪ دارﻧﺪهﻫﺎي ﺳﻴﺎرهاي ﻃﻮري ﻃﺮاﺣﻲ ﻣﻲﺷﻮﻧﺪ ﻛﻪ در ﻫﻨﮕﺎﻣﻲﻛﻪ ﺑﺎ ﺳﺮﻋﺖ ﺑﺎﻻ‬
‫ﻣﻲﭼﺮﺧﻨﺪ‪ ،‬وﻳﻔﺮ در زواﻳﺎي ﻣﺨﺘﻠﻔﻲ ﻧﺴﺒﺖ ﺑﻪ ﻣﻨﺒﻊ ﻗﺮار ﻣﻲﮔﻴﺮد و ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻳﻜﻨﻮاﺧﺖ ﻓﻴﻠﻢ را‬
‫ﻣﻤﻜﻦ ﻣﻲ ﺳﺎزد‪.‬‬

‫‪403‬‬
‫ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﺑﻪ روش اﺳﭙﺎﺗﺮ )‪(PVD‬‬ ‫‪- 2- 7- 11‬‬

‫ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﺑﻪ روش اﺳﭙﺎﺗﺮ )اﺳﭙﺎﺗﺮﻳﻨﮓ ( ﻳﻜﻲ دﻳﮕﺮ از ﻓﺮاﻳﻨﺪﻫﺎي ﻗﺪﻳﻤﻲ اﺳﺖ ﻛﻪ ﺑﺎ اﺣﺘﻴﺎﺟﺎت‬
‫ﻧﻴﻤﻪ ﻫﺎدي ﭘﻴﺸﺮﻓﺘﻪ ﻣﻄﺎﺑﻘﺖ دارد‪ .‬اﻳﻦ ﻓﺮاﻳﻨﺪ اوﻟﻴﻦ ﺑﺎر در ‪ 1852‬ﺗﻮﺳﻂ ﺳﺮوﻳﻠﻴﺎم راﺑﺮت ﮔﺮاو‬
‫ﻣﻄﺮح ﺷﺪ‪ .‬اﺳﭙﺎﺗﺮﻳﻨﮓ ﻓﺮاﻳﻨﺪي اﺳﺖ ﻛﻪ ﻋﻤﻮﻣ ﺎً ﻣﻲﺗﻮاﻧﺪ ﻫﺮ ﻣﺎده را ﺑﺮاي ﻫﺮ ﭘﺎﻳﻪاي )‪(Substrate‬‬

‫ﺑﻨﺸﺎﻧﺪ‪ .‬اﺳﭙﺎﺗﺮﻳﻨﮓ ﺑﻪ ﻃﻮر وﺳﻴﻌﻲ در ﺟﻮاﻫﺮ ﺳﺎزي ﺑﺮاي ﻗﺮار دادن ﭘﻮﺷﺶ و ﮔﺬاﺷﺘﻦ‬
‫ﭘﻮﺷﺶﻫﺎي اﭘﺘﻴﻜﻲ ﺑﺮ روي ﻟﻨﺰﻫﺎ و ﻋﻴﻨﻚﻫﺎ ﻣﻮرد اﺳﺘﻔﺎده ﻗﺮا ر ﻣﻲﮔﻴﺮد ‪ .‬اﺳﭙﺎﺗﺮﻳﻨﮓ ﻫﻤﺎﻧﻨﺪ‬
‫ﺗﺒﺨﻴﺮ‪ ،‬در ﻳﻚ ﻣﺤﻴﻂ ﺧﻸ اﺗﻔﺎق ﻣﻲاﻓﺘﺪ ‪.‬‬

‫در داﺧﻞ ﻣﺤﻔﻈﻪ ﺧﻸ ﻳﻚ ﺗﻜﻪ ﺟﺎﻣﺪ ﻛﻪ از ﻣﺎده ﻓﻴﻠﻢ ﻣﻮرد ﻧﻈﺮ اﺳﺖ و ﻫﺪف )‪(target‬‬

‫ﻧﺎﻣﻴﺪه ﻣﻲ ﺷﻮد ﻗﺮار دارد‪ .‬ﻫﺪف ﺑﻪ ﻟﺤﺎظ اﻟﻜﺘﺮﻳﻜﻲ ﺑﻪ زﻣﻴﻦ ﻣﺘﺼﻞ ﺷﺪه اﺳﺖ ‪ .‬ﮔﺎز آرﮔﻮن در‬
‫ﻣﺤﻔﻈﻪ وارد ﻣﻲﺷﻮد و ﺑﻪ ﺻﻮرت ﺑﺎر ﻣﺜﺒﺖ ﻳﻮﻧﻴﺰه ﻣﻲ ﮔﺮدد‪ .‬اﺗﻢﻫﺎي آرﮔﻮن ﻛﻪ ﺑﻪ ﺻﻮرت ﻳﻮن ﻣﺜﺒﺖ‬
‫در آﻣﺪهاﻧﺪ ﺟﺬب ﻫﺪف زﻣﻴﻦ ﺷﺪه ﻣﻲﺷﻮﻧﺪ و ﺑﻪ ﺳﻤﺖ آن ﺷﺘﺎب ﻣﻲﮔ ﻴﺮﻧﺪ‪ .‬در ﺣﻴﻦ ﺷﺘﺎب ﮔﺮﻓﺘﻦ‬
‫ﻳﻮن ﻫﺎ‪ ،‬اﻧﺪازه ﺣﺮﻛﺘﻲ ﻛﻪ در ﺣﻘﻴﻘﺖ از ﺟﻨﺲ ﻧﻴﺮو ﻣﻲﺑﺎﺷﺪ ﺑﺪﺳﺖ آورده و ﺑﻪ ﻫﺪف ﺑﺮﺧﻮرد‬

‫‪404‬‬
‫ﻣﻲﻛﻨﻨﺪ‪ .‬در داﺧﻞ ﻫﺪف ﭘﺪﻳﺪهاي ﺑﻨﺎم اﻧﺘﻘﺎل اﻧﺪازه ﺣﺮﻛﺖ )‪ (momentum transfer‬اﺗﻔﺎق ﻣﻲاﻓﺘﺪ‪.‬‬
‫درﺳﺖ ﻣﺎﻧﻨﺪ ﺗﻮپ ﺑﻴﻠﻴﺎرد ﻛﻪ اﻧﺮژياش را ﺑﻪ ﺗﻮپﻫﺎي دﻳﮕﺮ روي زﻣﻴﻦ ﺑﺎزي ﻣﻨﺘﻘﻞ ﻣﻲﻛﻨﺪ و ﺳﺒﺐ‬
‫ﭘﺮاﻛﻨﺪه ﺷﺪن آنﻫﺎ ﻣﻲﺷﻮد ‪ ،‬ﻳﻮﻧﻬﺎي آرﮔﻮن ﻧﻴﺰ ﺑﻪ ﺗﻜﻪ ﻣﺎده ﻓﻴﻠﻢ ﺑﺮﺧﻮرد ﻛﺮده و ﺑﺎﻋﺚ ﭘﺮاﻛﻨﺪه‬
‫ﺷﺪن اﺗﻢﻫﺎي آن ﻣﻲﺷﻮﻧﺪ ‪ .‬اﺗﻢﻫﺎي آرﮔﻮن از اﺗﻢﻫﺎ و ﻣﻮﻟﻜﻮلﻫﺎي ﻫﺪف درون ﻣﺤﻔﻈﻪ ﻛﺴﺮﻣﻲ‬
‫ﻛﻨﻨﺪ‪ .‬اﻳﻦ ﻋﻤﻞ اﺳﭙﺎﺗﺮﻳﻨﮓ ﻣﻲ ﺑﺎﺷﺪ‪ .‬ﺷﻜﻞ زﻳﺮ ﻣﻜﺎﻧﻴﺰم اﺳﭙﺎﺗﺮﻳﻨﮓ را ﻧﺸﺎن ﻣﻲدﻫﺪ‬

‫اﺗﻢﻫﺎي اﺳﭙﺎﺗﺮ ﺷﺪه و ﻳﺎ ﻣﻮﻟﻜﻮلﻫﺎﻳﻲ ﻛﻪ در ﻣﺤﻔﻈﻪ ﭘﺮاﻛﻨﺪه ﻣﻲﺷﻮﻧﺪ‪ ،‬ﺑﺎ ﻣﻘﺪاري ﺷﺎﻧﺲ ﺑﺮ‬
‫روي وﻳﻔﺮ ﻗﺮار ﻣﻲﮔﻴﺮﻧﺪ‪ .‬اﺻﻞ ﻣﻬﻢ در ﻓﺮاﻳﻨﺪ اﺳﭙﺎﺗﺮﻳﻨﮓ اﻳﻦ اﺳﺖ ﻛﻪ ﻣﺎده ﻫﺪف ﺑﺪون ﺗﻐﻴﻴﺮ‬
‫ﺷﻴﻤﻴﺎﻳﻲ و ﻳﺎ ﺗﻐﻴﻴﺮ ﻓﻴﺰﻳﻜﻲ در ﺗﺮﻛﻴﺐ ﺑﺮ روي وﻳﻔﺮ ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻣﻲ ﺷﻮد‪.‬‬

‫‪ - 1- 2- 7- 11‬ﺗﻮﺿﻴﺤﺎت ﻛﻠﻲ ﻣﺮاﺣﻞ اﺳﭙﺎﺗﺮﻳﻨﮓ‬

‫ﻓﺮاﻳﻨﺪ اﺳﭙﺎﺗﺮﻳﻨﮓ ﺑﺎ آرﮔﻮن )ﻳﺎ ﻧﺌﻮن( ﺗﻤﻴﺰ و ﺧﺸﻚ آﻏﺎز ﻣﻲﺷﻮد ‪ .‬ﺑﺮاي رﺳﻴﺪن ﺑﻪ ﺣﺪاﻛ ﺜﺮ‬
‫ﭘﺎﻛﻴﺰﮔﻲ ‪ ،‬ﺑﺎﻳﺪ ﻣﺸﺨﺼﻪﻫﺎي ﺗﺮﻛﻴﺐ ﻓﻴﻠﻢ ﺛﺎﺑﺖ و رﻃﻮﺑﺖ ﻧﻴﺰ ﭘﺎﻳﻴﻦ ﻧﮕﻪ داﺷﺘﻪ ﺷﻮد ﺗﺎ از‬
‫اﻛﺴﻴﺪاﺳﻴﻮن ﻧﺎﺧﻮاﺳﺘﻪ روي ﻓﻴﻠﻢ ﻧﺸﺎﻧﺪه ﺷﺪه ﺟﻠﻮﮔﻴﺮي ﺑﻪ ﻋﻤﻞ آﻳﺪ‪ .‬وﻳﻔﺮ در ﻣﺤﻔﻈﻪ ﻗﺮار داده‬
‫ﻣﻲﺷﻮد و ﻓﺸﺎر ﻧﻴﺰ ﺑﻪ وﺳﻴﻠﻪ ﭘﻤﭗﻫﺎ )ﻳﺎ ﭘﻤﭗ ﻛﺮدن ﺑﻪ ﺳﻤﺖ ﭘﺎﻳﻴﻦ ( ﺗﺎ ﻣﺤﺪوده ‪1 × 10 −9‬‬

‫ﺗﻮرﻳﭽﻠﻲ ﻛﺎﻫﺶ ﻣﻲﻳﺎﺑﺪ‪ .‬آرﮔﻮن وارد ﺷﺪه و ﻳﻮﻧﻴﺰه ﻣﻲ ﺷﻮد‪.‬‬

‫ﻛﻨﺘﺮل ﻣﻘﺪار آرﮔﻮن ورودي ﺑﻪ ﻣﺤﻔﻈﻪ ﻧﻴﺰ ﺑﻪ ﺧﺎﻃﺮ اﺛﺮ اﻓﺰاﻳﺶ ﻓﺸﺎر داﺧﻞ ﻣﺤﻔﻈﻪ ﻳﻚ ﻓﺎﻛﺘﻮر‬
‫ﺑﺤﺮاﻧﻲ ﻣﻲﺑﺎﺷﺪ‪ .‬ﺑﺎ وﺟﻮد آرﮔﻮن و ﻣﺎده اﺳﭙﺎﺗﺮ ﺷﺪه در ﻣﺤﻔﻈﻪ‪ ،‬ﻓﺸﺎر ﺗﺎ ﺳﻄﺤﻲ در ﺣﺪود ‪10-3‬‬

‫ﺗﻮرﻳﭽﻠﻲ اﻓﺰاﻳﺶ ﻣﻲﻳﺎﺑﺪ‪ .‬ﻓﺸﺎر ﻣﺤﻔﻈﻪ ﻳﻚ ﭘﺎراﻣﺘﺮ ﺑﺤﺮاﻧﻲ در ﻧﺮخ ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﺳﻴﺴﺘﻢ ﻣﻲﺑﺎﺷﺪ‪.‬‬
‫ﺑﻌﺪ از آزاد ﺷﺪن ﻣﺎده از ﻫﺪف‪ ،‬ﻳﻮنﻫﺎي آرﮔﻮن ﻣﺎده اﺳﭙﺎﺗﺮ ﺷﺪه‪ ،‬اﺗﻢﻫﺎي ﮔﺎز و اﻟﻜﺘﺮونﻫﺎ ﺑﺮ‬

‫‪405‬‬
‫ﻃﺒﻖ ﻓﺮاﻳﻨﺪ اﺳﭙﺎﺗﺮﻳﻨﮓ ﻳﻚ ﻧﺎﺣﻴﻪ ﭘﻼﺳﻤﺎ در ﺟﻠﻮي ﻫﺪف ﺷﻜﻞ ﻣﻲدﻫﻨﺪ‪ .‬اﻳﻦ ﻧﺎﺣﻴﻪ ﭘﻼﺳﻤﺎﻳﻲ‬
‫ﺗﻮﺳﻂ ﺗﺎﺑﺶ ارﻏﻮاﻧﻲاش آﺷﻜﺎر ﻣﻲ ﺷﻮد‪ .‬ﻧﺎﺣﻴﻪ ﭘﻼﺳﻤﺎﻳﻲ ﺑﻪ وﺳﻴﻠﻪ ﻳﻚ ﻧﺎﺣﻴﻪ ﺗﺎرﻳﻚ ﺷﺪه ﻛﻪ ﺑﻪ‬
‫ﻋﻨﻮان ﻓﻀﺎي ﺗﺎرﻳﻚ )‪ (dark space‬ﻣﻌﺮوف اﺳﺖ از ﻫﺪف ﺟﺪا ﻣﻲﺷﻮد‪ .‬در ﺷﻜﻞﻫﺎي زﻳﺮ ﻣﻜﺎن‬
‫ﻓﻀﺎي ﺗﺎرﻳﻚ ﻧﺎﺣﻴﻪ ﭘﻼﺳﻤﺎﻳﻲ ﻫﻢ ﺑﻪ ﺻﻮرت ﮔﺮاﻓﻴﻜﻲ و ﻫﻢ ﺑﻪ ﺻﻮرت ﺗﺼﻮﻳﺮي از ﻣﺤﻴﻂ ﻳﻚ‬
‫دﺳﺘﮕﺎه واﻗﻌﻲ ﻗﺎﺑﻞ روﻳﺖ اﺳﺖ‪.‬‬

‫ﭼﻬﺎر روش اﺳﭙﺎﺗﺮﻳﻨﮓ ﻛﻪ ﺑﺮاي ﻛﺎرﺑﺮدﻫﺎي ﻧﻴﻤﻪ ﻫﺎدي ﻣﻮرد اﺳﺘﻔﺎده ﻗﺮار ﻣﻲﮔ ﻴﺮﻧﺪ ﻋﺒﺎرﺗﻨﺪ از ‪:‬‬
‫دﻳﻮد ) ﺟﺮﻳﺎن ﻣﺴﺘﻘﻴﻢ )‪( (dc‬‬
‫‪406‬‬
‫دﻳﻮد )ﻓﺮﻛﺎﻧﺲ رادﻳﻮﻳﻲ )‪( (rf‬‬
‫ﺗﺮاﻳﻮد‬
‫ﻣﮕﻨﺘﺮون ‪Magnetron‬‬

‫روش دﻳﻮدي ) ﺟﺮﻳﺎن ﻣﺴﺘﻘﻴﻢ )‪((DC‬‬ ‫‪- 2- 2- 7- 11‬‬

‫دو روش اول ﻛﻪ اﺳﭙﺎﺗﺮﻳﻨﮓ دﻳﻮدي ﻧﺎﻣﻴﺪه ﻣﻲ ﺷﻮﻧﺪ ﻣﻔﻬﻮم ﺳﺎدهاي دارﻧﺪ ‪.‬ﻫﺪف ﺑﻪ ﻳﻚ ﭘﺘﺎﻧﺴﻴﻞ‬
‫ﻣﻨﻔﻲ ﻣﺘﺼﻞ ﻣﻲﺷﻮد و ﺑﻪ ﻫﻤﺮاه ﻳﻚ آﻧﺪ ﺑﺎردار ﻣﺜﺒﺖ در ﻣﺤﻔﻈﻪ وارد ﻣﻲ ﺷﻮﻧﺪ ‪ .‬ﻫﺪف ﺑﺎردار ﺷﺪه‬
‫ﻣﻨﻔﻲ‪ ،‬اﻟﻜﺘﺮونﻫﺎ را دور ﻣﻲﻛﻨﺪ ﻛﻪ اﻳﻦ اﻟﻜﺘﺮونﻫﺎ ﺑﻪ ﺳﻤﺖ آﻧﺪ ﺷﺘﺎب ﻣﻲ ﮔﻴﺮﻧﺪ‪ .‬در ﻃﻲ ﻣﺴﻴﺮ‬
‫اﻟﻜﺘﺮونﻫﺎ ﺑﺎ‬
‫اﺗﻢﻫﺎي ﮔﺎز آرﮔﻮن ﺑﺮﺧﻮرد ﻣﻲ ﻛﻨﻨﺪ ﻛﻪ ﺳﺒﺐ ﻳﻮﻧﻴﺰه ﺷﺪن آنﻫﺎ ﻣﻲ ﺷﻮﻧﺪ ‪ .‬اﺗﻢﻫﺎي آرﮔﻮن ﻛﻪ ﺑﻪ‬
‫ﻃﻮر ﻣﺜﺒﺖ ﻳﻮﻧﻴﺰه ﺷﺪهاﻧﺪ ﺑﻪ ﺳﻤﺖ ﻫﺪف ﺷﺘﺎب ﻣﻲﮔﻴﺮﻧﺪ و ﺑﺎ اﻳﻦ ﻛﺎر ﻓﺮاﻳﻨﺪ اﺳﭙﺎﺗﺮﻳﻨﮓ آﻏﺎز‬
‫ﻣﻲﺷﻮد‪ .‬آرﮔﻮن ﻳﻮﻧﻴﺰه ﺷﺪه )‪ (+‬و ﻫﺪف) ‪ (-‬ﻳﻚ دﻳﻮد را ﺷﻜﻞ ﻣﻲدﻫﺪ‪.‬‬
‫اﺛﺮ ﺛﺎﻧﻮﻳﻪ ﻓﺮاﻳﻨﺪ ﻳﻮﻧﻴﺰاﺳﻴﻮن ﺑﺮﺧﻮرد اﻟﻜﺘﺮونﻫﺎ ﺑﻪ اﺗﻢﻫﺎي ﮔﺎز اﺳﺖ ﻛﻪ ﻣﻨﺠﺮ ﺑﻪ ﻣﺮﺋﻲ ﺷﺪن‬
‫ﻧﺎﺣﻴﻪ ﭘﻼﺳﻤﺎ ﻣﻲ ﺷﻮد؛ ﻧﺎﺣﻴﻪ ﻣﺎﻳﻞ ﺑﻪ ارﻏﻮاﻧﻲ ﻛﻪ درﺳﺖ در ﺟﻠﻮي ﻫﺪف ﻣﻲﺑﺎﺷﺪ‪ .‬ﻓﻀﺎي ﺗﺎرﻳﻚ‬
‫درﺳﺖ در ﺟﻠﻮ و ﻛﻨﺎرهﻫﺎي ﻫﺪف ﻗﺮار دارد‪.‬‬

‫‪407‬‬
‫‪ - 3- 2- 7- 11‬روش دﻳﻮدي )ﻓﺮﻛﺎﻧﺲ رادﻳﻮﻳﻲ )‪((RF‬‬

‫ﻫﻨﮕﺎﻣﻲﻛﻪ ﭘﻼﺳﻤﺎ در ﻧﺎﺣﻴﻪ ﺑﻴﻦ ﻫﺪف و وﻳﻔﺮﻫﺎ ﻣﺤﺪود ﻣﻲﺷﻮد ﺑﺎزده اﺳﭙﺎﺗﺮﻳﻨﮓ اﻓﺰاﻳﺶ‬
‫ﻣﻲﻳﺎﺑﺪ‪ .‬اﺳﭙﺎﺗﺮﻳﻨﮓ ﺑﻬﺒﻮد ﻳﺎﻓﺘﻪ از اﺗﺼﺎل ﻫﺪف ﺑﻪ ﻳﻚ ﻃﺮف ژﻧﺮاﺗﻮر ﻓﺮﻛﺎﻧﺲ رادﻳﻮﻳﻲ )‪ (rf‬ﺑﻬﺮه‬
‫ﻣﻲﺑﺮد‪ .‬ﻳﻮﻧﻴﺰاﺳﻴﻮن ﮔﺎز در ﻧﺰدﻳﻜﻲ ﺳﻄﺢ ﻫﺪف ﺑﺪون ﻧﻴﺎز ﺑﻪ رﺳﺎﻧﺎ ﺑﻮدن ﻫﺪف اﺗﻔﺎق ﻣﻲاﻓﺘﺪ‪.‬‬
‫اﺳﭙﺎﺗﺮﻳﻨﮓ ﻓﺮﻛﺎﻧﺲ رادﻳﻮﻳﻲ ﺑﺮاي اﺳﭙﺎﺗﺮ ﻛﺮدن ﻣﻮاد ﻏﻴﺮ ﻫﺎدي )دي اﻟﻜﺘﺮﻳﻚ( ﺿﺮوري اﺳﺖ‪ ،‬و‬
‫اﻟﺒﺘﻪ ﺑﺮاي ﻫﺎديﻫﺎ ﻧﻴﺰ ﻣﻮرد اﺳﺘﻔﺎده ﻗﺮار ﻣﻲﮔ ﻴﺮد‪ .‬ﺑﺎﻳﺎس ﻛﺮدن ﺑﻪ وﺳﻴﻠﻪ اﺳﭙﺎﺗﺮﻳﻨﮓ ﻓﺮﻛﺎﻧﺲ‬
‫رادﻳﻮﻳﻲ اﺛﺮ ﺗﻤﻴﺰ ﻛﺮدن ﺳﻄﺢ وﻳﻔﺮ را ﻧﻴﺰ ﺑﻪ دﻧﺒﺎل دارد‪ .‬زدودن و ﺗﻤﻴ ﺰ ﻛﺮدن ﺑﺎ ﮔﺬاﺷﺘﻦ ﻧﮕﻪدارﻧﺪه‬
‫وﻳﻔﺮ در ﻳﻚ ﭘﺘﺎﻧﺴﻴﻞ ﻣﻴﺪاﻧﻲ ﻣﺘﻔﺎوت ﻧﺴﺒﺖ ﺑﻪ آرﮔﻮن ﺻﻮرت ﻣﻲﮔﻴﺮد ﻛﻪ ﺳﺒﺐ ﻣﻲ ﺷﻮد اﺗﻢﻫﺎي‬
‫آرﮔﻮن ﻣﺴﺘﻘﻴﻤﺎً ﺑﻪ وﻳﻔﺮ ﺑﺮﺧﻮرد ﻛﻨﻨﺪ‪ .‬اﻳﻦ روﻳﻪ زداﻳﺶ اﺳﭙﺎﺗﺮ )‪ ،(sputter etch‬اﺳﭙﺎﺗﺮ ﻣﻌﻜﻮس‬
‫)‪ (ion milling‬ﻧﻴﺰ ﻧﺎﻣﻴﺪه ﻣﻲﺷﻮد‪ .‬اﻳﻦ ﻓﺮاﻳﻨﺪ‪،‬‬ ‫)‪ (reverse sputtering‬ﻳﺎ آﺳﻴﺎب ﻛﺮدن ﻳﻮﻧﻲ‬
‫آﻟﻮدﮔﻲ و ﻻﻳﻪ ﻛﻮﭼﻜﻲ از وﻳﻔﺮ را ﺑﺮ ﻣﻲدارد‪ .‬ﺑﺮداﺷﺘﻦ آﻟﻮدﮔﻲ‪ ،‬اﺗﺼﺎل اﻟﻜﺘﺮﻳﻜﻲ را ﺑﻴﻦ ﻧﻮاﺣﻲاي‬
‫از وﻳﻔﺮ ﻛﻪ در ﻣﻌﺮض اﺳﭙﺎﺗﺮﻳﻨﮓ ﻗﺮار ﮔﺮﻓﺘﻪاﻧﺪ‪ ،‬و ﻓﻴﻠﻢ‪ ،‬ﺑﻬﺒﻮد ﻣﻲ ﺑﺨﺸﺪ و ﭼﺴﺒﻨﺪﮔﻲ وﻳﻔﺮ و ﻓﻴﻠﻢ‬
‫را ﻧﻴﺰ اﻓﺰاﻳﺶ ﻣﻲدﻫﺪ‪.‬‬

‫ﺳﻮاﻟﻲ ﻛﻪ در اﻳﻦ ﺟﺎ ﻣﻄﺮح ﻣﻲﮔﺮدد ﺑﺪﻳﻦ ﺻﻮرت اﺳﺖ ‪:‬‬


‫ﭼﮕﻮﻧﻪ اﺳﭙﺎﺗﺮﻳﻨﮓ ﺑﻪ ﺷﻴﻮه ﻓﺮﻛﺎﻧﺲ ﺑﺎﻻ ﺑﺮاي ﻣﻮاد ﻋﺎﻳﻖ ﻛﺎرﺑﺮد دارد؟‬
‫در روش اﺳﭙﺎﺗﺮﻳﻨﮓ ﺑﺎر ﻣﺜﺒﺖ ﺑﺮ روي ﻛﺎﺗﺪ ) ‪ (target‬ﺟﻤﻊ ﻣﻲﮔﺮدد و اﻳﻦ ﺑﺎر‪ ،‬ﺑﺮاي اﻋﻤﺎل‬
‫اﺳﭙﺎﺗﺮﻳﻨﮓ ﺑﻪ ﻣﻮاد ﻋﺎﻳﻖ ﺑﺎﻳﺪ وﻟﺘﺎژي از ﻣﺮﺗﺒﻪ ‪ 1012‬را ﺑﺮ ﺳﻄﺢ ﻣﺎده اﻳﺠﺎد ﻛﻨﺪ‪ .‬ﭼﻨﻴﻦ وﻟﺘﺎژي ﺑﻪ‬
‫راﺣﺘﻲ ﺳﺒﺐ آﺳﻴﺐ زدن ﺑﻪ ﻣﺎده ﻫﺪف و ﺗﺨﺮﻳﺐ ﻓﺮآﻳﻨﺪ ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻣﻲﮔﺮدد ‪.‬‬
‫اﻳﻦ ﻣﺸﻜﻞ ﺗﻮﺳﻂ ‪ Wehner‬در ﺳﺎل ‪ 1955‬ﺑﺮﻃﺮف ﮔﺮدﻳﺪ‪ .‬راه ﺣﻞ ﺑﺪﻳﻦ ﺻﻮرت ﺑﻮد ﻛﻪ ﻳﻚ وﻟﺘﺎژ و‬
‫ﺟﺮﻳﺎن ﻣﺘﻨﺎوب ﺑﺎ ﻓﺮﻛﺎﻧﺲ ‪ 13.5‬ﻣﮕﺎﻫﺮﺗﺰ ﺑﻪ ﺳﻴﺴﺘﻢ اﺳﭙﺎﺗﺮﻳﻨﮓ ‪ DC‬اﻋﻤﺎل ﮔﺮدﻳﺪ‪ .‬ﺑﻪ دﻟﻴﻞ‬
‫ﺧﺼﻮﺻﻴﺎت ﺗﻨﺎوﺑﻲ ﺳﻴﮕﻨﺎل اﻋﻤﺎﻟﻲ‪ ،‬ﺑﺎر ﻣﺜﺒﺖ در ﻧﺎﺣﻴﻪ ﭘﻼﺳﻤﺎ در ﻓﻀﺎي ﻣﺤﻔﻈﻪ دﺳﺘﮕﺎه ﺟﻤﻊ‬
‫ﻣﻲﮔﺮدد و ﺑﺮ روي ﻛﺎﺗﺪ ﻧﻤﻲﻧﺸﻴ ﻨﺪ‪ .‬در اﻳﻦ ﺷﺮاﻳﻂ اﻣﻜﺎن اداﻣﻪ ﻋﻤﻞ اﺳﭙﺎﺗﺮﻳﻨﮓ در ﺣﻀﻮر‬
‫وﻟﺘﺎژﻫﺎي ﺑﺎﻻ ﺟﻬﺖ ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻣﻮاد ﻋﺎﻳﻖ ﺑﺪون ﺿﺮﺑﻪ زدن ﺑﻪ ﻫﺪف و ﺗﺠﻬﻴﺰات وﺟﻮد دارد‪.‬‬
‫اﻳﻦ روش ﻣﺒﻨﺎي ﻣﻜﺎﻧﻴﺰم ‪ RF Sputtering‬ﻗﺮار ﮔﺮﻓﺖ ﻛﻪ در ﻣﻮرد ﻣﻮاد ﻫﺪف ﻫﺎدي ﻧﻴﺰ ﻛﺎرﺑﺮد دارد‪.‬‬

‫‪408‬‬
‫در زﻳﺮ ﻃﺮ ﺣﻲ از ﻣﺒﻨﺎي روش ‪ RF Sputtering‬ﺑﻪ ﻫﻤﺮاه ﻳﻚ ﻧﻤﻮﻧﻪ آزﻣﺎﻳﺸﮕﺎﻫﻲ از دﺳﺘﮕﺎه دﻳﺪه‬
‫ﻣﻲﺷﻮد‪.‬‬

‫‪_10_2_4‬ﭼﻬﺎر ﻣﺸﻜﻞ اﺳﺎﺳﻲ روش اﺳﭙﺎﺗﺮﻳﻨﮓ دﻳﻮدي )‪ DC‬ﻳﺎ ‪( RF‬‬


‫اﺳﭙﺎﺗﺮﻳﻨﮓ دﻳﻮدي ﻓﺮاﻳﻨﺪي اﺳﺖ ﻛﻪ در ﺳﻄﺢ وﻳﻔﺮ ﻳﺎ ﻧﺰدﻳﻚ آن رخ ﻣﻲدﻫﺪ‪ .‬ﺑﺎ ﺑﺮﺧﻮرد اﺗﻢ‬
‫آرﮔﻮن‪ ،‬ﺗﻌﺪادي اﻟﻜﺘﺮون اﻳﺠﺎد ﻣﻲﺷﻮد‪ .‬اﻳﻦ اﻟﻜﺘﺮونﻫﺎ ﺳﺒﺐ ﮔﺮم ﺷﺪن ﭘﺎﻳﻪ )ﺗﺎ ﺣﺪود ‪( 350 o C‬‬
‫ﻣﻲﺷﻮﻧﺪ ﻛﻪ ﺑﻪ ﻃﻮر ﻣﺘﻨﺎوب ﺑﺎﻋﺚ ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻏﻴﺮ ﻳﻜﻨﻮاﺧﺖ ﻓﻴﻠﻢ ﻣﻲ ﺷﻮد‪.‬‬
‫ﮔﺮﻣﺎي ﺗﻮﻟﻴﺪ ﺷﺪه ﺑﺎ روش اﺳﭙﺎﺗﺮﻳﻨﮓ دﻳﻮدي ﺳﺒﺐ اﻳﺠﺎد ﻳﻚ ﺳﺮي ﻣﺴﺎﺋﻞ ﺟﺪي در ﻻﻳﻪ ﻧﺸﺎﻧﻲ‬
‫آﻟﻮﻣﻴﻨﻴﻮم ﻣﻲ ﺷﻮد‪ .‬ﮔﺮﻣﺎ ﺳﺒﺐ ﻣﻲ ﺷﻮد ﻛﻪ اﻛﺴﻴﮋن ﺑﺎﻗﻲﻣﺎﻧﺪه در ﻫﺪف در ﻣﺤﻔﻈﻪ آﻟﻮﻣﻴﻨﻴﻮم ﺑﻪ‬
‫ﺷﻜﻞ اﻛﺴﻴﺪ آﻟﻮﻣﻴﻨﻴﻮم ﺗﺮﻛﻴﺐ ﺷﻮد‪ .‬اﻛﺴﻴﺪ آﻟﻮﻣﻴﻨﻴﻮم ﻳﻚ دي اﻟﻜﺘﺮﻳﻚ اﺳﺖ و ﻣﻲﺗﻮاﻧﺪ ﺧﺎﺻﻴﺖ‬
‫ﻫﺎدي ﺑﻮدن آﻟﻮﻣﻴﻨﻴﻮم ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﺷﺪه را ﺑﻪ ﻣﺨﺎﻃﺮه ﺑﻴﻨﺪازد‪ .‬ﻣﺴﺌﻠﻪ ﺟﺪيﺗﺮ اﻳﻦ اﺳﺖ ﻛﻪ ﻻﻳﻪاي‬
‫از اﻛﺴﻴﺪ آﻟﻮﻣﻴﻨﻴﻮم ﻣﻲﺗﻮاﻧﺪ روي ﺳﻄﺢ ﻫﺪف ﺷﻜﻞ ﺑﮕﻴﺮد و اﺗﻢﻫﺎي آرﮔﻮن )دراﺳﭙﺎﺗﺮﻳﻨﮓ‬
‫دﻳﻮدي( اﻧﺮژي ﻛﺎﻓﻲ ﺑﺮاي ﻧﻔﻮذ ﺑﻪ ﻻﻳﻪ را ﻧﺪاﺷﺘﻪ ﺑﺎﺷﻨﺪ‪ ،‬در ﻧﺘﻴﺠﻪ ﻫﺪف ﺑﺴﺘﻪ ﺷﺪه و اﺳﭙﺎﺗﺮﻳﻨﮓ‬
‫ﻣﺘﻮﻗﻒ ﻣﻲﮔﺮدد‪.‬‬

‫‪409‬‬
‫ﻣﺸﻜﻞ ﺳﻮﻣﻲ ﻛﻪ در روش اﺳﭙﺎﺗﺮﻳﻨﮓ دﻳﻮدي وﺟﻮد دارد‪ ،‬ﻣﺸﻜﻞ ﺗﺸﻌﺸﻌﺎت ﻣﺤﻴﻄﻲ ﻧﺎﺷﻲ از‬
‫ﺣﻀﻮر اﻟﻜﺘﺮونﻫﺎي ﭘﺮاﻛﻨﺪه ﺷﺪه در ﻣﺤﻴﻂ ﭘﻼﺳﻤﺎﺳﺖ ﻛﻪ ﻣﻲﺗﻮاﻧﺪ ﺑﻪ دﺳﺘﮕﺎهﻫﺎ و ﻣﺎده ﻫﺪف‬
‫ﺿﺮﺑﻪ ﺑﺰﻧﺪ ‪.‬‬
‫ﻣﺴﺌﻠﻪ ﭼﻬﺎرم ﻛﻪ در اﺳﭙﺎﺗﺮﻳﻨﮓ دﻳﻮدي ﭘﻴﺶ ﻣﻲآﻳﺪ‪ ،‬اﻟﻜﺘﺮونﻫﺎﻳﻲ ﻫﺴﺘﻨﺪ ﻛﻪ در ﻣﺤﻔﻈﻪ ﻣﺨﻔﻲ‬
‫ﻣﻲﺷﻮﻧﺪ و در اﻳﺠﺎد ﻧﺎﺣﻴﻪ ﭘﻼﺳﻤﺎﻳﻲ ﻛﻪ ﺑﺮاي ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﺿﺮوري اﺳﺖ ﺷﺮﻛﺖ ﻧﻤﻲﻛﻨﻨﺪ‪.‬‬
‫)اﻳﻦ ﻣﺸﻜﻞ ﺗﻮﺳﻂ اﺳﭙﺎﺗﺮﻳﻨﮓ ﺑﻪ روش ﻣﮕﻨﺘﺮون ﺣﻞ ﻣﻲ ﮔﺮدد‪(.‬‬

‫‪ - 4- 2- 7- 11‬اﺳﭙﺎﺗﺮﻳﻨﮓ ﺗﺮﻳﻮدي‬

‫اﺳﭙﺎﺗﺮﻳﻨﮓ ﺗﺮاﻳﻮدي ﺑﺮﺧﻲ از ﻣﺴﺎﺋﻞ اﺳﭙﺎﺗﺮﻳﻨﮓ دﻳﻮدي را ﺣﻞ ﻣﻲﻛﻨﺪ ‪ .‬اﻟﻜﺘﺮونﻫﺎﻳﻲ ﻛﻪ ﺑﺮاي‬


‫ﻳﻮﻧﻴﺰه ﻛﺮدن آرﮔﻮن ﻣﻮرد ﻧ ﻴﺎز ﻣﻲ ﺑﺎﺷﺪ‪ ،‬ﺑﻪ وﺳﻴﻠﻪ ﻳﻚ ﻓﻴﻠﻤﺎن ﻣﺠﺰا ﺑﺎ ﺟﺮﻳﺎن ﺑﺎﻻ ﺑﻪ وﺟﻮد ﻣﻲآﻳﻨﺪ‪.‬‬
‫در ﻃﺮاﺣﻲﻫﺎﻳﻲ ﻛﻪ ﻓﻴﻠﻤﺎن در ﺧﺎرج از ﻣﺤﻔﻈ ﻪ ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻗﺮار دارد‪ ،‬وﻳﻔﺮﻫﺎ از ﻣﻀﺮات ﺗﺸﻌﺸﻌﻲ‬
‫در اﻣﺎن ﻣﻲﻣﺎﻧﻨﺪ ‪.‬‬
‫ﻓﻴﻠﻢﻫﺎي ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﺷﺪه ﺑﻪ وﺳﻴﻠﻪ روش ﺗﺮاﻳﻮد‪ ،‬ﭼﮕﺎل ﺗﺮ ﻣﻲﺑﺎﺷﻨﺪ ‪.‬‬
‫در ﺗﺮﻛﻴﺐ ﺑﻨﺪي اﺳﭙﺎﺗﺮﻳﻨﮓ ‪ triode‬اﺛﺮ ﻣﻨﻌﻜﺲ ﺷﺪه ﺑﺎرﻫﺎي ﺧﻨﺜﻲ ﻧﺎﺷﻲ از ﺗﺼﺎدم زودﮔﺬر ﺑﺎ‬
‫ﺳﻄﺢ ﻛﺎﺗﺪ اﻓﺰاﻳﺶ ﻣﻲﻳﺎﺑﺪ‪.‬ﺻﻔﺤﺎت ﻛﺎﺗﺪ ﺑﺎ ﺷﺒﻜﻪاي از ﭼﻨﺪﻳﻦ ﺻﻔﺤﻪ ﺗﻴﺘﺎﻧﻴﻮم ﺟﺎﻳﮕﺰﻳﻦ ﻣﻲﺷﻮد و‬
‫ﻳﻚ وﻟﺘﺎژ ﻣﻨﻔﻲ ﺑﺎﻻﻳﻲ ﻣﻄﺎﺑﻖ ﺷﻜﻞ ﺑﻪ اﻳﻦ ﺻﻔﺤﺎت اﻋﻤﺎل ﻣﻲﮔﺮدد‪ .‬در اﻳﻦ ﺗﺮﻛﻴﺐ ﺑﻨﺪي آﻧﺪ و‬
‫اﻟﻜﺘﺮود ﻛﻤﻜﻲ )‪ ( auxiliary‬ﺑﺮاي ﻋﻤﻠﻜﺮد درﺳﺖ ﺑﺎﻳﺪ ﺑﻪ زﻣﻴﻦ وﺻﻞ ﺷﻮﻧﺪ ‪.‬‬

‫در روش ﺗﺮاﻳﻮد ﻳﻮﻧﻬﺎي ﭘﺮ اﻧﺮژي ﺑﺎ ﺷﺒﻜﻪ ﻛﺎﺗﺪ ﺑﻪ ﺻﻮرت ﺗﺼﺎدمﻫﺎي اﺟﻤﺎﻟﻲ و زودﮔﺬر ﺑﺮﺧﻮرد‬

‫‪410‬‬
‫ﻣﻲﻛﻨﻨﺪ ‪ .‬ذرات ﺧﻨﺜﻲ ﭘﺮ اﻧﺮژي ﻛﻪ در اﺛﺮ ﺑﺮﺧﻮرد ﻳﻮﻧﻬﺎ ﺑﺎ ﺷﺒﻜﻪ ﻛﺎﺗﺪ ﺑﻪ وﺟﻮد آﻣﺪهاﻧﺪ ﻳﺎ ﺑﻪ‬
‫اﻟﻜﺘﺮودﻫﺎي ﻛﻤﻜﻲ ﺑﺮﺧﻮرد ﻣﻲﻛﻨﻨﺪ و ﻳﺎ اﻳﻨﻜﻪ ﻣﻨﻌﻜﺲ ﺷﺪه و ﻳﻚ ﻻﻳﻪاي روي آﻧﺪ ﺑﻪ وﺟﻮد‬
‫ﻣﻲآورﻧﺪ ‪.‬‬
‫ﺑﺮاي ﻳﻮﻧﻬﺎي ﭘﺮ اﻧﺮژي اﻳﻦ اﻣﺮ ﻣﺤﺘﻤﻞ اﺳﺖ ﻛﻪ ﺑﺎ آﻧﺪ و ﻳﺎ ﺑﺎ ﺑﺪﻧﻪ ﭘﻤﭗ ﺑﺮﺧﻮرد ﻛﻨﻨﺪ ‪ .‬اﻳﻦ ﻋﻤﻞ ﺑﻪ‬
‫دﻟﻴﻞ وﺟﻮد ﮔﺎزﻫﺎي ﻧﺠﻴﺐ ﺑﺎﻋﺚ ﻛﻨﺪه ﺷﺪن اﻳﻦ ﺳﻄﻮح ﻧﻤﻲ ﺷﻮد و ﮔﺎز ﻧﺠﻴﺐ ﺑﻪ ﺻﻮرت ﻻﻳﻪاي‬
‫روي اﻳﻦ ﺳﻄﻮح‬
‫ﻣﻲﻣﺎﻧﺪ‪ .‬اﻳﻦ ﻋﻤﻞ ﻣﻨﺠﺮ ﺑﻪ ﻳﻚ ﻋﻤﻞ ﭘﻤﭗ ﻛﺮدن ﺑﺴﻴﺎر ﭘﺎﻳﺪار ﺣﺘﻲ ﺑﻌﺪ از ﻣﺪت زﻣﺎن زﻳﺎدي ﻛﻪ از‬
‫ﻋﻤﻞ ﭘﻤﭗ ﻛﺮدن ﻣﻲﮔﺬرد ﻣﻲ ﺷﻮد ‪.‬‬

‫‪ - 5- 2- 7- 11‬اﺳﭙﺎﺗﺮﻳﻨﮓ ﺑﻪ روش ﻣﮕﻨﺘﺮون‬

‫ﻣﺴﺌﻠﻪ دﻳﮕﺮ ﻛﻪ در اﺳﭙﺎﺗﺮﻳﻨﮓ دﻳﻮدي ﭘﻴﺶ ﻣﻲآﻳﺪ‪ ،‬اﻟﻜﺘﺮونﻫﺎﻳﻲ ﻫﺴﺘﻨﺪ ﻛﻪ در ﻣﺤﻔﻈﻪ ﻣﺨﻔﻲ‬
‫ﻣﻲﺷﻮﻧﺪ و در اﻳﺠﺎد ﻧﺎﺣﻴﻪ ﭘﻼﺳﻤﺎﻳ ﻲ ﻛﻪ ﺑﺮاي ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﺿﺮوري اﺳﺖ ﺷﺮﻛﺖ ﻧﻤﻲﻛﻨﻨﺪ ‪ .‬اﻳﻦ‬

‫‪411‬‬
‫وﺿﻌﻴﺖ ﺗﻮﺳﻂ ﺳﻴﺴﺘﻢﻫﺎي اﺳﭙﺎﺗﺮﻳﻨﮓ ﻣﮕﻨﺘﺮون ﺣﻞ ﻣﻲﺷﻮد ‪ .‬در اﻳﻦ روش از آﻫﻨﺮﺑﺎﻫﺎﻳ ﻲ در‬
‫ﻋﻘﺐ و اﻃﺮاف ﻫﺪف اﺳﺘﻔﺎده ﻣﻲﺷﻮد ‪ .‬آﻫﻨﺮﺑﺎﻫﺎ‪ ،‬اﻟﻜﺘﺮونﻫﺎ را ﺟﻠﻮي ﻫﺪف ﻣﺤﺒﻮس ﻣﻲﻛﻨﺪ‪.‬‬
‫ﺳﻴﺴﺘﻢﻫﺎي ﻣﮕﻨﺘﺮون ﺑﺮاي اﻓﺰاﻳﺶ ﻧﺮخﻫﺎي ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻛﺎراﻳﻲ ﺑﻴﺸﺘﺮي دارﻧﺪ‪ .‬ﺟﺮﻳﺎن ﻳﻮﻧﻲ ﻣﻨﺘﺞ‬
‫)ﭼﮕﺎﻟﻲ اﺗﻢﻫﺎي آرﮔﻮن ﻳﻮﻧﻴﺰه ﺷﺪه در ﺑﺮﺧﻮرد ﺑﺎ ﻫﺪف( ﺑﻪ ﻟﺤﺎظ داﻣﻨﻪ از ﺳﻴﺴﺘﻢﻫﺎ ي اﺳﭙﺎﺗﺮﻳﻨﮓ‬
‫دﻳﻮدي ﻣﺮﺳﻮم ﻓﺮاﺗﺮ ﻣﻲ ﺑﺎﺷﺪ ‪ .‬اﺛﺮ دﻳﮕﺮ اﻳﻦ روش‪ ،‬ﻓﺸﺎر ﭘﺎﻳﻴﻦﺗﺮي اﺳﺖ ﻛﻪ در ﻣﺤﻔﻈﻪ ﻣﻮرد ﻧﻴﺎز‬
‫اﺳﺖ ﻛﻪ اﻳﻦ ﺧﻮد ﺑﻪ اﻳﺠﺎد ﻳﻚ ﻓﻴﻠﻢ ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﺷﺪه ﺗﻤﻴﺰﺗﺮ ﻛﻤﻚ ﻣﻲﻛﻨﺪ ‪.‬‬
‫اﺳﭙﺎﺗﺮﻳﻨﮓ ﻣﮕﻨﺘﺮوﻧﻲ درﺟﻪ ﺣﺮارت ﻫﺪف را ﭘﺎﻳﻴﻦﺗﺮ ﻣﻲآورد ﻛﻪ در ﻧﺘﻴﺠﻪ اﻳﻦ روش را ﺑﺮاي‬
‫اﺳﭙﺎﺗﺮﻳﻨﮓ آﻟﻮﻣﻴﻨﻴﻮم و آﻟﻴﺎژﻫﺎي آﻟﻮﻣﻴﻨﻴﻮﻣﻲ ﻣﻄﻠﻮب ﻣﻲﺳﺎزد‪.‬‬

‫اﻧﻮاع اﺳﭙﺎﺗﺮﻳﻨﮓ ﺑﻪ روش ﻣﮕﻨﺘﺮون‬


‫‪ (1‬ﻣﮕﻨﺘﺮون ﺳﻄﺤﻲ )‪( Planar Magnetron‬‬
‫‪Cylindrical-Post Magnetron (2‬‬

‫‪Sputter Gun (3‬‬

‫ﻛﻪ ﺑﻪ ﻃﻮر ﺧﻼﺻﻪ روش اول را ﺗﻮﺿﻴﺢ ﻣﻲدﻫﻴﻢ‪ .‬در ﺣﻘﻴﻘﺖ ﻫﺮ ﻳﻚ از ﺳﻪ روش ﺑﺎﻻ ﺗﻨﻬﺎ ﭼﮕﻮﻧﮕﻲ‬
‫ﺑﻪ وﺟﻮد آوردن ﺳﻴﺴﺘﻢ ﻣﮕﻨﺘﺮون را ﺗﻮﺿﻴﺢ ﻣﻲدﻫﻨﺪ‪.‬‬

‫‪412‬‬
‫روش اول ﻳﻌﻨﻲ ﻣﮕﻨﺘﺮون ﺳﻄﺤﻲ در ﻣﻴﺎن ﺳﻪ روش اﺳﭙﺎﺗﺮﻳﻨﮓ ﻣﺮﺳﻮمﺗﺮﻳﻦ روش اﺳﺖ‪.‬در اﻳﻦ‬
‫روش ﻳﻚ ﻣﻴﺪان اﻟﻜﺘﺮﻳﻜﻲ در ﺣﺪود ‪ 100V/Cm‬ﻣﻴﺎن ﻫﺪف ) ﻛﻪ از ﺟﻨﺲ ﻛﺎﺗﺪ ﻓﻠﺰي ﻛﻪ‬
‫ﻣﻲﺧﻮاﻫﻴﻢ اﺳﭙﺎﺗﺮ ﺷﻮد‪،‬‬
‫ﻣﻲﺑﺎﺷﺪ ( و ﺻﻔﺤﺎت آﻧﺪ )ﺑﺴﺘﺮ ( اﻋﻤﺎل ﻣﻲﺷﻮد‪.‬ﻣﻐﻨﺎﻃﻴﺴﻲﻫﺎي داﺋﻤﻲ ﻛﻮﭼﻚ در ﭘﺸﺖ ﻫﺪف ﺑﻪ‬
‫ﺻﻮرت ﺣﻠﻘﻪﻫﺎي داﻳﺮوي و ﻳﺎ ﺑﻴﻀﻲ ﺷﻜﻞ ﺑﻪ وﺟﻮد ﻣﻲآﻳﻨﺪ و اﻳﻦ ﺑﺴﺘﮕﻲ ﺑﻪ اﻳﻦ دارد ﻛﻪ ﻣﺎ ﻫﺪف‬
‫را ﺑﻪ ﺻﻮرت ﻣﺴﺘﻄﻴﻠﻲ و ﻳﺎ داﻳﺮهاي ﺷﻜﻞ در ﻧﻈﺮ ﮔﺮﻓﺘﻪاﻳﻢ ‪.‬‬

‫ﺑﻪ ﻣﻨﻈﻮر ﺑﻪ دﺳﺖ آوردن ﻳﻚ ﺗﺼﻮر در ﻣﻮرد ﻧﺤﻮه ﻋﻤﻠﻜﺮد ﺳﻴﺴﺘﻢ ﻣﮕﻨﺘﺮون ﻓﺮض ﻛﻨﻴﺪ ﻛﻪ ﻳﻚ‬
‫ﻣﻴﻠﻪ آﻫﻨﺮﺑﺎ ﻛﻪ ﻗﻄﺐ ﺷﻤﺎل و ﺟﻨﻮب آن ﻣﺠﺰا ﻫﺴﺘﻨﺪ ﭘﺸﺖ ﺻﻔﺤﻪ ﻫﺪف ﺑﻪ ﺻﻮرت ﻣﻮازي ﻧﺼﺐ‬
‫ﺷﺪه اﺳﺖ ‪.‬‬
‫ﺗﻘﺮﻳﺒﺎً ﻧﺼﻒ ﻛﻞ ﺗﻮزﻳﻊ ﻣﻴﺪان ﻣﻐﻨﺎﻃﻴﺴﻲ از ﻗﻄﺐ ﺷﻤﺎل آﻫﻨﺮﺑﺎ ﺧﺎرج ﻣﻲﺷﻮد ﻛﻪ ﺗﻘﺮﻳﺒﺎً ﻋﻤﻮد ﺑﺮ‬
‫وﺟﻪ روﺑﺮوﻳﻲ ﻓﻀﺎي ﻣﻴﺎن اﻟﻜﺘﺮودﻫﺎﺳﺖ‪ .‬ﺳﭙﺲ ﻗﻮسﻫﺎي ﺧﻄﻮط ﻣﻴﺪان ﺑﻪ ﺻﻮرت ﺑﺨﺶﻫﺎﻳﻲ‬
‫ﻣﻮازي ﺳﻄﺢ ﻫﺪف از روي ﻫﺪف ﻋﺒﻮر ﻣﻲﻛﻨﻨﺪ ﻛﻪ در اﻳﻨﺠﺎ ﻫﺪف ﻫﻤﺎن آﻫﻨﺮﺑﺎ اﺳﺖ‪ .‬ﺳﺮاﻧﺠﺎم‬
‫ﻣﻴﺪان ﻣﻐﻨﺎﻃﻴﺴﻲ ﺑﻪ ﺻﻮرت ﻣﺴﺘﻘﻴﻢ از ﻗﻄﺐ ﺷﻤﺎل آﻫﻨﺮﺑﺎ ﺑﻪ ﻗﻄﺐ ﺟﻨﻮب آﻫﻨﺮﺑﺎ وارد ﻣﻲﺷﻮد و‬
‫ﺧﻄﻮط ﻣﻴﺪان ﺑﺴﺘﻪ ﻣﻲ ﺷﻮﻧﺪ‪.‬‬
‫اﮔﺮ ﻣﺎ ﺑﺘﻮاﻧﻴﻢ ﻳﻚ آراﻳﻪ ﺧﻄﻲ از ﭼﻨﻴﻦ ﻣﻴﻠﻪﻫﺎي آﻫﻨﺮﺑﺎﻳﻲ را ﻓﺮض ﻛﻨﻴﻢ ﻳﻚ ﻣﻴﺪان در وﺟﻪ‬
‫روﺑﺮوﻳﻲ ﻫﺪف ﺗﺸﻜﻴﻞ ﻣﻲﺷﻮد‪ .‬اﻟﻜﺘﺮونﻫﺎ ﺑﻪ ﺻﻮرت ﺟﺰﺋﻲ از ﻫﺪف ﺑﻪ ﺧﺎرج ﭘﺮﺗﺎب ﻣﻲﺷﻮﻧﺪ و در‬
‫راﺳﺘﺎي ﻣﻴﺪان ﻳﻚ ﺣﺮﻛﺖ ﻣﺎرﭘﻴﭽﻲ را آﻏﺎز ﻣﻲﻛﻨﻨﺪ‪.‬‬
‫در آﻫﻨﺮﺑﺎﻫﺎي ﻣﺴﻄﺢ واﻗﻌﻲ‪ ،‬ﻣﻴﻠﻪﻫﺎي آﻫﻨﺮﺑﺎﻳﻲ ﻗﻮي و ﻛﻮﭼﻚ ‪ NdFeB‬ﺑﻪ ﺻﻮرت ﺣﻠﻘﻪﻫﺎﻳﻲ در‬
‫ﭘﺸﺖ ﻫﺪف ﻗﺮار ﻣﻲﮔﻴﺮﻧﺪ ﻛﻪ ﻣﻲﺗﻮاﻧﻨﺪ ﻣﻴﺪاﻧﻲ ﺑﺎ ﻗﺪرت ‪ 0.5G‬را در ﺳﻄﺢ ﻫﺪف ﺑﻪ وﺟﻮد آورﻧﺪ ‪.‬‬

‫‪413‬‬
‫ﻫﻢ ﭼﻨﻴﻦ در ﺷﻜﻞﻫﺎي زﻳﺮ دو ﺗﺼﻮﻳﺮ از دو روش دﻳﮕﺮ اﻳﺠﺎد ﺳﻴﺴﺘﻢ ﻣﮕﻨﺘﺮون ﻧﺸﺎن داده ﺷﺪه‬
‫اﺳﺖ‪:‬‬

‫ﻧﻤﺎﻳﺶ ﻫﻨﺪﺳﻲ ﺳﻴﺴﺘﻢ ﻣﮕﻨﺘﺮون اﺳﺘﻮاﻧﻪاي‬

‫ﻧﻤﺎﻳﺶ ﻫﻨﺪﺳﻲ ‪Sputter‬‬

‫‪414‬‬
‫ﻣﻨﺒﻊ اﺳﭙﺎﺗﺮﻳﻨﮓ ﺑﻪ روش ﻣﮕﻨﺘﺮون‬
‫در زﻳﺮ ﻓﺸﺎر‪ ،‬ﻧﺮخ رﺷﺪ و دﻣﺎي ﺣﺎﻟﺖﻫﺎي ﻣﺨﺘﻠﻒ اﺳﭙﺎﺗﺮﻳﻨﮓ ﺑﺎ ﻫﻢ ﻣﻘﺎﻳﺴﻪ ﺷﺪه اﺳﺖ‬
‫در اﺳﭙﺎﺗﺮﻳﻨﮓ دﻳﻮدي ﺟﺮﻳﺎن ﻣﺴﺘﻘﻴﻢ‬
‫‪5 × 10 −3‬‬ ‫‪to 0.1 torr‬‬ ‫ﻓﺸﺎر‬
‫ﻧﺮخ رﺷﺪ ﻻﻳﻪ ‪0.02 to 0.2 E-6m/min‬‬
‫‪o‬‬
‫‪100 to 300‬‬ ‫دﻣﺎ ‪c‬‬

‫اﺳﭙﺎﺗﺮﻳﻨﮓ ﻣﮕﻨﺘﺮون‬
‫ﻓﺸﺎر‪10-5 to 10-3 torr :‬‬

‫ﻧﺮخ ر ﺷﺪ ﻻﻳﻪ ‪0.2 to 2 E-6m/min‬‬

‫دﻣﺎ ‪100 to 150‬‬

‫‪ - 6- 2- 7- 11‬ﺑﺮﺗﺮيﻫﺎي اﺳﭙﺎﺗﺮﻳﻨﮓ ﺑﻪ روش ﻣﮕﻨﺘﺮون ﻧﺴﺒﺖ ﺑﻪ روش دﻳﻮدي‬

‫‪_A‬ﻣﻴﺰان ﻧﺮخ ﻻﻳﻪ ﻧﺸﺎﻧﻲ در اﺳﭙﺎﺗﺮﻳﻨﮓ ﻣﮕﻨﺘﺮوﻧﻲ ﺑﻴﺶ از روش دﻳﻮدي اﺳﺖ‪.‬ﻫﻤﺎن ﻃﻮر ﻛﻪ در ﺑﺎﻻ‬
‫ﺗﻮﺿﻴﺢ داده ﺷﺪ‪ ،‬ﻣﻴﺰان اﻳﻦ ﻧﺮخ ﺑﺮاي ﻣﮕﻨﺘﺮون اﺳﭙﺎﺗﺮﻳﻨﮓ ﺑﺮاﺑﺮ ﺑﺎ ‪ 0.2 to 2 E-6m/min‬و ﺑﺮاي‬

‫‪415‬‬
‫روش دﻳﻮدي ‪ 0.02 to 0.2 E-6m/min‬ﺧﻮاﻫﺪ ﺑﻮد ﻛﻪ ﺗﻔﺎوت آﺷﻜﺎر ﻣﻴﺎن دو روش را ﻛﻪ ﻧﺘﻴﺠﻪ‬
‫ﺗﻤﺮﻛﺰ ﺑﺨﺸﻲ ﺑﻪ ﻣﺤﻞ ﭘﺮاﻛﻨﺪﮔﻲ اﻟﻜﺘﺮونﻫﺎ ﺑﻪ ﺳﻤﺖ ﻧﺎﺣﻴﻪ ﭘﻼﺳﻤﺎﺳﺖ‪ ،‬ﺑﻪ ﺧﻮﺑﻲ آﺷﻜﺎر ﻣﻲﻛﻨﺪ ‪.‬‬
‫‪_B‬ﻣﻴﺰان ﭼﮕﺎﻟﻲ ﺟﺮﻳﺎن اﺗﻢﻫﺎي آرﮔﻮن ﻛﻪ ﺑﻪ ﺳﻄﺢ ﻫﺪف ﺑﺮﺧﻮرد ﻣﻲﻛﻨﻨﺪ ‪ ،‬در اﻳﻦ روش ﺑﻴﺶﺗﺮ‬
‫ﻣﻲﮔﺮدد ﻛﻪ ﺑﺎﻻﺗﺮ رﻓﺘﻦ ﻧﺮخ ﻻﻳﻪ ﻧﺸﺎﻧﻲ را ﺑﻪ دﻧﺒﺎل ﺧﻮاﻫﺪ داﺷﺖ ‪.‬‬
‫‪_C‬ﻣﻴﺰان ﻓﺸﺎر ﻣﻮرد ﻧﻴﺎز در اﻳﻦ روش )‪ (10-5 to 10-3 torr‬ﻛﻢﺗﺮ از ﻓﺸﺎر ﻣﺮﺑﻮط ﺑﻪ روش دﻳﻮدي‬
‫‪ ( 5 × 10 −3‬اﺳﺖ ﻛﻪ ﻫﻤﻴﻦ اﻣﺮ ﺳﺒﺐ ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﺑﺎ آﻟﻮدﮔﻲ ﻛﻢﺗﺮ ﻣﻲﮔﺮدد‪.‬‬ ‫) ‪to 0.1 torr‬‬

‫‪ _D‬درﺟﻪ ﺣﺮارت ﭘﺎﻳﻴﻦﺗﺮ ﻫﺪف ﻛﻪ اﻳﻦ روش را ﺑﺮاي ﻻﻳﻪ ﻧﺸﺎﻧﻲ آﻟﻮﻣﻴﻨﻴﻮم و آﻟ ﻴﺎژﻫﺎي ﻣﺮﺑﻮط ﺑﻪ‬
‫آن ﻧﻴﺰ ﻛﺎرﺑﺮد دارد‪.‬‬

‫‪ - 7- 2- 7- 11‬ﺑﺮﺗﺮيﻫﺎي اﺳﭙﺎﺗﺮﻳﻨﮓ ﻧﺴﺒﺖ ﺑﻪ روش ﺗﺒﺨﻴﺮ در ﺧﻼء‬

‫روش اﺳﭙﺎﺗﺮﻳﻨﮓ ﭼﻨﺪﻳﻦ اﻣﺘﻴﺎز ﻧﺴﺒﺖ ﺑﻪ روش ﺗﺒﺨﻴﺮ در ﺧﻸ دارد‪.‬‬


‫‪_A‬اوﻟﻴﻦ ﻣﺰﻳﺖ آن ﺑﺎﻗﻲ ﻣﺎﻧﺪن ﺗﺮﻛﻴﺒﺎت ﻣﻮاد ﻫﺪف اﺳﺖ ‪ .‬ﺳﻮد اﺻﻠﻲ اﻳﻦ ﺧﺎﺻﻴﺖ ﻻﻳﻪ ﻧﺸﺎﻧﻲ‬
‫آﻟﻴﺎژﻫﺎ و دي اﻟﻜﺘﺮﻳﻚﻫﺎﺳﺖ‪.‬‬
‫‪_B‬ﭘﻮﺷﺶ ﻣﺮﺣﻠﻪاي ﻧﻴﺰ ﺗﻮﺳﻂ اﺳﭙﺎﺗﺮﻳﻨﮓ ﺑﻬﺒﻮدﻣﻲ ﻳﺎﺑﺪ‪ .‬در ﺣﺎﻟﻲ ﻛﻪ ﺗﺒﺨﻴﺮ از ﻳﻚ ﻣﻨﺒﻊ ﻧﻘﻄﻪاي‬
‫ﺣﺎﺻﻞ ﻣﻲﺷﻮد‪ ،‬اﺳﭙﺎﺗﺮﻳﻨﮓ ﻳﻚ ﻣﻨﺒﻊ ﻣﺴﻄﺢ اﺳﺖ‪ .‬اﻳﻦ ﺑﺪﻳﻦ ﺧﺎﻃﺮ اﺳﺖ ﻛﻪ ﻣﺎدهاي ﻛﻪ از ﻫﺮ‬
‫ﻧﻘﻄﻪ از ﻫﺪف اﺳﭙﺎﺗﺮ ﻣﻲﺷﻮد ﺑﺎ ﻣﺎدهاي ﻛﻪ روي ﻧﮕﻪ دارﻧﺪه وﻳﻔﺮ ﻣﻲ رﺳﺪ ﻣﻲﺗﻮاﻧﺪ زواﻳﺎي زﻳﺎدي‬
‫داﺷﺘﻪ ﺑﺎﺷﺪ ﺗﺎ ﺳﻄﺢ وﻳﻔﺮ را ﻛﺎﻣﻼً ﭘﻮﺷﺶ دﻫﻨﺪ‪ .‬ﺑﻌﻼوه ﭘﻮﺷﺶ ﻣﺮﺣﻠﻪاي ﺑﺎ ﭼﺮﺧﺶ ﻧﮕﻪ دارﻧﺪه‬
‫وﻳﻔﺮ و ﺑﺎ ﮔﺮم ﻛﺮدن وﻳﻔﺮ ﺑﻬﺒﻮد ﻣﻲﻳﺎﺑﺪ ‪.‬‬
‫‪ _C‬ﭼﺴﺒﻨﺪﮔﻲ ﻓﻴﻠﻢ اﺳﭙﺎﺗﺮ ﺷﺪه ﺑﺮ روي ﺳﻄﺢ وﻳﻔﺮ ﻧﻴﺰ ﺑﻴﺸﺘﺮ از ﻓﺮاﻳﻨﺪﻫﺎي ﺗﺒﺨﻴﺮ ﺑﻬﺒﻮد ﻣﻲﻳﺎﺑﺪ‪.‬‬
‫اﻧﺮژي‬

‫ﺑﺎﻻﺗﺮ اﺗﻢﻫﺎﻳﻲ ﻛﻪ ﺑﻪ ﺳﻄﺢ وﻳﻔﺮ ﻣﻲرﺳﻨﺪ ﭼﺴﺒﻨﺪﮔﻲ ﺑﻬﺘﺮي را اﻳﺠﺎد ﻣﻲ ﻛﻨﺪ‪ .‬ﻣﺤﻴﻂ ﭘﻼﺳﻤﺎي‬
‫درون ﻣﺤﻔﻈﻪ‬

‫ﻧﻴﺰ ﻛﻨﺶ »ﻛﻮﭼﻜﻲ «)‪ (scrubbing‬ﺑﺎ ﺳﻄﺢ وﻳﻔﺮ دارد ﻛﻪ اﻳﻦ ﺧﻮد ﭼﺴﺒﻨﺪﮔﻲ را زﻳﺎدﺗﺮ ﻣﻲﻛﻨﺪ‪.‬‬
‫ﭼﺴﺒﻨﺪﮔﻲ‬

‫‪416‬‬
‫و ﭘﺎﻛﻴﺰﮔﻲ ﺳﻄﺤﻲ ﻣﻲﺗﻮاﻧﺪ ﺑﺎ زﻣﻴﻦ ﻛﺮدن ﻧﮕﻪ داﺷﺘﻦ وﻳﻔﺮ و اﺳﭙﺎﺗﺮﻳﻨﮓ ﺳﻄﺢ وﻳﻔﺮ ﺑﺮاي ﻣﺪت‬
‫زﻣﺎن‬

‫ﻛﻮﺗﺎﻫﻲ ﻗﺒﻞ از ﻻﻳﻪ ﻧﺸﺎﻧﻲ اﻓﺰاﻳﺶ ﻳﺎﺑﺪ‪.‬‬

‫‪ _D‬ﺧﺼﻮﺻﻴﺎت ﻓﻴﻠﻢ ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﺷﺪه ﺑﺮ روي وﻳﻔﺮ ﻣﻲﺗﻮاﻧﺪ ﺗﻮﺳﻂ اﻳﺠﺎد ﺗﻌﺎدل ﻣﻴﺎن ﭘﺎراﻣﺘﺮﻫﺎي‬
‫ﻓﺸﺎر‪ ،‬ﻧﺮخ ﻻﻳﻪ ﻧﺸﺎﻧﻲ و ﻣﺎده ﻫﺪف ﺑﻬﺒﻮد ﻳﺎﺑﺪ‪.‬‬

‫ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﺑﻪ روش ‪CVD‬‬ ‫‪- 3- 7- 11‬‬

‫ﭘﻴﺸﺮﻓﺖﻫﺎﻳﻲ ﻛﻪ در ﺗﻜﻨﻮﻟﻮژي ‪ ،CVD‬ﺑﻪ وﻳﮋه ‪ LPCVD‬ﺣﺎﺻﻞ ﺷﺪه اﺳﺖ‪ ،‬در ﺳﺎزﻣﺎن ﻻﻳﻪ ﻧﺸﺎﻧﻲ‬
‫اﻧﺘﺨﺎب ﺳﻮﻣﻲ را ﺑﺮاي ﻻﻳﻪ ﻧﺸﺎﻧﻲﻫﺎي ﻓﻠﺰي ﻋﺮﺿﻪ ﻛﺮده اﺳﺖ‪ .‬آﻧﭽﻪ ‪ CVD‬ﻋﺮﺿﻪ ﻣﻲﻛﻨﺪ داراي‬
‫ﻣﺰاﻳﺎﻳﻲ اﺳﺖ از ﺟﻤﻠﻪ ‪ :‬ﻧﻴﺎز ﻧﺪاﺷﺘﻦ ﺑﻪ ﭘﻤﭗﻫﺎي ﺗﺨﻠﻴﻪ ﻗﻮي و ﮔﺮان و ﺑﺎ ﺗﻌﻤﻴﺮ و ﻧﮕﻬﺪاري ﺷﺪﻳﺪ‪،‬‬
‫ﭘﻮﺷﺶ ﻣﺮﺣﻠﻪاي ﻳﻜﺴﺎن و ﻧﺮخﻫﺎي ﺗﻮﻟﻴﺪ ﺑﺎﻻ‪ .‬ﺷﺎﻳﺪ اﻛﺜﺮ ﻓﻴﻠﻢﻫﺎي ﻓﻠﺰي ﻣﻘﺎوم ﻛﻪ در روش ‪CVD‬‬

‫ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻣﻲ ﺷﻮﻧﺪ ﺗﻨﮕﺴﺘﻨﻲ ﺑﺎﺷﻨﺪ‪.‬‬


‫ﺗﻨﮕﺴﺘﻦ در ﺳﺎﺧﺘﺎرﻫﺎي ﻣﺘﻨﻮﻋﻲ ﺑﻜﺎر ﻣﻲرود ؛ از ﺟﻤﻠﻪ‪ :‬ﺳﺪﻫﺎي اﺗﺼﺎل‪ ،‬اﺗﺼﺎل داﺧﻠﻲ ﮔﻴﺖ ‪MOS‬‬

‫ﭘﺮ ﻛﺮدن ‪ .Via‬ﭘﺮ ﻛﺮدن ﺣﻔﺮه ‪ Via‬ﻳﻚ ﻣﺴﺌﻠﻪ ﻛﻠﻴﺪي در ﻣﻮﺛﺮ ﺳﺎﺧﺘﻦ ﺳﻴﺴﺘﻢﻫﺎي ﭼﻨﺪ ﻓﻠﺰي‬
‫اﺳﺖ‪ .‬ﻻﻳﻪ‬
‫دي اﻟﻜﺘﺮﻳﻚ‪ ،‬ﻧﺴﺒﺘﺎً ﺿﺨﻴﻢ اﺳﺖ و ﺣﻔﺮهﻫﺎي ‪ Via‬ﺑﺎﻳﺪ ﻧﺴﺒﺘ ﺎً ﻧﺎزك ﺑﺎﺷﻨﺪ‪ .‬اﻳﻦ دو ﻓﺎﻛﺘﻮر ﻻﻳﻪ‬
‫ﻧﺸﺎﻧﻲ ﻓﻠﺰ ﭘﻴﻮﺳﺘﻪ را ﺑﺮاي ﭘﺮ ﻛﺮدن ‪ Via‬ﻫﺎ ﺑﺪون ﻧﺎزك ﻛﺮدن ﻓﻠﺰ در ‪ Via‬ﻣﺸﻜﻞ ﻣﻲ ﺳﺎزد‪ .‬ﺗﻨﮕﺴﺘﻦ‬
‫ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﺷﺪه ﺑﻪ روش ‪ CVD‬اﻧﺘﺨﺎﺑﻲ‪ ،‬ﻛﻞ ‪ Via‬را ﭘﺮ ﻣﻲﻛﻨﺪ و ﻻﻳﻪ ﻓﻠﺰي ﻣﻨﺘﺠﻪ ﻳﻚ ﺳﻄﺢ ﺧﻮاﻫﺪ‬
‫ﺑﻮد‪ .‬ﺑﺮاي اﺳﺘﻔﺎده ﺑﻪ ﻋﻨﻮان ﻓﻠﺰ ﺳﺪ ﺗﻨﮕﺴﺘﻦ ﻣﻲﺗﻮاﻧﺪ ﺑﻪ ﻃﻮر اﻧﺘﺨﺎﺑﻲ‪ ،‬ﺑﺎ ﺳﻴﻠﻴﻜﻮن ﻻﻳﻪ ﻧﺸﺎﻧﻲ‬
‫ﺷﻮد‪ .‬اﻳﻦ ﻛﺎر از واﻛﻨﺶ ﮔﺎز ﻫﮕﺰا ﻓﻠﻮﺋﻮرﻳﺪ ﺗﻨﮕﺴﺘﻦ ﻃﺒﻖ واﻛﻨﺶ زﻳﺮ ﺻﻮرت ﻣﻲﮔﻴﺮد ‪:‬‬
‫‪2WF6 + 3Si2 ⇒ 2 w + 3SiF4‬‬
‫ﻫﻤﭽﻨﻴﻦ ﺗﻨﮕﺴﺘﻦ ﻣﻲﺗﻮاﻧﺪ ﺑﺮ روي آﻟﻮﻣﻴﻨﻴﻮم و ﻳﺎ ﻓﻠﺰات دﻳﮕﺮ ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﮔﺮدد ﻛﻪ اﺣﻴﺎﭘﺎﻳﻪ‬
‫)‪ (substrate reduction‬ﻧﺎﻣﻴﺪه ﻣﻲﺷﻮد ‪.‬‬

‫‪417‬‬
‫‪WF6 + 2 Al ⇒ w + 2 AlF3‬‬

‫‪2WF6 + 3Ti ⇒ 2w + 3TiF4‬‬


‫ﻫﻤﻪ ﻻﻳﻪ ﻧﺸﺎﻧﻲﻫﺎ در ﺳﻴﺴﺘﻢﻫﺎي ‪ LPCVD‬در دﻣﺎي ﭘﺎﻳﻴﻦ‪ ،‬در ﺣﺪود ‪ 300 o C‬اﻧﺠﺎم ﻣﻲ ﺷﻮﻧﺪ ﻛﻪ‬
‫ﻓﺮاﻳﻨﺪ را ﺑﺎ ﻣﺘﺎﻟﻴﺰاﺳﻴﻮن آﻟﻮﻣﻴﻨﻴﻮم ﺳﺎزﮔﺎر ﻣﻲ ﺳﺎزد‪.‬‬
‫ﻗﺒﻞ از ‪ W‬ﺑﺎﻳﺪ ﻳﻚ ﻻﻳﻪ ﺳﺪ ﻣﺜﻞ ‪ TiW‬و ‪ TiN‬ﻧﻴﺰ ﻧﺸﺎﻧﺪه ﺷﻮد ﻛﻪ ﺑﺎ واﻛﻨﺶﻫﺎي زﻳﺮ ﺑﺪﺳﺖ‬
‫ﻣﻲآﻳﻨﺪ‪:‬‬
‫‪6TiCl4 + 8 NH 3 ⇒ 6TiN + 24HCl + N 2‬‬

‫‪2TiCl4 + 2 NH 3 + H 2 ⇒ 2TiN + 8HCl‬‬

‫‪2TiCl4 + N 2 + 4 H 2 ⇒ 2TiN + 8HCl‬‬

‫‪ - 1- 3- 7- 11‬ﻣﺒﺎﻧﻲ ﭘﺎﻳﻪاي ‪CVD‬‬

‫‪ CVD‬ﻳﻜﻲ از ﻣﺮاﺣﻞ ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻣﻲﺑﺎﺷﺪ‪.‬در ﺗﻜﻨﻮﻟﻮژي ﻧﻴﻤﻪ ﻫﺎدي‪،‬ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﺑﻪ ﻫﺮ ﻓﺮآﻳﻨﺪي‬
‫اﻃﻼق‬
‫ﻣﻲﺷﻮد ﻛﻪ در ﻃﻲ آن ﻓﺮآﻳﻨﺪ ﻣﺎده ﺑﻪ ﺻﻮرت ﻓﻴﺰﻳﻜﻲ روي ﺳﻄﺢ وﻳﻔﺮ ﻻﻳﻪ ﻧﺸﺎﻧﻲ‬
‫ﻣﻲﺷﻮد‪.‬ﻻﻳﻪﻫﺎي رﺷﺪ ﻳﺎﻓﺘﻪ آنﻫﺎﻳﻲ ﻫﺴﺘﻨﺪ ﻛﻪ از ﻣﺎده ﻣﻮرد ﻧﻈﺮ روي ﺳﻄﺢ وﻳﻔﺮ ﺷﻜﻞ ﻣﻲﮔﻴﺮﻧﺪ‪.‬‬
‫در ﺣﺎﻟﺖ ﻛﻠﻲ ﻓﺮآﻳﻨﺪ ﺑﻪ اﻳﻦ ﺻﻮرت اﺳﺖ ﻛﻪ ﻣﻮاد ﺷﻴﻤﻴﺎﻳﻲ ﻛﻪ ﺷﺎﻣﻞ اﺗﻢﻫﺎ ﻳﺎ ﻣﻮﻟﻜﻮلﻫﺎي ﻣﻮرد‬
‫ﻧﻴﺎز در ﻻﻳﻪ ﻧﻬﺎﻳﻲ ﻫﺴﺘﻨﺪ ﺑﺎ ﻫﻢ ﻣﺨﻠﻮط ﻣﻲ ﺷﻮﻧﺪ و در ﻣﺤﻔﻈﻪ ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﺑﺎ ﻫﻢ واﻛﻨﺶ ﻣﻲدﻫﻨﺪ ﺗﺎ‬
‫ﺗﺸﻜﻴﻞ ﺑﺨﺎر اﺗﻢﻫﺎ ﻳﺎ ﻣﻮﻟﻜﻮلﻫﺎﻳﻲ ﻛﻪ ﺑﺎﻳﺪ ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﺷﻮﻧﺪ‪ ،‬را دﻫﻨﺪ و روي ﺳﻄﺢ وﻳﻔﺮ ﺑﻨﺸﻴﻨﻨﺪ‬
‫و ﻻﻳﻪ ﻣﻮرد ﻧﻈﺮ را ﺗﺸﻜﻴﻞ دﻫﻨﺪ‪ .‬ﻣﻌﺎدﻟﻪ زﻳﺮ واﻛﻨﺶ ﺗﺘﺮاﻛﻠﺮﻳﺪ ﺳﻴﻠﻴﻜﻮن )‪ (sicl4‬ﺑﺎ ﻫﻴﺪروژن ﺑﻪ‬
‫ﻣﻨﻈﻮر ﺗﺸﻜﻴﻞ دادن ﻳﻚ ﻻﻳﻪ ﺳﻴﻠﻴﻜﻮن روي ﺳﻄﺢ وﻳﻔﺮ را ﻧﺸﺎن ﻣﻲدﻫﻨﺪ ‪.‬‬
‫‪Sicl4+H2‬‬ ‫‪Si+4HCL‬‬
‫ﻋﻤﻮﻣﺎً واﻛﻨﺶﻫﺎي ‪ CVD‬اﺣﺘﻴﺎج ﺑﻪ اﻧﺮژي دارﻧﺪ‪.‬‬
‫واﻛﻨﺶﻫﺎي ‪ CVD‬در ﺣﺎﻟﺖ ﻛﻠﻲ ﺑﻪ ﭼﻬﺎر ﺑﺨﺶ ‪ pyrolysis‬و ‪ reduction‬و ‪ oxidation‬و‪Nitridation‬‬

‫ﺗﻘﺴﻴﻢ ﻣﻲ ﺷﻮﻧﺪ ‪ pyrolysis.‬ﻳﻚ ﻓﺮآﻳﻨﺪ ﺷﻴﻤﻴﺎﻳﻲ اﺳﺖ ﻛﻪ ﻓﻘﻂ ﺑﻮﺳﻴﻠﻪ دﻣﺎ اﻧﺠﺎم‬
‫ﻣﻴﺸﻮد‪ Reduction.‬ﻳﻚ واﻛﻨﺶ ﺷﻴﻤﻴﺎﻳﻲ اﺳﺖ ﻛﻪ در آن ﻣﻮﻟﻜﻮل ﺑﺎ ﻫﻴﺪروژن واﻛﻨﺶ ﻣﻴﺪﻫﺪ‪.‬‬
‫ﻣﻌﺎدﻻت ﭼﻬﺎر ﺑﺨﺶ ﻛﻠﻲ ﺑﻪ ﺻﻮرت زﻳﺮ ﻣﻲ ﺑﺎﺷﻨﺪ‪:‬‬

‫‪418‬‬
‫‪Pyrolysis‬‬ ‫‪SiH 4 ⇒ Si + 2H 2‬‬

‫‪Re duction‬‬ ‫‪SiCl4 + 2 H 2 ⇒ Si + 4 HCl‬‬


‫‪Nitridtion‬‬ ‫‪3SiH2 Cl2 + 4 NH 3 ⇒ Si3 N 4 + PH + 6 H 2‬‬
‫‪Oxidation‬‬ ‫‪SiH 4 + O 2 ⇒ SiO2 + 2H 2‬‬

‫‪ - 2- 3- 7- 11‬اﺟﺰاي ﭘﺎﻳﻪ اي ﺳﻴﺴﺘﻢ ‪CVD‬‬

‫ﺳﻴﺴﺘﻢ ﻫﺎي ‪ CVD‬ﺑﻪ ﺑﺨﺶﻫﺎي ﻣﺘﻨﻮع و ﮔﻮﻧﺎﮔﻮﻧﻲ ﺗﻘﺴﻴﻢ ﻣﻲ ﺷﻮﻧﺪ ‪ .‬ﻓﻬﻢ اﻧﻮاع ﻣﺨﺘﻠﻒ ‪CVD‬‬

‫ﻣﻲﺗﻮاﻧﺪ ﺑﻪ وﺳﻴﻠﻪ ﻓﺮاﮔﻴﺮي زﻳﺮ ﺳﻴﺴﺘﻢﻫﺎي اﺳﺎﺳﻲ و ﭘﺎﻳﻪ اي ﻛﻪ در ﺗﻤﺎم ﺳﻴﺴﺘﻢﻫﺎي ‪CVD‬‬

‫ﻣﺸﺘﺮك اﺳﺖ آﺳﺎن ﺗﺮ ﺷﻮد‪ .‬ﻳﻚ ﺳﻴﺴﺘﻢ ‪ CVD‬داراي ﺑﺨﺶﻫﺎي اﺳﺎﺳﻲ و ﭘﺎﻳﻪ اي ﺷﺒﻴﻪ ﺑﺨﺶﻫﺎي‬
‫ﻳﻚ ﻛﻮره ﻣﻲﺑﺎﺷﺪ ﻛﻪ ﺷﺎﻣﻞ ﻣﺤﻔﻈﻪ ﺳﻮرس‪،‬ﭼﻨﺒﺮه واﻛﻨﺶ‪،‬ﻣﻨﺒﻊ اﻧﺮژي‪،‬وﻧﮕﻬﺪارﻧﺪه ﻫﺎي وﻳﻔﺮ و‬
‫ﻣﻜﺎﻧﻴﺴﻢ ﻫﺎي ﺑﺎرﮔﺬاري و ﺑﺮداﺷﺘﻦ ﺑﺎر ﻣﻲ ﺑﺎﺷﺪ‪ .‬در ﺑﻌﻀﻲ ﻣﻮارد ﺳﻴﺴﺘﻢ ‪ CVD‬ﻳﻚ ﻛﻮره ﺗﻴﻮب‬
‫ﻣﺎﻧﻨﺪ اﺳﺖ ﻛﻪ ﺷﺒﻴﻪ ﻫﻤﺎن ﭼﻴﺰي ﻛﻪ ﺑﺮاي ‪ Oxidation‬و ‪ Diffusion‬اﺳﺘﻔﺎده ﻣﻲ ﺷﺪ‪ ،‬ﻣﻲﺑﺎﺷﺪ‪ .‬ﻣﻮاد‬
‫ﺷﻴﻤﻴﺎﻳﻲ در ﺑﺨﺶ ﻣﺤﻔﻈﻪ ﺳﻮرس ﻗﺮار‬
‫ﻣﻲﮔﻴﺮﻧﺪ ‪ .‬ﺑﺨﺎر ﻣﻮاد ﺑﻮﺳﻴﻠﻪ ﺳﻴﻠﻨﺪرﻫﺎي ﮔﺎز ﺗﺤﺖ ﻓﺸﺎر ﻳﺎ ﻣﻨﺎﺑﻊ ﺣﺒﺎب ﻛﻨﻨﺪه ﻣﺎﻳﻊ‬
‫)‪ (Liquid Source Bubblers‬ﺗﻮﻟﻴﺪ ﻣﻲ ﺷﻮد‪.‬ﺟﺮﻳﺎن ﮔﺎز ﺑﻮﺳﻴﻠﻪ رﮔﻮﻻﺗﻮر ﻓﺸﺎر و ﻫﻢ ﭼﻨﻴﻦ‬
‫اﻧﺪازه ﮔﻴﺮي ﺟﺮم و زﻣﺎن ﻛﻨﺘﺮل ﻣﻲ ﺷﻮد‪.‬ﻻﻳﻪ ﻧﺸﺎﻧﻲ واﻗﻌﻲ روي وﻳﻔﺮﻫﺎ در ﻳﻚ ﭼﻨﺒﺮه واﻛﻨﺶ‬
‫اﻧﺠﺎم‬
‫ﻣﻲﮔﻴﺮد‪.‬ﻣﻨﺒﻊ اﻧﺮژي ﻣﻲﺗﻮاﻧﺪ ﺑﻮﺳﻴﻠﻪ ﻫﺪاﻳﺖ ﮔﺮﻣﺎﻳﻲ‪ ،‬ﻣﻨﺒﻊ اﻟﻘﺎﻳﻲ‪ ،RF‬ﭘﻼﺳﻤﺎ ﻳﺎ ﻣﺎوراء ﺑﻨﻔﺶ ﺗﺎﻣﻴﻦ‬
‫ﺷﻮد‪ .‬دﻣﺎ در رﻧﺞ دﻣﺎي اﺗﺎق ﺗﺎ ‪1250‬درﺟﻪ ﺳﺎﻧﺘﻲﮔﺮاد ﻣﻲﺗﻮاﻧﺪ ﺑﺎﺷﺪ ﻛﻪ ﺑﺴﺘﮕﻲ ﺑﻪ واﻛﻨﺶ‪،‬‬
‫ﺿﺨﺎﻣﺖ ﻻﻳﻪ ﻣﻮرد ﻧﻴﺎز و ﭘﺎراﻣﺘﺮﻫﺎي رﺷﺪ دارد‪.‬‬
‫ﺑﺨﺶ اﺳﺎﺳ ﻲ ﭼﻬﺎرم ﻧﮕﻪدارﻧﺪه وﻳﻔﺮ ﻣﻲﺑﺎﺷﺪ‪ .‬ﺗﺮﻛﻴﺐ ﺑﻨﺪي ﻫﺎي ﻣﺨﺘﻠﻒ ﭼﻨﺒﺮه و ﻣﻨﺎﺑﻊ ﮔﺮﻣﺎﻳﻲ‬
‫روي ﺷﻜﻞ و ﻣﻮاد ﺳﺎزﻧﺪه ﻧﮕﻪدارﻧﺪه ﻫﺎ ﺗﺎﺛﻴﺮ ﻣﻲ ﮔﺬارد‪ .‬در ﺷﻜﻞ ﻳﻚ ﻧﻤﻮﻧﻪ از زﻳﺮ ﺳﻴﺴﺘﻢﻫﺎي‬
‫ﻣﻮرد ﻧﻴﺎز ﺑﺮاي ﻫﺮ ‪ CVD‬آورده ﺷﺪه اﺳﺖ ‪.‬‬

‫‪ - 3- 3- 7- 11‬ﻣﺮاﺣﻞ ﻣﺨﺘﻠﻒ ﻓﺮآﻳﻨﺪ‪CVD‬‬

‫ﻓﺮآﻳﻨﺪ ‪ CVD‬ﻣﺮاﺣﻞ ﻣﺸﺎﺑﻬﻲ از ﻣﺮاﺣﻞ ﻻزم ﺑﺮاي اﻛﺴﻴﺪاﺳﻴﻮن و دﻳﻔﻴﻮژن را دﻧﺒﺎل ﻣﻲﻛﻨﺪ ‪ .‬ﺑﺮاي‬
‫دوره‪ ،‬اﻳﻦ ﻣﺮاﺣﻞ ﻋﺒﺎرﺗﻨﺪ از ‪ :‬ﺗﻤﻴﺰﻛﺎري اوﻟﻴﻪ‪،‬ﻻﻳﻪ ﻧﺸﺎﻧﻲ و ارزﻳﺎﺑﻲ‪.‬‬

‫‪419‬‬
CVD‫ اﻧﻮاع ﻣﺨﺘﻠﻒ ﺳﻴﺴﺘﻢ ﻫﺎي‬- 4- 3- 7- 11

Atmospheric-pressure CVD (APCVD)


Low-pressure CVD (LPCVD)
Ultrahigh vacuum CVD (UHV/CVD)
Plasma-Enhanced CVD (PECVD)
High Density plasma CVD (HDPCVD)
Metalorganic CVD (MOCVD)
Hot Filament CVD (HFCVD)

CVD ‫ﻧﻤﺎﻳﻲ از زﻳﺮ ﺳﻴﺴﺘﻢﻫﺎي اﺳﺎﺳﻲ ﻫﺮ‬

‫ ﻛﻪ ﺑﺮاي ﻻﻳﻪ ﻧﺸﺎﻧﻲ اﻟﻤﺎس ﺑﻪ ﻛﺎر ﻣﻲرود ﻧﺸﺎن داده‬cvd ‫ﺑﻪ ﻃﻮر ﻣﺜﺎل در زﻳﺮ ﻧﻤﺎﻳﻲ از ﻣﻜﺎﻧﻴﺰم‬
: ‫ﺷﺪه اﺳﺖ‬

420
‫‪ - 5- 3- 7- 11‬ﺳﻴﺴﺘﻢ ﻫﺎي ‪LPCVD‬‬

‫در اﻳﻨﺠﺎ ﻳﻜﻲ از اﻧﻮاع ‪ CVD‬ﻳﻌﻨﻲ ‪ LPCVD‬را ﺷﺮح ﻣﻲدﻫﻴﻢ ‪.‬‬


‫ﻳﻚ ﭘﺎراﻣﺘﺮي ﻛﻪ روي ﻳﻜﻨﻮاﺧﺘﻲ و ﭘﻮﺷﺶ ﺧﻮب ﻻﻳﻪ ﺗﺎﺛﻴﺮ ﻣﻲ ﮔﺬارد‪ ،‬ﻃﻮل ﭘﻮﻳﺶ آزاد‬
‫)‪ ( mean free path‬ﻣﻮﻟﻜﻮلﻫﺎي درون ﭼﻨﺒﺮه واﻛﻨﺶ ﻣﻲﺑﺎﺷﺪ‪ .‬ﻃﻮل ﭘﻮﻳﺶ آزاد در ﺣﻘﻴﻘﺖ‬
‫ﻣﻴﺎﻧﮕﻴﻦ ﻣﺴﺎﻓﺘﻲ اﺳﺖ ﻛﻪ ﻳﻚ ﻣﻮﻟﻜﻮل ﻗﺒﻞ از ﺑﺮﺧﻮرد ﺑﺎ ﻳﻚ ﺷﻲ درون ﭼﻨﺒﺮه ﻃﻲ ﻣﻲﻛﻨﺪ ﻛﻪ اﻳﻦ‬
‫ﺷﻲ ﻣﻲﺗﻮاﻧﺪ ﻳﻚ ﻣﻮﻟﻜﻮل دﻳﮕﺮ‪ ،‬وﻳﻔﺮﻫﺎ و ﻳﺎ ﻧﮕﻪدارﻧﺪه وﻳﻔﺮﻫﺎ ﺑﺎﺷﺪ‪ .‬ﺗﺼﺎدم و ﺑﺮ ﺧﻮرد ﺟﻬﺖ‬
‫ﺣﺮﻛﺖ ذرات را ﺗﻐﻴﻴﺮ‬
‫ﻣﻲدﻫﺪ‪.‬ﻫﺮﭼﻘﺪر ﻃﻮل ﭘﻮﻳﺶ آزاد ﺑﺰرگﺗﺮ ﺑﺎﺷﺪ ﺑﺎﻋﺚ ﻳﻜﻨﻮاﺧﺘﻲ ﺑﻬﺘﺮ ﻻﻳﻪ‪ ،‬ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﺷﺪه‬
‫ﻣﻲﺷﻮد‪.‬ﻳﻚ ﭘﺎراﻣﺘﺮ اﺳﺎﺳﻲ ﻛﻪ ﻃﻮل ﭘﻮﻳﺶ آزاد را ﻣﺸﺨﺺ ﻣﻲﻛﻨﺪ‪ ،‬ﻓﺸﺎر درون ﺳﻴﺴﺘﻢ ﻣﻲﺑﺎﺷﺪ‪.‬‬
‫ﻛﺎﻫﺶ ﻓﺸﺎر ﺑﺎﻋﺚ اﻓﺰاﻳﺶ ﻃﻮل ﭘﻮﻳﺶ آزاد و ﻫﻢ ﭼﻨﻴﻦ ﻳﻜﻨﻮاﺧﺘﻲ ﻻﻳﻪ ﻣﻲﺷﻮد‪ .‬ﻛﺎﻫﺶ ﻓﺸﺎر ﻫﻢ‬
‫ﭼﻨﻴﻦ ﺳﺒﺐ ﻛﺎﻫﺶ دﻣﺎي‬
‫ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻣﻲﺷﻮد‪.‬‬
‫ﻣﺰاﻳﺎي ﺳﻴﺴﺘﻢ ‪ LPCVD‬ﻋﺒﺎرﺗﻨﺪ از ﻛﺎﻫﺶ دﻣﺎي واﻛﻨﺶ‪ ،‬ﭘﻮﺷﺶ ﺧﻮب‪ ،‬ﺑﺎرﮔﺬاري اﻓﻘﻲ وﻳﻔﺮﻫﺎ ﺑﺮاي‬
‫ﭘﻮﺷﺶ ﺳﻄﺤﻲ ﺧﻮب‪ ،‬ﻛﻤﺘ ﺮ در ﻣﻌﺮض ذرات ﺑﻮدن‪ ،‬ﻛﺎﻫﺶ واﺑﺴﺘﮕﻲ ﺑﻪ ﺟﺮﻳﺎن دﻳﻨﺎﻣﻴﻜﻲ ﮔﺎز و ‪. ...‬‬

‫‪421‬‬
‫ﻳﻚ ‪ LPCVD‬ﻋﻤﻮدي‬
‫ﻳﻜﻲ از اﻧﻮاع ‪ LPCVD‬از ﻛﻮره ﻫﺎي ﻟﻮﻟﻪ اي ﺷﻜﻞ ﻋﻤﻮدي ﻛﻪ در ﺷﻜﻞ ﻧﺸﺎن داده ﺷﺪه اﺳﺖ اﺳﺘﻔﺎده‬
‫ﻣﻲﻛﻨﺪ‪.‬اﻳﻦ ﻛﻮره ﺷﺎﻣﻞ ‪ 3‬ﻗﺴﻤﺖ اﺳﺎﺳﻲ ﻣﻲﺑﺎﺷﺪ‪ .‬ﺗﻴﻮب ﻛﻪ ﺑﻪ ﻳﻚ ﭘﻤﭗ ﺗﺨﻠﻴﻪ وﺻﻞ ﺷﺪه ﻛﻪ‬
‫ﻓﺸﺎر را در ﺣﺪود ‪ 0.25‬ﺗﺎ ‪ 2‬ﺗﻮر ﭘﺎﻳﻴﻦ ﻣﻲ آورد‪.‬ﻣﺮﺣﻠﻪ دوم ﺗﻐﻴﻴﺮات ﺷﺎﻣﻞ اﻓﺰاﻳﺶ ﺧﻄﻲ درﺟﻪ‬
‫ﺣﺮارت در ﺑﺨﺶ ﻣﺮﻛﺰي ﻣﻲﺑﺎﺷﺪ ‪.‬ﻣﺮﺣﻠﻪ ﺳﻮم ﺗﻐﻴﻴﺮات ﺗﺰرﻳﻖ ﻛﻨﻨﺪه ﻫﺎي ﻣﺨﺼﻮص ﮔﺎز ورودي ﺑﻪ‬
‫ﻣﻨﻈﻮر ﺑﻬﺒﻮد ﻣﺨﻠﻮط ﻛﺮدن ﮔﺎزﻫﺎ و ﻳﻜﺴﺎﻧﻲ ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻣﻲﺑﺎﺷﺪ‪.‬در ﺑﺮﺧﻲ ﺳﻴﺴﺘﻢ ﻫﺎ ﺗﺰرﻳﻖ ﻛﻨﻨﺪه‬
‫ﻫﺎ ﺑﻪ ﻃﻮر ﻣﺴﺘﻘﻴﻢ ﺑﺎﻻي وﻳﻔﺮ ﻫﺎ ﻗﺮار دارﻧﺪ ‪.‬‬
‫ﻣﺘﻐﻴﺮﻫﺎي ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻋﺒﺎرﺗﻨﺪ از دﻣﺎ‪،‬ﻓﺸﺎر‪ ،‬ﺟﺮﻳﺎن ﮔﺎز و ﻣﻜﺎن وﻳﻔﺮ ‪.‬‬

‫در زﻳﺮ دو ﻧﻤﻮﻧﻪ از دﺳﺘﮕﺎه ﻫﺎي ‪ LPCVD‬دﻳﺪه ﻣﻲﺷﻮد‪.‬‬

‫‪422‬‬
423
‫‪ - 4- 7- 11‬ﻧﺘﻴﺠﻪ ﮔﻴﺮي‬

‫در ﺟﺪاوﻟﻲ ﻛﻪ در اداﻣﻪ آورده ﻣﻲ ﺷﻮد‪ ،‬ﺑﻪ ﻃﻮر اﺟﻤﺎﻟﻲ ﺑﺮ ﻣﻄﺎﻟﺐ ﮔﻔﺘﻪ ﺷﺪه در اﻳﻦ ﻓﺼﻞ اﻋﻢ از‬
‫ﻓﻠﺰات ﻣﻮرد ﺗﻮﺟﻪ در ﻣﺪارات ‪ ،VLSI‬ﻛﺎﺑﺮد ﻫﺎ و روش ﻫﺎي ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻫﺮ ﻳﻚ ﻣﺮوري ﮔﺸﺘﻪ اﺳﺖ ‪.‬‬

‫‪424‬‬
‫ﭘﻤﭗ ﻫﺎي ﺧﻼء‬ ‫‪-8-11‬‬
‫ﻓﺮآﻳﻨﺪﻫﺎي ‪ ، LPCVD‬ﻛﺎﺷﺖ ﻳﻮن ‪ ،‬ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﺑﺮوش ﺗﺒﺨﻴﺮ و اﺳﭙﺎﺗﺮﻳﻨﮓ ﻫﻤﻪ در ﻣﺤﻴﻂ ﻛﻢ‬
‫ﻓﺸﺎر ) ﺧﻼء ( اﻧﺠﺎم ﻣﻲﺷﻮﻧﺪ‪ .‬ﻣﺤﻔﻈﻪ ﻫﺎي ﺧﻼء ﺑﺎﻋﺚ ﻣﻲﺷﻮﻧﺪ ﻓﺮآﻳﻨﺪ ﻋﺎري از ﻫﺮﮔﻮﻧﻪ آﻟﻮدﮔﻲ‬
‫ﮔﺎزي ﺑﺎﺷﺪ‪ .‬در ﻓﺮآﻳﻨﺪ ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﺧﻼء‪ ،‬ﻣﻴﺎﻧﮕﻴﻦ ﭘﻮﻳﺶ آزاد اﺗﻢﻫﺎ و ﻣﻠﻜﻮﻟﻬﺎ اﻓﺰاﻳﺶ ﻣﻲﻳﺎﺑﺪ ﻛﻪ ﺑﺎ‬
‫ﭼﺮﺧﺶ ﻧﻴﺰ‪ ،‬ﻧﺘﻴﺠﻪ ﻳﻜﻨﻮاﺧﺖ ﺗﺮ و ﻓﻴﻠﻢ ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﺷﺪه ﻗﺎﺑﻞ ﻛﻨﺘﺮل ﺗﺮي ﺣﺎﺻﻞ ﺧﻮاﻫﺪ ﺷﺪ ‪.‬‬
‫ﻓﺮآﻳﻨﺪ ‪ LPCVD‬در ﻓﺸﺎرﻫﺎي ﭘﺎﻳﻴﻦﺗﺮ از‪ ) 10 - 3‬ﻣﺤﺪوده ﻣﺘﻮﺳﻂ( اﻧﺠﺎم ﻣﻲﺷﻮد و ﺑﻘﻴﻪ‬
‫ﻓﺮآﻳﻨﺪﻫﺎ در ﻓﺸﺎرﻫﺎي ﻛﻤﺘﺮ ﺗﺎ ‪ 10 - 9‬ﺗﻮر ) ﻣﺤﺪوده ﺑﺎﻻ ( ﺻﻮرت ﻣﻲﮔﻴﺮﻧﺪ‪ .‬ﻣﺤﺪوده ﻣﺘﻮﺳﻂ ﺗﻮﺳﻂ‬
‫ﭘﻤﭙﻬﺎي ﻣﻜﺎﻧﻴﻜﻲ ﺣﺎﺻﻞ ﻣﻲﺷﻮد؛ ﻛﻪ اﻳﻦ ﭘﻤﭙﻬﺎ ﻫﻤﭽﻨﻴﻦ ﺑﺮاي اﻳﺠﺎد ﻛﺎﻫﺶ ﻓﺸﺎر اوﻟﻴﻪ در ﺧﻼﻫﺎي‬
‫ﻣﺤﺪوده ﺑﺎﻻ ﻧﻴﺰ اﺳﺘﻔﺎده‬
‫ﻣﻲﺷﻮد و زﻣﺎﻧﻴﻜﻪ در اﻳﻦ ﻧﻘﺶ اﺳﺘﻔﺎده ﺷﻮﻧﺪ ‪ ،‬آنﻫﺎ را ) ‪ ( roughing pumps‬ﻣﻲ ﻧﺎﻣﻴﻢ ‪.‬‬
‫ﺑﻌﻼوه ﭘﻤﭙﻬﺎي ﻣﻜﺎﻧﻴﻜﻲ در ﺧﺮوﺟﻲ ﺳﻴﺴﺘﻤﻬﺎي اﻳﺠﺎد ﺧﻼء ‪ ،‬در ﺧﻼء ﻣﺤﺪوده ﺑﺎﻻ ﺑﺮاي ﻛﻤﻚ‬
‫ﺑﻪ ﺟﺎﺑﺠﺎﻳﻲ ﻣﻠﻜﻮل ﻫﺎي ﮔﺎز از ﭘﻤﭗ ﺑﻪ ﺳﻴﺴﺘﻢ ﺧﺮوﺟﻲ ﮔﺎز ﻧﻴﺰ ﻣﻮرد اﺳﺘﻔﺎده واﻗﻊ ﻣﻲ ﺷﻮد‪.‬‬
‫زﻣﺎﻧﻴﻜﻪ ﺧﻼء ‪ rough‬ﺑﺮﻗﺮار ﺷﺪ‪ ،‬ﻳﻚ ﭘﻤﭗ ﺧﻸ ﻣﺤﺪوده ﺑﺎﻻ ﺧﻼء ﻧﻬﺎﻳﻲ را ﺑﻮﺟﻮد ﻣﻲ آورد‪.‬‬

‫‪ - 1- 8- 11‬اﻧﻮاع ﭘﻤﭗ ﻫﺎي ﺧﻼء‬

‫در ﻣﺠﻤﻮع اﻧﻮاع ﭘﻤﭗ ﻫﺎي ﺧﻼء ﻛﻪ ﻣﻮرد اﺳﺘﻔﺎده ﻗﺮار ﻣﻲﮔﻴﺮﻧﺪ‪ ،‬ﻋﺒﺎرﺗﻨﺪ از ‪:‬‬
‫‪Mechanical pumps‬‬
‫‪Oil diffusion pumps‬‬
‫‪Cryogenic pumps‬‬
‫‪Ion pumps‬‬
‫‪Turbomolecular pumps‬‬

‫ﺟﺪاي از ﻧﻮع ﭘﻤﭗ‪ ،‬ﺗﻤﺎم ﭘﻤﭙﻬﺎ از ﻣﻮادي ﺳﺎﺧﺘﻪ ﻣﻲ ﺷﻮﻧﺪ ﻛﻪ ﮔﺎز ﺧﺮوﺟﻲ ﺑﻪ ﺳﻴﺴﺘﻢ ﻧﺪاﺷﺘﻪ‬
‫ﺑﺎﺷﻨﺪ و ﺑﺎ ﺧﻸ ﺳﺎزﮔﺎري داﺷﺘﻪ ﺑﺎﺷﻨﺪ ‪ .‬ﻣﻮاد اﺳﺘﻔﺎده ﺷﺪه ﻋﻤﺪﺗﺎً اﺳﺘﻴﻞ ﻧﻮع ‪ ،304‬ﻣﺲ ﺑﺪون‬
‫اﻛﺴﻴﺰن ﺑﺎ ﻫﺪاﻳﺖ ﺑﺎﻻ )‪ ،(OFHC‬ﻧﻴﻜﻞ ‪ ،‬ﺗﻴﺘﺎﻧﻴﻮم ‪ ،‬ﺷﻴﺸﻪ ﻫﺎي ﺑﻮر و ﺳﻴﻠﻴﻜﺎت‪ ،‬ﺳﺮاﻣﻴﻚ ﻫﺎ‪،‬‬
‫ﺗﻨﮕﺴﺘﻦ ‪ ،‬ﻃﻼ و ‪ ...‬ﻣﻲ ﺑﺎﺷﻨﺪ‪ .‬در ﭘﻤﭙﻬﺎ ﺑﺮاي ﺗﺨﻠﻴﻪ ﮔﺎزﻫﺎي ﺧﻮرﻧﺪه و ﺳﻤﻲ ﻳﺎ از ﺑﻴﻦ ﺑﺮدن‬

‫‪425‬‬
‫واﻛﻨﺸﻬﺎي ﺗﻮﻟﻴﺪ ﺷﺪه ﺑﺎﻳﺪ ﺳﻄﻮح داﺧﻠﻲ ﺑﺪون ﻫﺮﮔﻮﻧﻪ ﺧﻮردﮔﻲ ﺑﺎﺷﻨﺪ و ﻫﻤﭽﻨﻴﻦ در ﺗﻌﻤﻴﺮ اﻳﻦ‬
‫ﭘﻤﭙﻬﺎ ﻧﻴﺰ ﺑﺎﻳﺪ ﺑﻪ اﻳﻦ ﻣﺴﺄﻟﻪ ﺗﻮﺟﻪ ﺷﻮد ‪.‬‬

‫‪ - 2- 8- 11‬ﻣﻌﻴﺎراﻧﺘﺨﺎب ﭘﻤﭗ ﻫﺎي ﺧﻼء‬

‫ﭘﻤﭙﻬﺎ ﺑﺮ اﺳﺎس ﭼﻨﺪﻳﻦ ﻣﻌﻴﺎر ﻣﺨﺘﻠﻒ اﻧﺘﺨﺎب ﻣﻲﺷﻮﻧﺪ ‪ ،‬از ﺟﻤﻠﻪ ‪:‬‬

‫ﻣﺤﺪوده ﺧﻸ ﻣﻮرد ﻧﻴﺎز‬


‫ﮔﺎزﻫﺎﻳﻲ ﻛﻪ ﺑﺎﻳﺪ ﭘﻤﭗ ﺷﻮﻧﺪ ) ﮔﺎزﻫﺎي ﺳﺒﻜﺘﺮ ﻣﺎﻧﻨﺪ ﻫﻴﺪروزن ﺑﺮاي ﭘﻤﭗ ﻛﺮدن ﻣﺸﻜﻠﺘﺮ ﻣﻲ ﺑﺎﺷﻨﺪ‬
‫(‬
‫ﺳﺮﻋﺖ ﭘﻤﭗ ﻛﺮدن‬
‫ﺳﻘﻒ ﺗﻮان ﻋﻤﻠﻴﺎﺗﻲ‬
‫ﺗﻮاﻧﺎﻳﻲ ﺗﺤﻤﻞ ﺑﺎرﻫﺎي ﻧﺎﮔﻬﺎﻧﻲ ) ﺧﺮوﺟﻲ ﮔﺎز ﻣﺘﻨﺎوب (‬
‫ﺗﻮاﻧﺎﻳﻲ ﭘﻤﭗ ﮔﺎزﻫﺎي ﺧﻮرﻧﺪه‬
‫ﺗﺠﻬﻴﺰات ﻧﮕﻬﺪاري و ﺗﻌﻤﻴﺮ‬
‫زﻣﺎن ﺑﻴﻜﺎري‬
‫ﻗﻴﻤﺖ‬

‫ﺑﺎﻳﺪ ﺑﻪ ﻳﺎد داﺷﺖ ﻛﻪ در ﺳﻴﺴﺘﻢ ﺑﺨﺎﻃﺮ ﻓﻌﺎﻟﻴﺖ اﺗﻢﻫﺎ ﻳﺎ ﻣﻠﻜﻮﻟﻬﺎي ﮔﺎز دﻳﻮاره ﻣﺤﻔﻈﻪ ﺑﺎ ﺑﻌﻀﻲ‬
‫از ﻧﻴﺮوﻫﺎ ﻓﺸﺎري ﺑﻮﺟﻮد ﻣﻲآﻳﺪ و ﻛﺎﻫﺶ ﻓﺸﺎر در ﻳﻚ ﺳﻴﺴﺘﻢ ﻧﻴﺎز ﺑﻪ ﺟﺎﺑﺠﺎﻳﻲ ﮔﺎزﻫﺎ از درون‬
‫ﻣﺤﻔﻈﻪ دارد ﻛﻪ اﻳﻦ ﻋﻤﻞ ﻋﻤﻮﻣﺎً در ﭘﻤﭙﻬﺎي ﻓﺸﺎر ﭘﺎﻳﻴﻦ ﺑﺎ ﻛﺸﺶ ﻣﻮاد ﮔﺎزي ﺑﺴﻤﺖ ﭘﻤﭗ اﻧﺠﺎم‬
‫ﻣﻲﺷﻮد ﺗﺎ ﺑﻪ ﻃﻮر ﻛﺎﻣﻞ از ﺳﻴﺴﺘﻢ ﺧﺎرج ﺷﻮﻧﺪ ‪.‬‬
‫در ﻓﺸﺎرﻫﺎي ﺧﻴﻠﻲ ﭘﺎﻳﻴﻦ دﻳﮕﺮ ﻣﺎده زﻳﺎدي در ﻣﺤﻔﻈﻪ وﺟﻮد ﻧﺪارد و ﻛﺎﻫﺶ ﺑﻴﺸﺘﺮ ﻓﺸﺎر ﻧﻴﺎز ﺑﻪ‬
‫ﺳﻴﺴﺘﻤﻲ دارد ﻛﻪ روزﻧﻪ اي ﻧﺪاﺷﺘﻪ و ﮔﺎزﻫﺎي ﺧﺮوﺟﻲ ﺑﻪ آن ﺑﺮ ﻧﮕﺮدﻧﺪ‪ ،‬ﻟﺬا در ﺑﻌﻀﻲ از ﺳﻴﺴﺘﻤﻬﺎ‬
‫ﻣﺤﻔﻈﻪ ﻫﺎﻳﻲ وﺟﻮد دارد ﺗﺎ از ﺑﺮﮔﺸﺖ ﮔﺎز و ﻣﻮاد ﺑﻪ ﻣﺤﻔﻈﻪ ﭘﻤﭗ ﺟﻠﻮﮔﻴﺮي ﻛﻨﺪ ‪.‬‬

‫در اﻳﻦ ﻗﺴﻤﺖ ﺑﻪ ﺑﺮرﺳﻲ اﻧﻮاع ﭘﻤﭗ ﻫﺎي ﺧﻼء ﻣﻲﭘﺮدازﻳﻢ ‪:‬‬

‫‪426‬‬
‫)‪(Mechanical Pumps‬‬ ‫‪ - 3- 8- 11‬ﭘﻤﭗ ﻫﺎي ﻣﻜﺎﻧﻴﻜﻲ‬

‫ﻃﺮاﺣﻲ ﭘﻤﭙﻬﺎي ﻣﻜﺎﻧﻴﻜﻲ ﺑﻪ ﺳﺎل ‪ 1640‬ﺑﺮ ﻣﻴﮕﺮدد‪ ،‬زﻣﺎﻧﻴﻜﻪ ﮔﺎﻟﻴﻠﻪ و ﺗﻮرﻳﭽﻠﻲ ﺗﺌﻮري را اراﺋﻪ‬
‫ﻛﺮدﻧﺪ ﻛﻪ " ﻫﻮا ﻫﻢ وزن دارد " و ﻛﺎر ﻃﺮاﺣﻲ ﺑﺎ ﭘﻤﭙﻬﺎي دﻣﻨﺪه آﻏﺎز ﺷﺪ‪ .‬در اﻳﻦ ﭘﻤﭙﻬﺎ ﺑﺎ ﭼﺮﺧﺶ‬
‫ﮔﺮﻳﺰ از ﻣﺮﻛﺰ ﻳﻚ ﭘﺮه درون ﻳﻚ ﻣﺨﺰن ﮔﻮدال ﺷﻜﻞ ﻓﺸﺎر ﻫﻮا اﻳﺠﺎد ﻣﻲ ﺷﻮد ‪.‬‬
‫زﻣﺎﻧﻴﻜﻪ ﭘﺮه ﻣﻲ ﭼﺮﺧﺪ ‪ ،‬ﻫﻮاي ﺟﻠﻮي ﻣﺨﺰن را ﻓﺸﺮده ﻛﺮده و ﺑﺴﻤﺖ ﺑﻴﺮون ﻣﻲ راﻧﺪ و ﻫﻢزﻣﺎن‬
‫ﻳﻚ ﻧﺎﺣﻴﻪ ﻛﻢ ﻓﺸﺎر ﭘﺸﺖ آن اﻳﺠﺎد ﻣﻲ ﺷﻮد‪ .‬ﻣﻮاد ﻓﺸﺎر داده ﺷﺪه از ﻳﻚ درﻳﭽﻪ ﺧﺎرج ﻣﻲ ﺷﻮد و‬
‫زﻣﺎﻧﻴﻜﻪ ﻳﻚ درﻳﭽﻪ از ﻃﺮف ﻣﺤﻔﻈﻪ ﺑﻄﺮف ﻣﺨﺰن ﺑﺎز ﺑﺎﺷﺪ ‪ ،‬اﺟﺎزه ﻣﻲدﻫﺪ ﻣﻮاد درون ﻣﺤﻔﻈﻪ ﺑﻄﺮف‬
‫ﻣﺨﺰن ﺟﺮﻳﺎن‬
‫ﻳﺎﺑﻨﺪ‪ .‬زﻣﺎﻧﻴﻜﻪ ﭘﺮه ﻣﻲ ﭼﺮﺧﺪ ﻣﻮاد ﺑﻴﺸﺘﺮي از ﻣﺨﺰن ﺧﺎرج ﻣﻲﺷﻮﻧﺪ و در ﻧﺘﻴﺠﻪ ﺑﺎﻋﺚ ﻛﺎﻫﺶ‬
‫ﻓﺸﺎر ﻣﺤﻔﻈﻪ ﻣﻲ ﺷﻮد‪ .‬ﻗﺴﻤﺖ ﺑﺤﺮاﻧﻲ ﭘﻤﭗ درﻳﭽﻪ ﺧﺮوﺟﻲ اﺳﺖ و ﻳﻚ درﻳﭽﻪ ﺑ ﻲ ﻓﺎﻳﺪه ﻛﻪ ﺑﺎﻋﺚ‬
‫ﺑﺮﮔﺸﺖ ﮔﺎزﻫﺎ ﺑﻪ ﺳﻴﺴﺘﻢ ﺑﺸﻮد ﺑﺎﻋﺚ ﻣﺤﺪود ﺷﺪن ﻓﺸﺎر اﻳﺠﺎد ﺷﺪه ﺗﻮﺳﻂ ﭘﻤﭗ ﻣﻲﺷﻮد ‪.‬‬
‫ﺑﺮاي ﺑﻬﺒﻮد اﻳﻦ اﻣﺮ ﭘﻤﭙﻬﺎ از ﻳﻚ درﻳﭽﻪ روﻏﻨﻲ اﺳﺘﻔﺎده ﻣﻲﻛﻨﻨﺪ ﻛﻪ ﺑﺮﮔﺸﺖ ﮔﺎز ﺧﺮوﺟﻲ را‬
‫ﺑﻬﺒﻮد‬
‫ﻣﻲ ﺑﺨﺸﺪ ﻛﻪ اﻳﻦ ﮔﻮﻧﻪ ﭘﻤﭙﻬﺎ ﺑﻴﺸﺘﺮ ﺑﺮاي ‪ LPCVD‬و ﻛﺎرﺑﺮدﻫﺎي زداﻳﺶ ﻣﻄﺮح ﻣﻲ ﺷﻮد‪ .‬اﻟﺒﺘﻪ اﻳﻦ‬
‫ﻋﻤﻠﻴﺎت ﺑﺴﻴﺎري از ﭘﺲ ﻣﺎﻧﺪﻫﺎي ﻓﻴﺰﻳﻜﻲ ﻧﺎﺷﻲ از آﻟﻮدﮔﻲ روﻏﻦ را ﺷﺎﻣﻞ ﻣﻲﺷﻮد ‪.‬‬

‫ﺳﺎﺧﺘﺎر ﭘﻤﭗ ﻣﻜﺎﻧﻴﻜﻲ‬

‫در زﻳﺮ ﺗﺼﻮﻳﺮي از ﻳﻚ ﻧﻤﻮﻧﻪ ﭘﻤﭗ ﻣﻜﺎﻧﻴﻜﻲ واﻗﻌﻲ ﻧﺸﺎن داده ﺷﺪه اﺳﺖ ‪:‬‬

‫‪427‬‬
‫)‪( Oil Diffusion Pumps‬‬ ‫‪ - 4- 8- 11‬ﭘﻤﭗ ﻫﺎي ﻧﻔﻮذ روﻏﻨﻲ‬

‫اﻳﻦ ﭘﻤﭙﻬﺎ ﺗﻜﻴﻪ ﮔﺎه اﺻﻠﻲ ﻓﺮآﻳﻨﺪﻫﺎي ﺧﻼ ء در ﻧﻴﻤﻪ ﻫﺎدي ﻣﻲ ﺑﺎﺷﻨﺪ ﻛﻪ ﺑﻪ ﻳﻚ ﭘﻤﭗ ﻣﻜﺎﻧﻴﻜﻲ –‬

‫روﻏﻨﻲ ﺑﺮاي ﻛﺎﻫﺶ اﺑﺘﺪاﻳﻲ ﻓﺸﺎر در ﻣﺤﻔﻈﻪ در ﻣﺤﺪوده ‪ 10 - 3‬ﺗﻮر ﻧﻴﺎز دارد‪ ،‬ﻫﻤﭽﻨﻴﻦ ﺑﻪ ﻳﻚ ﭘﻤﭗ‬
‫ﻣﻜﺎﻧﻴﻜﻲ دﻳﮕﺮ در ﻣﺤﻞ ﺧﺮوﺟﻲ ﮔﺎز ﻧﻴﺎز دارد ‪.‬‬
‫در اﻳﻦ ﭘﻤﭙﻬﺎ ﺧﻸ ﺑﺎﻻﻳﻲ در ﻣﺤﺪوده ‪ 10 - 8‬ﺗﻮر ) در ﺷﺮاﻳﻂ ﺗﻮﻟﻴﺪ ( ﺑﺎ ﻳﻚ ﺳﻴﺴﺘﻢ اﻧﺘﻘﺎل اﻧﺪازه‬
‫ﺣﺮﻛﺖ ﻗﺎﺑﻞ دﺳﺘﻴﺎﺑﻲ اﺳﺖ ﻛﻪ در آن ﻳﻚ روﻏﻦ ﺑﺮ ﭘﺎﻳﻪ ﻫﻴﺪروﻛﺮﺑﻦ ﻛﻪ در ﻓﺸﺎر ﻛﻢ ﺗﺒﺨﻴﺮ ﺷﻮد‪.‬‬
‫در ﭘﺎﻳﻪ ﭘﻤﭗ ) ﻗﺴﻤﺖ ﭘﺎﻳﻴﻦ ( ﺣﺮارت داده ﻣﻲﺷﻮد ﻛﻪ در ﻳﻚ ﺳﺎﺧﺘﺎر ﺑﻨﺎم “ ﭘﺸﺘﻪ “ ﺻﻌﻮد‬
‫ﻣﻲﻛﻨﻨﺪ و در ﻗﺴﻤﺖ ﺑﺎﻻﻳﻲ ﭘﺸﺘﻪ ﻳﻜﺴﺮي از ﺻﻔﺤﺎت ﻣﻌﻜﻮس ﻗﺮار دارد ‪.‬‬
‫ﻣﻠﻜﻮﻟﻬﺎي روﻏﻦ ﮔﺮم ﻛﻪ از ﺟﻮﺷﻴﺪن‪ ،‬اﻧﺮژي و ﺳﺮﻋﺖ ﮔﺮﻓﺘﻪ اﻧﺪ در ﺟﻬﺖ ﻣﻌﻜﻮس ) ﺑﺴﻤﺖ‬
‫ﭘﺎﻳﻴﻦ ( از ﭘﺸﺘﻪ ﺧﺎرج ﻣﻲ ﺷﻮﻧﺪ ودر ﺧﺎرج از ﭘﺸﺘﻪ ﺑﺎ ﮔﺎزﻫﺎي ﻣﺤﻔﻈﻪ ﺑﺮﺧﻮرد ﻛﺮده و ﺑﺎﻋﺚ ﺗﺤﺮﻳﻚ‬
‫آنﻫﺎ ﺑﺴﻤﺖ ﭘﺎﻳﻴﻦ ﭘﻤﭗ ﻣﻲ ﺷﻮد ﻛﻪ ﺗﻮﺳﻂ ﻳﻚ ﭘﻤﭗ ﻣﻜﺎﻧﻴﻜﻲ از ان ﻗﺴﻤﺖ ﺟﺎﺑﺠﺎ ﺷﺪه و در ﻧﻬﺎﻳﺖ‬
‫ﻣﻠﻜﻮﻟﻬﺎي روﻏﻦ ﺑﻪ ﻣﺨﺰن ﮔﺮم ﺑﺎز ﻣﻲﮔﺮدﻧﺪ ‪.‬‬
‫دو ﻣﺸﻜﻞ اﺳﺎﺳﻲ اﻳﻦ ﭘﻤﭙﻬﺎ ﻋﺒﺎرﺗﻨﺪ از اﻧﺘﻘﺎل ﻣﻠﻜﻮﻟﻬﺎي روﻏﻦ ﺑﺪرون ﻣﺤﻔﻈﻪ و ﻋﺪم ﺗﻮاﻧﺎﻳﻲ‬
‫ﺟﺎﺑﺠﺎﻳﻲ ﺑﺨﺎرات آب از ﻣﺤﻔﻈﻪ ‪.‬‬
‫ﻫﺮ دو ﻣﺸﻜﻞ ﻓﻮق ﺑﺎ اﺳﺘﻔﺎده از ﻣﺤﻮﻃﻪ ﺳﺮد ﺑﻴﻦ ﭘﻤﭗ و ﻣﺤﻔﻈﻪ ﺑﺮﻃﺮف ﻣﻲ ﺷﻮد‪ .‬ﻣﺎﻳﻊ اﺳﺘﻔﺎده‬
‫ﺷﺪه در ﻣﺤﻮﻃﻪ ﺳﺮد ﻧﻴﺘﺮوژن ﻣﺎﻳﻊ اﺳﺖ ﻛﻪ دﻣﺎ را ﺗﺎ ﺣﺪود ‪ - 96‬درﺟﻪ ﺳﺎﻧﺘﻲﮔﺮاد ﻛﺎﻫﺶ‬
‫ﻣﻲدﻫﺪ‪ ،‬ﻛﻪ در اﻳﻦ دﻣﺎ ﻣﻠﻜﻮﻟﻬﺎي روﻏﻦ‪ ،‬ﻧﺎﺧﺎﻟﺼﻴﻬﺎ‪ ،‬وآب ﺑﺨﺎر ﺷﺪه ﻳﺦ ﻣﻲ زﻧﺪ و ﺑﻪ دﻳﻮاره داﺧﻠﻲ‬
‫ﻣﺤﻮﻃﻪ ﺳﺮد ﻣﻲ ﭼﺴﺒﺪ و ﻣﺎﻧﻊ از اﻓﺰاﻳﺶ ﻓﺸﺎر داﺧﻞ ﻣﺤﻔﻈﻪ ﻣﻲﺷﻮد ‪.‬‬

‫‪428‬‬
‫ﺳﺎﺧﺘﺎر ﭘﻤﭗ ﻧﻔﻮذ روﻏﻨﻲ‬

‫در زﻳﺮ ﺗﺼﻮﻳﺮي از ﻳﻚ ﻧﻤﻮﻧﻪ ﭘﻤﭗ روﻏﻨﻲ واﻗﻌﻲ ﻧﺸﺎن داده ﺷﺪه اﺳﺖ ‪:‬‬

‫‪429‬‬
‫) ‪( Cryogenic Pumps‬‬ ‫‪ - 5- 8- 11‬ﭘﻤﭗ ﻫﺎي ﺳﺮﻣﺎﺳﺎز‬

‫ﺣﺘﻲ ﺑﺎ ﺗﻜﻨﻮﻟﻮژي ﻣﺤﻮﻃﻪ ﺳﺮدﻧﻴﺰ ﺑﻌﻀﻲ ﻓﺮآﻳﻨﺪﻫﺎ ﻧﻤﻲ ﺗﻮاﻧﻨﺪ ﺟﻠﻮي آﻟﻮدﮔﻴﻬﺎ را از روﻏﻨﻬﺎي‬
‫ﻫﻴﺪروﻛﺮﺑﻨﻲ ﺑﮕﻴﺮﻧﺪ؛ ﻣﺎﻧﻨﺪ آﻧﭽﻪ در ﭘﻤﭙﻬﺎي ﻧﻔﻮذ روﻏﻨﻲ اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد و اﻳﻦ اﻣﺮ ﻣﺎ را ﺑﺴﻤﺖ‬
‫اﺳﺘﻔﺎده از ﭘﻤﭙﻬﺎي ﺳﺮﻣﺎﺳﺎز ﺳﻮق ﻣﻲدﻫﺪ‪ .‬ﻳﻚ ﭘﻤﭗ ﺳﺮﻣﺎ ﺳﺎز از اﻳﻦ واﻗﻌﻴﺖ اﺳﺘﻔﺎده ﻣﻲﻛﻨﺪ ﻛﻪ‬
‫ﻣﻠﻜﻮﻟﻬﺎي ﮔﺎز‬
‫ﻣﻲ ﺗﻮاﻧﻨﺪ روي ﻳﻚ ﺳﻄﺢ ﺳﺮد ﻳﺦ ﺑﺰﻧﻨﺪ ‪.‬‬
‫ﻣﺤﻮﻃﻪ ﺳﺮد ﻛﻪ در ﻗﺴﻤﺖ ﻗﺒﻞ ﺗﻮﺿﻴﺢ داده ﺷﺪ و ﺑﺮﻓﻜﻲ ﻛﻪ درون ﻳﺨﭽﺎل ﺟﻤﻊ ﻣﻲ ﺷﻮد‪،‬‬
‫ﻧﻤﻮﻧﻪ ﻫﺎﻳﻲ از ﻓﻌﺎﻟﻴﺖ ﺳﺮﻣﺎﺳﺎزي ﻫﺴﺘﻨﺪ‪ .‬ﭘﻤﭗ ﻫﺎي ﺳﺮﻣﺎﺳﺎز ﺑﺎ ﻳﻚ ﭘﺸﺘﻪ از ﺻﻔﺤﺎت ﻧﺎزك‬
‫ﻣﺮﻛﺰي ﻃﺮاﺣﻲ ﻣﻲ ﺷﻮد‪ ،‬ﻛﻪ در دﻣﺎي ﭘﺎﻳﻴﻦ ﮔﺎز از درون ﻣﺤﻔﻈﻪ ﺑﻪ ﻃﺮف ﭘﺮه ﻫﺎ ﻛﺸﻴﺪه ﻣﻲﺷﻮد و‬
‫ﺑﺪﻳﻦ ﺗﺮﺗﻴﺐ ﻣﻮاد را از ﺳﻴﺴﺘﻢ ﺑﻄﺮف ﺧﻮد ﻣﻲ ﻛﺸﺪ ﻛﻪ ﺑﺎﻋﺚ ﻛﺎﻫﺶ ﻓﺸﺎر ﻣﻲ ﺷﻮد‪ .‬ﭘﺸﺘﻪ ﻣﺮﻛﺰي‬
‫ﻛﻪ ” ﻣﻨﺒﺴﻂ ﻛﻨﻨﺪه “ ﻧﺎﻣﻴﺪه ﻣﻲﺷﻮد‪ ،‬ﺑﺎ رﻫﺎ ﻛﺮدن ﻫﻠﻴﻢ ﻣﺎﻳﻊ ﻳﺎ ﻧﻴﺘﺮوژن ﻣﺎﻳﻊ ﻓﺸﺮده ﺷﺪه ﻛﻪ از‬
‫زﻳﺮ ﺗﺰرﻳﻖ ﻣﻲﺷﻮد‪ ،‬ﺳﺮد ﺷﺪه و ﺳﺮﻣﺎ ﺗﻮﺳﻂ ﭘﺪﻳﺪه اي ﺑﻨﺎم ” ﺗﻮﺳﻌﻪ ﻋﺎﻳﻖ ﮔﺮﻣﺎﻳﻲ “ ﺑﻮﺟﻮد ﻣﻲآﻳﺪ‪.‬‬
‫اﻳﻦ ﻫﻤﺎن ﭘﺪﻳﺪه اي اﺳﺖ ﻛﻪ ﺑﺎﻋﺚ ﻣﻲﺷﻮد ﻳﻚ ﻫﻮاي ﻓﺸﺮده ﺑﺘﻮاﻧﺪ وﻗﺘﻲ ﻛﻪ دﻫﺎﻧﻪ ﻇﺮف آن ﺑﺎز‬
‫ﻣﻲﺷﻮد و ﮔﺎز ﺑﻪ ﺳﺮﻋﺖ ﺑﻄﺮف اﺗﻤﺴﻔﺮ ﺑﺎ ﻓﺸﺎر ﻛﻤﺘﺮ رﻫﺎ ﻣﻲ ﺷﻮد‪ ،‬ﺳﺮﻣﺎ ﺑﻮﺟﻮد آورد‪.‬‬
‫ﻗﺴﻤﺖ ﺑﺎﻻﻳﻲ ﻣﻨﺒﺴﻂ ﻛﻨﻨﺪه در دﻣﺎي ﺑﺎﻻﺗﺮي ﻧﺴﺒﺖ ﺑﻪ ﭘﺎﻳﻴﻦ آن ﻗﺮار دارد‪ ،‬ﻛﻪ ﺑﺎﻋﺚ ﻣﻲ ﺷﻮد‬
‫ﻣﻠﻜﻮل ﻫﺎي ﮔﺎز در ﺳﻄﻮح ﻣﺨﺘﻠﻒ ﻳﺦ ﺑﺰﻧﺪ‪ .‬اﻳﻦ ﭘﻤﭗ ﻫﺎ ﻣﻲ ﺗﻮاﻧﻨﺪ ﺑﻪ ﻃﻮر ﻣﻮﺛﺮي ﺑﺨﺎر آب را ﭘﻤﭗ‬
‫ﻛﻨﻨﺪ ﻛﻪ ﺣﺘﻲ ﭘﻤﭙﻬﺎي ﺗﻮرﺑﻮ ﻧﻴﺰ ﻗﺎدر ﺑﻪ اﻳﻦ ﻛﺎر ﻧﻴﺴﺘﻨﺪ ‪ .‬ﭘﻤﭗ ﻫﺎي ﺳﺮﻣﺎ ﺳﺎز ﺑﺪون ﻣﺤﻮﻃﻪ ﺳﺮد ﻳﺎ‬
‫ﭘﻤﭗ ﻫﺎي ﻣﻜﺎﻧﻴﻜﻲ ﻛﺎر ﻣﻲﻛﻨﻨﺪ‪ .‬ﻃﺒﻴﻌﺖ ﺟﺬب ﺑﺎﻻ و ﻋﻤﻠﻜﺮد ﺑﺪون ﻧﻴﺎز ﺑﻪ روﻏﻦ ﺑﻪ ﻃﻮر ﻣﻮﺛﺮي‬
‫ﺑﺎﻋﺚ ﻛﺎﻫﺶ آﻟﻮدﮔﻴﻬﺎي اﻳﻦ ﻧﻮع ﭘﻤﭗ ﻣﻲ ﺷﻮد‪ ،‬ﺑﺎ اﻳﻦ وﺟﻮد زﻣﺎﻧﻲ ﻛﻪ ﭘﻤﭗ اﺷﺘﺒﺎﻫﺎً ﻳﺎ ﺑﺮاي ﺗﻌﻤﻴﺮ‬
‫ﺑﻪ دﻣﺎي اﺗﺎق ﺑﺮ ﻣﻲﮔﺮدد‪ ،‬ﮔﺎزﻫﺎي ﻳﺦ زده دوﺑﺎره رﻫﺎ ﻣﻲﺷﻮﻧﺪ؛ ﺑﺎﻳﺪ دﻗﺖ داﺷﺖ ﻛﻪ ﮔﺎزﻫﺎي ﺳﻤﻲ‬
‫ﻳﺎ ﻗﺎﺑﻞ اﺷﺘﻌﺎل ﻛﻪ ﺑﻪ دام اﻓﺘﺎده اﻧﺪ ‪ ،‬از ﻣﺨﺰن ﺧﺎرج ﺷﻮﻧﺪ ‪ .‬ﻣﻴﺰان ﮔﺎزﻫﺎ ﺗﺎﺛﻴﺮي ﺟﺪ ي ﺑﺮ ﺳﺮﻋﺖ‬
‫ﭘﻤﭗ ﻛﺮدن ﻣﻲ ﮔﺬارد‪ .‬ﺳﺮﻋﺖ ﭘﻤﭗ ﺑﺎﻳﺪ ﻧﻤﺎﻳﺶ داده ﺷﻮد‪ .‬اﻳﻦ ﭘﻤﭙﻬﺎ از ﻧﻈﺮ ﻛﺎرﻛﺮد و ﻧﮕﻬﺪاري‬
‫ﺳﺎده ﻫﺴﺘﻨﺪ‪ ،‬ﭼﻮن ﻣﺎﻳﻌﻲ ﺑﺮاي ﻣﺤﻮﻃﻪ ﺳﺮد ﻳﺎ رو ﻏﻦ ﻛﺜﻴﻔﻲ ﻧﻴﺎز ﻧﺪارﻧﺪ ‪ .‬ﺑﻌﻼوه اﻳﻦ ﭘﻤﭙﻬﺎ ﻣﻲ‬
‫ﺗﻮاﻧﻨﺪ ﮔﺎزﻫﺎي ﻓﺸﺮده ﺷﺪه از ﻓﺮآﻳﻨﺪ درون ﻣﺤﻔﻈﻪ را ﺟﺬب ﻛﺮده و ﭘﻤﭗ ﻛﺮدن ﺑﺎ ﺳﺮﻋﺖ ﺑﺎﻻ را‬
‫ﺑﺎﻋﺚ ﮔﺮدﻧﺪ ‪.‬‬

‫‪430‬‬
‫ﺳﺎﺧﺘﺎر ﭘﻤﭗ ﺳﺮﻣﺎﺳﺎز‬

‫) ‪( Ion Pumps‬‬ ‫‪ - 6- 8- 11‬ﭘﻤﭗ ﻳﻮﻧﻲ‬

‫ﻳﻜﻲ از اﻧﻮاع دﻳﮕﺮ ﭘﻤﭗ ﻫﺎي ﺟﺬﺑﻲ ﭘﻤﭗ ﻳﻮﻧ ﻲ ﻣﻲ ﺑﺎﺷﺪ ﻛﻪ ﻋﻤﻠﻜﺮد آن ﺷﺒﻴﻪ ﺑﺨﺶ‬
‫ﻳﻮﻧﻴﺰاﺳﻴﻮن در ﻣﺒﺤﺚ ﻛﺎﺷﺖ ﻳﻮن ﻳﺎ ﻣﺎﺷﻴﻨﻬﺎي اﺳﭙﺎﺗﺮ ﻣﻲﺑﺎﺷﺪ‪ ،‬ﻓﻘﻂ در اﻳﻦ ﻛﺎرﺑﺮد اﺗﻢﻫﺎ و‬
‫ﻣﻠﻜﻮﻟﻬﺎ ﻣﺘﻌﻠﻖ ﺑﻪ ﻣﺤﻔﻈﻪ ﻫﺴﺘﻨﺪ‪ .‬ﻗﺴﻤﺘﻲ ﻛﻪ آن را ﺑﻪ ﻳﻮﻧﻴﺰه ﻛﺮدن ﻣﺤﻔﻈﻪ ﻧﺴﺒﺖ ﻣﻲدﻫﻴﻢ‪ ،‬آن‬
‫اﺳﺖ ﻛﻪ ﺗﻮﺳﻂ اﻟﻜﺘﺮونﻫﺎ؛ ﻳﻮن ﻫﺎﻳﻲ ﺑﺎ ﺑﺎر ﻣﺜﺒﺖ اﻳﺠﺎد ﻛﺮد ه و ﺳﭙﺲ آن را ﺗﻮﺳﻂ ﻛﺎﺗﺪ ﺗﻴﺘﺎﻧﻴﻮم )‬
‫ﭘﺘﺎﻧﺴﻴﻞ ﻣﻨﻔﻲ ( ﺟﺬب ﻣﻲﻛﻨﻴﻢ‪ ،‬در اﻳﻦ ﺑﺮﺧﻮرد ﻗﺴﻤﺘﻲ از ﺗﻴﺘﺎﻧﻴﻮم اﺳﭙﺎﺗﺮ ﺷﺪه و ﺑﺪرون ﭘﻤﭗ‬
‫ﻣﻲآﻳﺪ‪ .‬اﺗﻤﻬﺎي ﺗﻴﺘﺎﻧﻴﻮم از ﻧﻈﺮ ﺷﻴﻤﻴﺎﻳﻲ آﻧﻘﺪر ﻓﻌﺎل ﻫﺴﺘﻨﺪ ﻛﻪ ﺑﺎ ﺑﻘﻴﻪ ﮔﺎزﻫﺎ آﻣﻴﺨﺘﻪ ﻣﻲ ﺷﻮﻧﺪ و‬
‫ﺑﺪﻳﻦ ﺗﺮﺗﻴﺐ روي دﻳﻮاره ﭘﻤﭗ اﻧﺒﺎﺷﺘﻪ ﻣﻲﺷﻮﻧﺪ و ﺑﺎﻋﺚ ﻣﻲﺷﻮد ﻛﻪ ﻣﻮاد از داﺧﻞ ﻣﺤﻔﻈﻪ ﺑﺎ ﻛﺎﻫﺶ‬
‫‪- 11‬‬
‫‪ 10‬ﺗﻮر را دارﻧﺪ ﻛﻪ در‬ ‫ﻓﺸﺎر ﺟﺎﺑﺠﺎ ﺷﻮﻧﺪ‪ .‬ﭘﻤﭙﻬﺎي ﻳﻮﻧﻲ ﺗﻮاﻧﺎﻳﻲ اﻳﺠﺎد ﻓﺸﺎرﻫﺎﻳﻲ ﭘﺎﻳﻴﻦﺗﺮ از‬
‫ﻣﺤﺪوده ﺧﻴﻠﻲ ﺑﺎﻻي ﺧﻸ ﻗﺮار دارد‪.‬‬

‫‪431‬‬
‫ﺳﺎﺧﺘﺎر ﭘﻤﭗ ﻳﻮﻧﻲ‬
‫در زﻳﺮ ﺗﺼﻮﻳﺮي از ﻳﻚ ﻧﻤﻮﻧﻪ ‪ Sputter Ion Pump‬واﻗﻌﻲ ﻧﺸﺎن داده ﺷﺪه اﺳﺖ ‪:‬‬

‫) ‪( TurboMolecular Pump‬‬ ‫‪ - 7- 8- 11‬ﭘﻤﭗ ﺗﻮرﺑﻮ ﻣﻠﻜﻮﻟﻲ‬

‫اﻳﻦ ﭘﻤﭙﻬﺎ از ﻧﻈﺮ ﺳﺎﺧﺘﺎر ﺑﺴﻴﺎر ﺷﺒﻴﻪ ﺑﻪ ﻣﻮﺗﻮرﻫﺎي ﺗﻮرﺑﻴﻦ ﺟﺖ ﻣﻲﺑﺎﺷﺪ ﻛﻪ در آن ﻳﻚ ﺳﺮي‬
‫از ﭘﺮه ﻫﺎي ﭘﻬﻦ روي ﻳﻚ ﻣﺤﻮر ﻣﺮﻛﺰي ﺑﺎ ﺳﺮﻋﺖ ﺑﺴﻴﺎر زﻳﺎد ﻣﻲﭼﺮﺧﻨﺪ ) ‪ 3600‬ﺗﺎ ‪ 24000‬دور در‬
‫دﻗﻴﻘﻪ ( ‪ .‬ﻣﻠﻜﻮﻟﻬﺎي ﮔﺎز درون ﻣﺤﻔﻈﻪ ﺑﺎ ﺑﺮﺧﻮرد ﺑﻪ اوﻟﻴﻦ ﭘﺮه ﺑﻪ اﻧﺪازه ﺣﺮﻛﺘﺸﺎن اﻓﺰوده ﻣﻲﮔﺮدد و‬

‫‪432‬‬
‫ﺟﻬﺖ اﻳﻦ اﻧﺪازه ﺣﺮﻛﺖ ﺑﺴﻤﺖ ﻋﻘﺐ و ﭘﺮه ﺑﻌﺪي ﻣﻲﺑﺎﺷﺪ و در آﻧﺠﺎ ﻧﻴﺰ ﻫﻤﻴﻦ اﺗﻔﺎق ﻣﻲاﻓﺘﺪ و اﻳﻦ‬
‫ﺷﺒﻜﻪ ﺑﺎﻋﺚ ﺟﺎﺑﺠﺎﻳﻲ ﮔﺎزﻫﺎ از ﻣﺤﻔﻈﻪ ﻣﻲﺷﻮﻧﺪ ‪.‬‬
‫اﺳﺘﻔﺎده از ﺗﻐﻴﻴﺮ اﻧﺪازه ﺣﺮﻛﺖ ﻣﺸﺎﺑﻪ ﺑﺎ ﭘﻤﭙﻬﺎي ﻧﻔﻮذ ﻳﻮﻧﻲ ﺑﺎﻋﺚ ﺟﺎﺑﺠﺎﻳﻲ ذرات ﻣﻲﺷﻮد و ﺗﻔﺎوت‬
‫ﻋﻤﺪه آن ﻓﻘﺪان ﮔﺎزﻫﺎي ﺑﺮﮔﺸﺘﻲ از روﻏﻦ و ﻋﺪم ﻧﻴﺎز ﺑﻪ ﭘﺮ ﻛﺮدن و اﻃﻤﻴﻨﺎن ﺑﺎﻻ و اﻳﺠﺎد ﺧﻸ در‬
‫ﻣﺤﺪوده ﺑﺎﻻ ﻣﻲ ﺑﺎﺷﺪ ‪ .‬اﺳﺘﻔﺎده از اﻳﻦ ﭘﻤﭙﻬﺎ ﺑﺮاي ﮔﺎزﻫﺎي ﺧﻮرﻧﺪه ﻧﻴﺎز ﺑﻪ ﭘﻮﺷﺶ ﺧﺎﺻﻲ ﺑﺮاي روﺗﻮر‬
‫و اﺳﺘﺎﺗﻮر و ﮔﺮم ﻛﺮدن ﭘﻤﭗ ﺑﺮاي ﺟﻠﻮﮔﻴﺮي از واﻛﻨﺶ ذرات و زدودن آنﻫﺎ از ﻗﻄﻌﺎت ﭘﻤﭗ دارد ‪.‬‬

‫ﺳﺎﺧﺘﺎر ﭘﻤﭗ ﺗﻮرﺑﻮ ﻣﻠﻜﻮﻟﻲ‬


‫در زﻳﺮ ﺗﺼﻮﻳﺮي از ﻳﻚ ﻧﻤﻮﻧﻪ ‪ TurboMolecular Pump‬واﻗﻌﻲ ﻧﺸﺎن داده ﺷﺪه اﺳﺖ ‪:‬‬

‫‪ (Chemical mechanical polishing or CMP‬ﺿﻤﻴﻤﻪ اﻟﻒ ( ﭘﺮداﺧﺖ ﻣﻜﺎﻧﻴﻜﻲ و ﺷﻴﻤﻴﺎﻳﻲ )‬

‫‪433‬‬
‫در اﻳﻦ روش‪ ،‬از ﻳﻚ دوﻏﺎب ﺷﻴﻤ ﻴﺎﻳﻲ ﺳﺎﻳﻨﺪه و ﺧﻮرﻧﺪه )ﻣﻌﻤﻮﻻً ﻛﻠﻮﺋﻴﺪ ( اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‪ .‬اﻳﻦ‬
‫دوﻏﺎب ﺑﻪ ﻋﻨﻮان ﺟﻼﮔﺮ ﺑﺮ روي ﺻﻔﺤﻪاي ﻛﻪ ﺑﺰرگﺗﺮ از وﻳﻔﺮ ﻧﻴﻤﻪرﺳﺎﻧﺎ اﺳﺖ‪ ،‬رﻳﺨﺘﻪ ﻣﻲ ﺷﻮد و ﺑﺎ‬
‫ﭼﺮﺧﺶ ﺻﻔﺤﻪ ﺟﻼدﻫﻨﺪه‪ ،‬از ﻗﻄﻌﻪ ﻻﻳﻪﺑﺮداري ﻣﻲﺷﻮد ‪.‬‬

‫ﻓﺮآﻳﻨﺪ ﺷﺎﻣﻞ واﻛﻨﺶ ﺷﻴﻤ ﻴﺎﻳﻲ دوﻏﺎب ﺑﺎ ﻧﻴﻤﻪ رﺳﺎﻧﺎﺳﺖ و ﺳﺎﻳﺶ ﻧ ﻴﺰ ﻫﻢزﻣﺎن آن را ﺳﺮﻋﺖ‬
‫ﻣﻲدﻫﺪ‪ .‬اﻳﻦ ﻓﺮآﻳﻨﺪ ﻫﻤﺎﻧﻨﺪ ﻣﺴﻮاكزدن اﺳﺖ ﻛﻪ در آن ﺧﻤﻴﺮدﻧﺪان ﻧﻘﺶ ﻣﺎده ﺷﻴﻤﻴﺎﻳﻲ ﺑﺮاي‬
‫ﺗﻤﻴﺰﻛﺎري دﻧﺪان را دارد و ﺧﻮد ﻣﺴﻮاك اﻳﻦ ﺗﻤﻴﺰﻛﺎري را ﺳﺮﻋﺖ ﻣﻲ ﺑﺨﺸﺪ ‪.‬‬

‫ﻣﻮارد زﻳﺮ را ﺑﺎﻳﺴﺘﻲ ﺑﺮاي اﻳﻦ ﻓﺮآﻳﻨﺪ در ﻧﻈﺮ داﺷﺖ ‪:‬‬


‫ﺳﺮﻋﺖ ﻻﻳﻪﺑﺮداري از ﻣﺎده‬
‫ﻳﻜﺪﺳﺖ ﺑﻮدن ﻻﻳﻪﺑﺮداري‬
‫ﻣﻘﺪار ﻣﻮرد ﻧﻴﺎز ﻣﺴﻄﺢﺷﺪن‬
‫ﭼﻪ ﻋﻴﺐﻫﺎ و ﻧﻘﺺﻫﺎﻳﻲ ﭘﺲ از ﻻﻳﻪﺑﺮداري ﺑﺮ روي ﻗﻄﻌﻪ ﻣﻲﻣﺎﻧﺪ‬
‫ﻣﻘﺪار ﺳﺎزﮔﺎري وﻳﻔﺮﻫﺎ ﺑﺎ ﻳﻜﺪﻳﮕﺮ‬

‫‪434‬‬
‫ﺿﻤﻴﻤﻪ ب( ﻣﻌﺮﻓﻲ ﻛﺎﻣﻞ ﻓﻠﺰات و آﻟ ﻴﺎژﻫﺎي دﻳﺮﮔﺪاز‬

‫ﻓﻠﺰات و آﻟﻴﺎژﻫﺎي دﻳﺮﮔﺪاز ﺧﺎﻧﻮاده اي از ﻣﻮاد ﻓﻠﺰي ﻫﺴﺘﻨﺪ ﻛﻪ ﻧﻘﻄﻪ ٔ◌ ذوب آنﻫﺎ ﺑﻴﺶ از ‪2000‬‬
‫درﺟﻪ ٔ◌ ﺳﺎﻧﺘﻲﮔﺮاد اﺳﺖ‪ .‬اﻳﻦ دﻣﺎي ﺑﺎﻻي ذوب‪ ،‬ﻓﺮآﻳﻨﺪ ﺗﻮﻟﻴﺪ ﻣﺤﺼﻮل از اﻳﻦ ﻓﻠﺰات را ﺗﺤﺖ ﺗﺎﺛ ﻴﺮ‬
‫ﻗﺮار داده اﺳﺖ؛ ﺑﻪ ﮔﻮﻧﻪ اي ﻛﻪ ﺗﻮﻟﻴ ﺪ آنﻫﺎ از روش ذوب و ر ﻳﺨﺘﻪ ﮔﺮي ﻏ ﻴﺮ اﻗﺘﺼﺎدي ﺑﻮده و ﻣﺘﺪاول‬
‫ﻧﻴﺴﺖ‪ .‬ﻫﻤﭽﻨﻴﻦ ﺑﻪ دﻟﻴﻞ ﻓﻌﺎﻟﻴﺖ ﺷﻴﻤﻴﺎﻳﻲ ﻧﺴﺒﺘ ﺎً ﺑﺎﻻي اﻏﻠﺐ اﻳﻦ ﻓﻠﺰات‪ ،‬ﺗﻘﺮﻳﺒﺎً ﺗﻤﺎﻣﻲ ﻣﺤﺼﻮل‬
‫ﺣﺎﺻﻞ از اﺳﺘﺨﺮاج اﻧﻬﺎ ﺑﻪ ﺷﻜﻞ ﭘﻮدر ﺧﺎﻟﺺ ﻓﻠﺰي اﺳﺖ ﻛﻪ در ﻓﺮآﻳﻨﺪ اﺣﻴ ﺎ در ﺑﺴﺘﺮ ﺳﻴ ﺎل ﺑﺎ‬
‫اﺳﺘﻔﺎده از ﮔﺎز ﻫﻴﺪروژن ﺗﻬﻴﻪ ﺷﺪه اﺳﺖ‪ .‬ﺑﻪ دﻟﻴ ﻞ ﻓﺮم ﭘﻮدري ﻣﻮاد اوﻟﻴ ﻪ ﺣﺎﺻﻞ از اﺳﺘﺨﺮاج و‬
‫ﻣﺤﺪودﻳﺖ ذﻛﺮ ﺷﺪه ﺑﺮاي ﻓﺮآوري ﻣﺤﺼﻮل از روش ذوب و رﻳﺨﺘﻪ ﮔﺮي‪ ،‬ﻣﺮﺳﻮمﺗﺮﻳﻦ روش ﺗﻮﻟﻴﺪ‬
‫ﻗﻄﻌﺎت ﺑﺎﻟﻚ از اﻳﻦ ﻓﻠﺰات ﻓﺮآﻳﻨﺪﻫﺎي ﻣﺘﺎﻟﻮرژي ﭘﻮدر اﺳﺖ‪ .‬ﻟﺬا ﻫﻤﻮاره ﻳ ﻜﻲ از ﺟﻨﺒﻪ ﻫﺎي ﺗﻮﺳﻌﻪ ٔ◌‬
‫ﻣﺘﺎﻟﻮرژي ﭘﻮدر ﻣﻌﻄﻮف ﺑﻪ ﺗﻜﻨﻮﻟﻮژي ﻣﺮﺑﻮط ﺑﻪ اﻳﻦ ﻓﻠﺰات اﺳﺖ‪ .‬در ﺟﺪول ‪ ،1‬ﻓﻠﺰات دﻳﺮﮔﺪاز ﻫﻤﺮاه‬
‫ﺑﺎ ﺧﻮاص آنﻫﺎ دﻳﺪه ﻣﻲ ﺷﻮد‪.‬‬
‫ﻧﻘﻄﻪ ٔ◌ ذوب در ﻓﻠﺰات آﻟ ﻴﺎژﻫﺎي دﻳﺮﮔﺪاز ﺑﻪ ﺣﺪي ﺑﺎﻻﺳﺖ ﻛﻪ ﺣﺘﻲ زﻳﻨﺘﺮﻳﻨﮓ آنﻫﺎ ﻧﻴﺰ )ﻛﻪ در‬
‫دﻣﺎﻳﻲ ﺑﻪ ﻣﺮاﺗﺐ ﭘﺎﻳﻴﻦﺗﺮ از ﻧﻘﻄﻪ ٔ◌ ذوب اﻧﺠﺎم ﻣﻲﺷﻮد( ﺑﺎ ﻣﺸﻜﻼت ﻓﺮاواﻧﻲ ﻣﻮاﺟﻪ اﺳﺖ‪ .‬ﺑﻪ دﻟﻴﻞ‬
‫ﻓﻌﺎﻟﻴﺖ ﺷﻴ ﻤﻴﺎﻳﻲ ﻧﺴﺒﺘﺎً ﺑﺎﻻ و اﻧﺪازه ٔ◌ رﻳﺰ ﭘﻮدرﻫﺎي ﺣﺎﺻﻞ از اﺳﺘﺨﺮاج‪ ،‬ﻫﻤﻮاره ﻣﻘﺎدﻳﺮ ﻗﺎﺑﻞ‬
‫ﻣﻼﺣﻈﻪ اي از ﮔﺎزﻫﺎي ﻣﺤﻴﻄﻲ ﻧﻈﻴﺮ اﻛﺴﻴﮋن‪ ،‬ﺑﺨﺎر آب‪ ،‬ﻧﻴﺘﺮوژن و ‪ ...‬ﻫﻤﺮاه ﭘﻮدرﻫﺎ ﺣﻀﻮر دارد‪ .‬در‬
‫دﻣﺎي ﺑﺎﻻ اﻳﻦ ﮔﺎزﻫﺎ ﻛﻪ ﻗﺴﻤﺖ اﻋﻈﻢ آن ﺑﻪ ﺻﻮرت ﺟﺬب ﺳﻄﺤ ﻲ وﺟﻮد دارد‪ ،‬آزاد ﻣﻲﺷﻮد‪ .‬آزاد‬

‫‪435‬‬
‫ﺷﺪن اﻳﻦ ﻣﻘﺪار ﻗﺎﺑﻞ ﻣﻼﺣﻈﻪ از ﮔﺎز در داﺧﻞ ﻳﻚ ﻗﻄﻌﻪ ٔ◌ ﻣﺘﺎﻟﻮرژي ﭘﻮدر‪ ،‬ﻣﻨﺠﺮ ﺑﻪ ﻛﺎﻫﺶ‬
‫داﻧﺴﻴﺘﻪ ٔ◌ ﻧﻬﺎﻳﻲ و اﻓﺖ ﺧﻮاص ﻣﻜﺎﻧ ﻴﻜﻲ ﻣﻲﺷﻮد‪ .‬ﻟﺬا ﺑﺎﻳﺪ ﺗﺠﻬﻴﺰات و ﺗﻤﻬ ﻴﺪات ﻣﻨﺎﺳﺐ ﺑﺮاي‬
‫ﻛﺎﻫﺶ و ر ﻓﻊ اﻳﻦ ﻣﺸﻜﻞ ﻓﺮاﻫﻢ ﺷﻮد‪ .‬ﻫﻤﭽﻨﻴﻦ ﺑﺎﻻ ﺑﻮدن دﻣﺎ ي زﻳﻨﺘﺮﻳﻨﮓ‪ ،‬اﻳﺠﺎب ﻣﻲﻛﻨﺪ ﻛﻪ ﺗﺎ ﺣﺪ‬
‫اﻣﻜﺎن ﺑﺎ ﺑﻬﺒﻮد ﺷﺮاﻳﻂ زﻳﻨﺘﺮﻳﻨﻚ از دﻣﺎ و زﻣﺎن آن ﻛﺎﺳﺘﻪ ﺷﻮد‪ .‬ﺑﺎ ﭼﻨﻴﻦ روﻳﻜﺮدي‪ ،‬ﺗﻤﺎﻣﻲ ﻓﻠﺰات و‬
‫آﻟﻴﺎژﻫﺎي دﻳﺮﮔﺪاز در اﺗﻤﺴﻔﺮ ﻛﻨﺘﺮل ﺷﺪه ٔ◌ ﻫﻴﺪروژن زﻳﻨﺘﺮ ﻣﻲ ﺷﻮﻧﺪ ﺗﺎ ﻫﻢ از ﻣﺰﻳ ﺖ ﮔﺎز ﺷﺴﺘﺸﻮ‬
‫دﻫﻨﺪه ﺑﺮاي ﺧﺎرج ﻛﺮدن ﮔﺎزﻫﺎ ي آزاد ﺷﺪه از ﺗﻮده ٔ◌ ﭘﻮدر اﺳﺘﻔﺎده ﺷﻮد و ﻫﻢ ﺑﺎ اﺣﻴﺎ ﻻﻳﻪ ٔ◌ ﺳﻄﺤﻲ‬
‫ذرات ﭘﻮدر‪ ،‬زﻳﻨﺘﺮ ﭘﺬﻳﺮي ﺑﻪ ﺑﻴﺸﺘﺮﻳﻦ ﻣ ﻴﺰان ﻣﻤﻜﻦ ﺧﻮد رﺳ ﻴﺪه و در دﻣﺎ و زﻣﺎن ﻛﻤﺘﺮ ﺑﻪ‬
‫داﻧﺴﻴﺘﻪ ٔ◌ ﻣﺴﺎوي ﺑﺘﻮان دﺳﺖ ﻳﺎﻓﺖ ‪.‬‬
‫رﻓﺘﺎر اﻏﻠﺐ ﻓﻠﺰات دﻳﺮﮔﺪاز از ﻟﺤﺎظ زﻳﻨﺘﺮﻳﻨﮓ و ﺧﻮاص ﺣﺎﺻﻞ از آن ﻣﺸﺎﺑﻪ اﺳﺖ‪ .‬ﺗﻨﻬﺎ ﺳﻴ ﻨﺘﻴﻚ‬
‫ﻓﺮآﻳﻨﺪ ﻫﺮ ﻛﺪام ﻛﻪ واﺑﺴﺘﻪ ﺑﻪ ﻧﻘﻄﻪ ٔ◌ ذوب و ﺧﻠﻮص اﺳﺖ‪ ،‬ﻣﺘﻔﺎوت اﺳﺖ و اﻳﻦ ﺗﻔﺎوت ﻣﻲﺗﻮاﻧﺪ ﺑﻪ‬
‫ﺻﻮرت ﺗﻔﺎوت در داﻧﺴﻴﺘﻪ ٔ◌ ﻧﺴﺒ ﻲ ﺣﺎﺻﻞ از زﻳﻨﺘﺮﻳﻨﮓ ﻇﺎﻫﺮ ﺷﻮد‪ .‬در ﺗﻤﺎم آنﻫﺎ داﻧﺴﻴﺘﻪ ٔ◌ ﺣﺎﺻﻞ‬
‫از زﻳﻨﺘﺮﻳﻨﮓ در اﻧﺠﺎم ﭘﺬﻳﺮي ﻓﺮآﻳﻨﺪﻫﺎي ﻓﺮآوري ﺑﻌﺪي ﺗﺎﺛﻴﺮي ﺣﻴﺎﺗﻲ دارد‪ .‬ﻫﻤﭽﻨﻴﻦ داﻧﺴﻴﺘﻪ ٔ◌‬
‫ﻛﺎﻣﻞ ﺗﻨﻬﺎ ﺑﻌﺪ از ﻛﺎر ﻣﻜﺎﻧﻴ ﻜﻲ ﺷﺪﻳﺪ ﺣﺎﺻﻞ ﻣﻲ ﺷﻮد‪ .‬ﺑﻪ ﮔﻮﻧﻪ اي ﻛﻪ داﻧﺴﻴﺘﻪ ٔ◌ ﺑﺎﻟﻚ آنﻫﺎ ﺗﻨﻬﺎ‬
‫روي ﻣﻔﺘﻮل ﻫﺎ ﻳ ﺎ ﻓﻮﻳﻞ ﻫﺎي ﻧﺎزك ﻗﺎﺑﻞ اﻧﺪازه ﮔ ﻴﺮي اﺳﺖ‪.‬‬
‫ﻛﻠﻴﻪ ٔ◌ ﻓﻠﺰات اﻳﻦ ﺧﺎﻧﻮاده از ﻣﻘﺎوﻣﺖ ﻧﺴﺒﺘﺎً ﺿﻌﻴ ﻒ در ﺑﺮاﺑﺮ اﻛﺴﻴﺪاﺳ ﻴﻮن در دﻣﺎي ﺑﺎﻻ رﻧﺞ ﻣﻲ‬
‫ﺑﺮﻧﺪ‪ .‬ﻫﻤﻪ ٔ◌ آنﻫﺎ ﺗﻤﺎﻳﻞ ﺑﺎﻻﻳﻲ ﺑﻪ ﺟﺬب ﻛﺮﺑﻦ دارﻧﺪ و اﻳﻦ اﻣﺮ ﺗﺎﺛﻴ ﺮ ﺳﻮﺋﻲ ﺑﺮ داﻧﺴﻴﺘﻪ ٔ◌ ﻧﻬﺎﻳﻲ آنﻫﺎ‬
‫دارد‪ .‬ﺑﻪ ﮔﻮﻧﻪ اي ﻛﻪ ﺑﺮاي زﻳﻨﺘﺮﻳﻨﮓ ﻣﻮﻓﻖ ﺑﺎﻳﺪ ﺑﻪ ﻧﺤﻮ ﻣﻨﺎﺳﺒﻲ ﻫﺮ ﮔﻮﻧﻪ ﻣﻨﺸﺎء ﻛﺮﺑﻦ از ﻣﺤ ﻴﻂ‬
‫زﻳﻨﺘﺮﻳﻨﮓ و اﺗﻤﺴﻔﺮ آن ﺑﻪ دور ﻧﮕﻪ داﺷﺘﻪ ﺷﻮد‪ .‬ﻧ ﻴﺘﺮوژن و اﻛﺴﻴﮋن ﻧﻴﺰ اﺛﺮات ﻣﺸﺎﺑﻬ ﻲ دارﻧﺪ‪.‬‬
‫از دﻳﮕﺮ ﻣﺸﺨﺼﺎت ﻣﻨﺤﺼﺮ ﺑﻪ ﻓﺮد ﻓﻠﺰات دﻳﺮﮔﺪاز‪ ،‬اﻳﻦ اﺳﺖ ﻛﻪ ﺑﻪ ﻋﻠﺖ ﺑﺎﻻ ﺑﻮدن دﻣﺎي ﻓﺮآوري‪،‬‬
‫ﺑﺴﻴﺎري از ﻧﺎﺧﺎﻟﺼ ﻲ ﻫﺎي ﻫﻤﺮاه‪ ،‬ﻧﻪ ﺗﻨﻬﺎ ذوب ﺷﺪه‪ ،‬ﺑﻠﻜﻪ ﺗﺒﺨﻴ ﺮ ﻣﻲ ﺷﻮﻧﺪ! ﻟﺬا ﮔﺎز ﺷﺴﺘﺸﻮ دﻫﻨﺪه‬
‫ﻣﺎﻣﻮرﻳﺖ ﻣﻬﻢ دﻳﮕﺮي ﻧﻴ ﺰ دارد ﻛﻪ ﺧﺎرج ﻛﺮدن اﻳﻦ ﮔﺎزﻫﺎ در دﻣﺎﻫﺎي ﺑﺴﻴﺎر ﺑﺎﻻ از ﻣﺤﻴ ﻂ زﻳﻨﺘﺮﻳﻨﮓ‬
‫اﺳﺖ‪ .‬ﺑﺨﺎرات ﺣﺎﺻﻞ از ﻧﺎﺧﺎﻟﺼ ﻲ ﻫﺎ ﺷﺪﻳﺪاً ﺑﺮاي ﻋﺎﻳ ﻖ ﻫﺎ و اﻟﻤﻨﺖ ﺣﺮارﺗﻲ ﻣﺨﺮب ﻫﺴﺘﻨﺪ ‪.‬‬
‫ﺗﻜﻨﻮﻟﻮژي ﻓﺮآوري ﻓﻠﺰات ﺳﺨﺖ و ﻓﻠﺰات ﺳﻨﮕﻴ ﻦ از ﻟﺤﺎظ ﻣﺤﺪوده ٔ◌ دﻣﺎﻳﻲ و اﺑﺰار ﻛﺎري‪ ،‬ﺗﻔﺎوت‬
‫ﻫﺎي ﻣﻬﻤﻲ ﺑﺎ ﺗﻜﻨﻮﻟﻮژي ﻓﺮآوري ﻓﻠﺰات دﻳﺮﮔﺪاز دارد‪ .‬دﻣﺎ و ﺣﺴﺎﺳﻴﺖ ﺑﻪ آﻟﻮدﮔﻲ درآﻧﻬﺎ ﺑﻪ ﻣﺮاﺗﺐ‬
‫ﭘﺎﻳﻴﻦﺗﺮ از ﻓﻠﺰات دﻳﺮﮔﺪاز اﺳﺖ‪.‬‬

‫‪436‬‬
‫در ﺻﻔﺤﺎت ﺑﻌﺪ ﺟﺪول ﺧﻮاص ﻓﻴﺰﻳﻜ ﻲ و ﺷﻴﻤﻴﺎﻳﻲ ﻓﻠﺰات دﻳﺮﮔﺪاز در ﺣﺎﻟﺖ ﺧﺎﻟﺺ آورده ﺷﺪه‬
‫اﺳﺖ ﻛﻪ ﺑﻪ ﺷﺮح زﻳﺮ ﻣﻲﺑﺎﺷﺪ ‪:‬‬

‫ﺟﺪول ‪ - 1‬ﺧﻮاص ﻓﻴﺰﻳ ﻜﻲ و ﺷﻴﻤ ﻴﺎﻳﻲ ﻓﻠﺰات دﻳﺮﮔﺪاز در ﺣﺎﻟﺖ ﺧﺎﻟﺺ‬

‫‪437‬‬
438
439
: ‫ﺧﺬ‬Ĥ‫ﻣﻨﺎﺑﻊ و ﻣ‬

1)microchip fabrication
By: Peter Van Zant
2)www.semi.org
3)www.vikipedia.en.org
4)www.pvd-coating.co.uk
5)www.ANGSTROM SCIENCE.com
6)MATERIALS SCIENCE OF THIN FILMS
BY:MILTON OHRING
7) http://ir-kala.com/refractorymetals.html
8) http://www.wikipedia.org/wi/Refractory-Metals

440
‫ﻓﺼﻞ ‪ -12‬ﺑﺴﺘﻪﺑﻨﺪي‬

‫‪- 1- 12‬ﻣﻘﺪﻣﻪ ‪:‬‬

‫ﻣﻬﻨﺪﺳﺎن اﻟﻜﺘﺮوﻧﻴﻚ ﺑﻪ ﻃﺮاﺣﻲ ﻣﺪارﻫﺎ و ﺳﻴﺴﺘﻢﻫﺎي اﻟﻜﺘﺮوﻧﻴﻜـﻲ ﻣـﻲﭘﺮدازﻧـﺪ و ﻛﺎرﺧﺎﻧـﻪﻫـﺎي‬

‫ﺳﺎزﻧﺪه اﻳﻦ ﻣﺪارﻫﺎ را ﺑﻪ ﺻﻮرت ﻣﺠﺘﻤﻊ و در اﻧﺪازهﻫﺎي ﺑﺴﻴﺎر ﻛﻮﭼﻚ ﺗﻮﻟﻴﺪ ﻣﻲﻛﻨﻨﺪ اﻣـﺎ ﺑـﺮاي ﺑـﻪ‬

‫ﻛﺎر ﮔﻴﺮي اﻳﻦ ﺳﻴﺴﺘﻢﻫﺎ ﻫﻨﻮز ﻳﻚ ﮔﺎم دﻳﮕﺮ ﺑﺎﻗﻲ اﺳﺖ‪ .‬اﻳـﻦ ﮔـﺎم ﺑﺴـﺘﻪ ﺑﻨـﺪي ﻳـﺎ ‪Packaging‬‬

‫ﺳﻴﺴﺘﻢ و ﻳﺎ ﻣﺪار ﻃﺮاﺣﻲ ﺷﺪه ﻧﺎم دارد‪ .‬ﺑﺎ ﮔﺴﺘﺮش روزاﻓﺰون اﻟﻜﺘﺮوﻧﻴﻚ ﻧﻴﺎز دﻧﻴﺎي اﻟﻜﺘﺮوﻧﻴﻚ ﺑـﻪ‬

‫‪ Packaging‬ﻣﻴﻜﺮوﺳﻴﺴﺘﻢﻫﺎ ﻫﺮ روز ﺑﻴﺶ از ﭘﻴﺶ آﺷﻜﺎر ﻣﻲﮔﺮدد‪ .‬ﺑﻌـﺪ از ﻣﺮﺗـﺐﺳـﺎزي وﻳﻔـﺮ‪،‬‬

‫ﭼﻴﭗﻫﺎ ﻫﻨﻮز ﺑﺨﺸﻲ از وﻳﻔﺮ ﻣﺤﺴﻮب ﻣﻲﺷﻮﻧﺪ‪ .‬ﺟﻬﺖ اﺳﺘﻔﺎده از اﻳﻦ ﭼﻴـﭗﻫـﺎ در ﻳـﻚ ﻣـﺪار ﻳـﺎ‬

‫ﻣﺤﺼﻮل اﻟﻜﺘﺮوﻧﻴﻜﻲ‪ ،‬ﺑﺎﻳﺴﺘﻲ آﻧﻬﺎ را از ﻫﻢ ﺟﺪا ﻛﺮده و در اﻏﻠـﺐ ﻣﻮاﻗـﻊ در داﺧـﻞ ﻳـﻚ ﺑﺴـﺘﻪي‬

‫ﻣﺤﺎﻓﻆ ﻗﺮار داد‪ .‬ﻫﻤﭽﻨﻴﻦ ﻣﻲﺗﻮان ﭼﻴﭗ را روي ﺳﻄﺢ ﻳﻚ ﭘﺎﻳﻪي ﺳﺮاﻣﻴﻜﻲ ﺑـﻪ ﻋﻨـﻮان ﺑﺨﺸـﻲ از‬

‫ﻳﻚ ﻣﺪار ﻫﺎﻳﺒﺮﻳﺪ‪ ،‬در داﺧﻞ ﻳﻚ ﺑﺴﺘﻪي ﺑﺰرﮔﺘﺮ ﻫﻤﺮاه ﺑﺎ ﭼﻴﭗﻫﺎي دﻳﮕﺮ‪ ،‬ﺑﻪ ﻋﻨﻮان ﺑﺨﺸﻲ از ﻣـﺪار‬

‫ﻣﺎﻟﺘﻲﭼﻴﭗ‪ 1‬ﻗﺮار داد و ﻳﺎ اﻳﻨﻜﻪ ﺑﻪ ﻃﻮر ﻣﺴﺘﻘﻴﻢ ﺑﻪ ﻳﻚ ﺑﺮد ﻣﺪار ﭼﺎﭘﻲ ﻣﺘﺼﻞ ﺷﻮد‪ .‬ﻫﺮ ﺳـﻪ ﮔﺰﻳﻨـﻪ‬

‫داراي ﺑﺮﺧﻲ ﻓﺮآﻳﻨﺪﻫﺎي ﻣﺸﺘﺮك ﻫﺴﺘﻨﺪ‪ .‬ﻓﺮآﻳﻨﺪ ﺑﺴﺘﻪﺑﻨﺪي ﻋﻼوه ﺑـﺮ ﻣﺤﺎﻓﻈـﺖ از ﭼﻴـﭗ‪ ،‬ﻳـﻚ‬

‫ﺳﻴﺴﺘﻢ اﺗﺼﺎل اﻟﻜﺘﺮﻳﻜﻲ را ﻓﺮاﻫﻢ ﻣﻲآورد ﻛﻪ اﺟﺎزه ﻗﺮار ﮔـﺮﻓﺘﻦ در ﻳـﻚ ﺳﻴﺴـﺘﻢ اﻟﻜﺘﺮﻳﻜـﻲ را‬

‫ﻓﺮاﻫﻢ ﻣﻲآورد‪ .‬ﻫﻤﭽﻨﻴﻦ اﻳﻦ ﺑﺴﺘﻪﺑﻨﺪي‪ ،‬ﻣﺤﺎﻓﻈﺖ ﻣﺤﻴﻄﻲ و ﭘﺮاﻛﻨﺪﮔﻲ ﺣﺮارت را ﻓﺮاﻫﻢ ﻣـﻲﻛﻨـﺪ‪.‬‬

‫اﻳﻦ ﺳﺮي از ﻓﺮآﻳﻨﺪﻫﺎ ﺗﺤﺖ ﻋﻨﺎوﻳﻦ ﻣﺨﺘﻠﻒ ﻣﺎﻧﻨﺪ ﺑﺴﺘﻪﺑﻨﺪي ‪ ،2‬ﻣﻮﻧﺘﺎژ ‪ 3‬و ﻳﺎ ﻓﺮآﻳﻨﺪ ﻧﻬﺎﻳﻲ ﺷﻨﺎﺧﺘﻪ‬

‫ﻣﻲﺷﻮﻧﺪ‪ .‬در ﻓﺮآﻳﻨﺪ ﺑﺴﺘﻪﺑﻨﺪي‪ ،‬ﭼﻴﭗﻫﺎ ‪ Dies‬و ﻳﺎ ‪ Dice‬ﻧﺎﻣﻴﺪه ﻣﻲﺷﻮﻧﺪ‪.‬‬

‫)‪1 multichip modules(MCM‬‬


‫‪2 Packaging‬‬
‫‪3 Assembly‬‬
‫‪441‬‬
‫ﺳﻄﻮح ﻣﺨﺘﻠﻒ ‪:Packaging‬‬

‫‪ Packaging‬در ﻣﻴﻜﺮوﺳﻴﺴﺘﻢﻫﺎ ﺑﻪ ﻃﻮر ﻋﻤﺪه ﺷﺎﻣﻞ دو ﻗﺴﻤﺖ ﻣﻬﻢ و اﺻﻠﻲ اﺳﺖ؛ ﺑﺨﺶ اول‪،‬‬

‫‪ Packaging‬در ﺳﻄﺢ ‪ IC‬ﻳﺎ ﻗﻄﻌﻪ و ﺑﺨﺶ دوم ‪ Packaging‬در ﺳﻄﺢ ﺳﻴﺴﺘﻢ اﺳﺖ ]‪.[1] ,[2‬‬

‫در ‪ Packaging‬در ﺳﻄﺢ ‪ ،IC‬ﻫﺪف اﺻﻠﻲ ﺑﺮﻗﺮار ﻛﺮدن اﺗﺼﺎﻻت داﺧﻠﻲ‪ ،‬ﻓﺮاﻫﻢ آوردن اﻣﻜﺎن‬

‫ﺗﻐﺬﻳﻪ‪ ،‬ﺳﺮد ﻛﺮدن و ﻣﺤﺎﻓﻈﺖ از ‪ IC‬اﺳﺖ و در ﻋﻴﻦ ﺣﺎل اﻳﺠﺎد وﺳﻴﻠﻪاي ﺑﺮاي ﺣﻤﻞ ‪ IC‬اﺳﺖ‪ .‬در‬

‫ﺷﻜﻞ زﻳﺮ ﺗﺼﻮﻳﺮي از ﻳﻚ ‪ IC‬ي ‪ Package‬ﺷﺪه ﺑﻪ ﻧﻤﺎﻳﺶ در آﻣﺪه اﺳﺖ ‪:‬‬

‫ﺷﻜﻞ ‪ Packaging .1- 1‬در ﺳﻄﺢ‪IC .‬‬

‫از آﻧﺠﺎ ﻛﻪ ﻳﻚ ‪IC‬ﺑﻪ ﺗﻨﻬﺎﻳﻲ ﻗﺎدر ﺑﻪ اﻧﺠﺎم وﻇﺎﻳﻒ ﻣﻮرد ﻧﻈﺮ ﻧﺨﻮاﻫﺪ ﺑﻮد‪ ،‬ﺑﺎﻳﺪ ﺑﻪ اﺟﺰاي دﻳﮕﺮي‬

‫)ﻣﺎﻧﻨﺪ ﻣﻘﺎوﻣﺖﻫﺎ‪ ،‬ﺧﺎزنﻫﺎ و ﺳﻠﻒﻫﺎي ‪ (off chip‬و ﻳﺎ ﺣﺘﻲ ‪ IC‬ﻫﺎي دﻳﮕﺮي ﻣﺘﺼﻞ ﺷﻮد‪ .‬در‬

‫‪Packaging‬در ﺳﻄﺢ ﺳﻴﺴﺘﻢ‪ ،‬اﺗﺼﺎﻻت ﻣﻴﺎن اﻳﻦ اﺟﺰاي ﻣﺘﻔﺎوت ﺑﺮﻗﺮار ﻣﻲﺷﻮد ﺗﺎ ﺑﺘﻮاﻧﻴﻢ از‬

‫ﺳﻴﺴﺘﻢ ﻃﺮاﺣﻲ ﺷﺪه ﻛﺎر آﻳﻲ ﻻزم را درﻳﺎﻓﺖ ﻛﻨﻴﻢ‪ ،‬اﻳﻦ ﺳﻄﺢ از ‪ Packaging‬را ﻣﻲﺗﻮان ﺑﻪ ﻧﻮﻋﻲ‬

‫‪assembly‬ﻧﻴﺰ ﻧﺎﻣﻴﺪ‪ .‬ﺳﻄﺢ دوم ﻣﻲﺗﻮاﻧﺪ ﺑﻪ دو ﺑﺨﺶ ﻣﺠﺰا ﺗﻘﺴﻴﻢ ﺷﻮد؛ در ﺑﺨﺶ اول‪ ،‬ﺗﺮاﺷﻪﻫﺎي‬

‫ﻣﺘﻔﺎوت ﺑﻪ ﻫﻤﺮاه دﻳﮕﺮ اﺟﺰاي اﻟﻜﺘﺮوﻧﻴﻜﻲ ﺑﺮ روي ﻳﻚ ﺻﻔﺤﻪ ﺑﻪ ﻫﻢ ﻣﺘﺼﻞ ﻣﻲﺷﻮﻧﺪ و ﻳﻚ ﺑﻮرد‬

‫‪442‬‬
‫اﻟﻜﺘﺮوﻧﻴﻜﻲ را ﭘﺪﻳﺪ ﻣﻲآورد‪ .‬اﻳﻦ ﺑﻮرد اﻟﻜﺘﺮوﻧﻴﻜﻲ وﻇﺎﻳﻒ ﺧﺎﺻﻲ را ﺑﻪ اﻧﺠﺎم ﻣﻲرﺳﺎﻧﺪ‪ .‬در ﺷﻜﻞ‬

‫زﻳﺮ ﻧﺤﻮهي اﺗﺼﺎل ﺗﺮاﺷﻪﻫﺎي ﻣﺨﺘﻠﻒ اﻟﻜﺘﺮوﻧﻴﻜﻲ و ﺗﺸﻜﻴﻞ ﻳﻚ ﺑﻮرد اﻟﻜﺘﺮوﻧﻴﻜﻲ ﺑﻪ ﻧﻤﺎﻳﺶ در‬

‫آﻣﺪه اﺳﺖ‪:‬‬

‫ﺷﻜﻞ ‪ .2- 1‬ﻳﻚ ﺑﻮرد اﻟﻜﺘﺮوﻧﻴﻜﻲ ‪[1].‬‬

‫در ﺳﻄﺢ ﺳﻮم‪ ،‬ﺑﻮردﻫﺎي ﻣﺘﻔﺎوت در ﻳﻚ ﺳﻴﺴﺘﻢ اﻟﻜﺘﺮوﻧﻴﻜﻲ ﺑﻪ ﻫﻢ ﻣﺘﺼﻞ ﻣﻲﺷﻮﻧﺪ و ﺑﺪﻳﻦ‬

‫ﺗﺮﺗﻴﺐ ﻳﻚ ﺳﻴﺴﺘﻢ اﻟﻜﺘﺮوﻧﻴﻜﻲ ﺑﺮاي ﺑﺮآوردن ﻧﻴﺎزي ﺧﺎص ﺗﺸﻜﻴﻞ ﻣﻲﺷﻮد در ﺷﻜﻞ زﻳﺮ اﻳﻦ‬

‫ﺳﻠﺴﻠﻪ ﻣﺮاﺗﺐ و ﺗﺸﻜﻴﻞ ﻳﻚ ﺳﻴﺴﺘﻢ اﻟﻜﺘﺮوﻧﻴﻜﻲ ﺑﻪ ﻧﻤﺎﻳﺶ در آﻣﺪه اﺳﺖ ‪:‬‬

‫‪443‬‬
‫ﺷﻜﻞ ‪ .3- 1‬ﺳﻠﺴﻠﻪ ﻣﺮاﺗﺐ ‪.[1] Packaging‬‬

‫ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ ﻣﻄﺎﻟﺐ ﻓﻮق ﻣﻲﺗﻮان ادﻋﺎ ﻛﺮد ‪ Packaging‬در ﺣﻘﻴﻘﺖ ﭘﻠـﻲ ﻣﻴـﺎن ﻃﺮاﺣـﻲ‪ ،‬ﺳـﺎﺧﺖ و‬

‫ﻛﺎرﺑﺮد ﻳﻚ ﺳﻴﺴﺘﻢ اﻟﻜﺘﺮوﻧﻴﻜﻲ اﺳﺖ و وﻇﻴﻔﻪي ﻳﻚ ‪ Package‬را ﻣـﻲﺗـﻮان ﺣﻔﺎﻇـﺖ‪ ،‬ﺗﻐﺬﻳـﻪ‪ ،‬و‬

‫ﺧﻨﻚ ﻛﺮدن ﺗﺮاﺷﻪﻫﺎ و ﺳﺎﻳﺮ اﺟـﺰاي ﻣﻴﻜـﺮو اﻟﻜﺘﺮوﻧﻴـﻚ و ﻓـﺮاﻫﻢ آوردن اﺗﺼـﺎﻻت ﻣﻜـﺎﻧﻴﻜﻲ و‬

‫اﻟﻜﺘﺮﻳﻜﻲ ﻻزم ﺑﻴﻦ ﺑﺨﺶ ﻣﻴﻜﺮو اﻟﻜﺘﺮوﻧﻴﻚ و دﻧﻴﺎي ﺑﻴﺮون داﻧﺴﺖ‪ .‬ﻣﻬﻤﺘﺮﻳﻦ ﭼﺎﻟﺶ در اﻳﻦ زﻣﻴﻨﻪ‪،‬‬

‫ﺑﻜﺎرﮔﻴﺮي ‪Package‬ي اﺳﺖ ﻛﻪ ﻋﻤﻠﻜﺮد ﻗﻄﻌﻪ را ﻣﺤﺪود ﻧﻜﻨﺪ و ﺗﻤﺎم ﻧﻴﺎزﻫﺎي آن را ﺑﺮآورده ﺳﺎزد‬

‫]‪.[2] ,[1‬‬

‫در اﺑﺘﺪاي ﻋﺼﺮ اﻟﻜﺘﺮوﻧﻴﻚ‪ ،‬ﺑﺴﺘﻪﺑﻨﺪي ﺑﻪ ﻋﻨﻮان ﻳﻚ ﻛﺎر ﺳﻄﺢ ﭘﺎﻳﻴﻦ و دﺷﻮار ﻣﺤﺴﻮب ﻣـﻲﺷـﺪ‪،‬‬

‫ﻟﺬا ﻣﻌﻤﻮﻻً ﺑﺴﺘﻪﺑﻨﺪي را در ﻛﺸﻮرﻫﺎﻳﻲ اﻧﺠﺎم ﻣﻲدادﻧﺪ ﻛﻪ دﺳﺘﻤﺰد ﻛﺎرﮔﺮ ﺑﺴﻴﺎر ﭘﺎﻳﻴﻦ ﺑﻮد‪ ،‬اﻣـﺎ ﺑـﺎ‬

‫ﭘﻴﺸﺮﻓﺖ ﺗﻜﻨﻮﻟﻮژي و ﻇﻬﻮر ‪VLSI‬آن ﻧﻴﺰ دﭼﺎر دﮔﺮﮔﻮﻧﻲ و ﺗﻐﻴﻴﺮ ﺷﺪ‪ ،‬ﭼﺮا ﻛﻪ ﺑﺎ ﺣﻀﻮر ‪ VLSI‬ﺗﻌـﺪاد‬

‫‪444‬‬
‫زﻳﺎدي اﻟﻤﺎﻧﻬﺎ ﺑﺮ روي ﻳﻚ ﺳﻄﺢ ﺛﺎﺑﺖ ﻗﺮار ﻣﻲﮔﺮﻓﺘﻨﺪ و اﻳﻦ ﺑﻪ ﻣﻌﻨﻲ ﭘﻴﭽﻴﺪه ﺷـﺪن ﺑﺴـﺘﻪﺑﻨـﺪي و‬

‫ﺗﺮاﻛﻢ ﺑﺎﻻي ﭘﺎﻳﻪ ﻫﺎ و اﺳﺘﻔﺎده از ﻣﺤﻴﻂ ﻣﻨﺎﺳﺐﺗﺮ و ﺗﻤﻴﺰﺗﺮ ﺑﻮد‪ .‬در واﻗﻊ وﻗﺘـﻲ ﻛـﻪ ﺗﻌـﺪاد زﻳـﺎدي‬

‫ﺗﺮاﻧﺰﻳﺴﺘﻮر و اﻟﻤﺎﻧﻬﺎي ﺣﺎﻓﻈﻪ و ﻧﻈﻴﺮ آﻧﻬﺎ را دارﻳﻢ‪ ،‬ﻻزم اﺳﺖ ﻛﻪ ﺑﺘﻮاﻧﻴﻢ آﻧﻬﺎ را در ﻳﻚ ﺑﺴﺘﻪ ﺑﻨﺪي‬

‫ﺟﺎي دﻫﻴﻢ و اﻫﻤﻴﺖ ﺑﺴﺘﻪﺑﻨﺪي از اﻳﻨﺠﺎ ﻧﺎﺷﻲ ﻣﻲﺷﻮد‪ ،‬ﻛﻪ اﻳﻦ ﺑﺴـﺘﻪﺑﻨـﺪي ﺑﺎﻳـﺪ در ﻣﺤـﻴﻂﻫـﺎي‬

‫ﻧﻈﺎﻣﻲ‪ ،‬ﻣﺎﻫﻮارهﻫﺎ‪ ،‬اﺗﻮﻣﺒﻴﻞﻫﺎ و ‪ ...‬ﻛﺎر ﻛﻨﺪ‪.‬‬

‫ﭼﻴﭗﻫﺎي ﻣﺘﺮاﻛﻢﺗﺮ‪ ،‬ﺑﻪ اﺗﺼﺎل ﻫﺎي ورودي ﺑﻴﺸﺘﺮ )‪ (I‬و اﺗﺼﺎلﻫﺎي ﺧﺮوﺟﻲ ﺑﻴﺸﺘﺮ )‪ (O‬ﻧﻴـﺎز دارﻧـﺪ‪،‬‬

‫ﻛﻪ ﺗﺤﺖ ﻋﻨﻮان ‪ I/O Count‬و ﻳﺎ ‪ Pin Count‬ﻣﻌﺮﻓﻲ ﻣﻲﺷﻮﻧﺪ‪ITRS .‬ﭘﻴﺶﺑﻴﻨﻲ ﻛـﺮده اﺳـﺖ ﻛـﻪ‬

‫ﺗﻌﺪاد ﭘﻴﻦﻫﺎ در ﺳﺎل ‪ 2007‬ﺗﻘﺮﻳﺒﺎً ﺗﺎ رﻧﺞ ‪ 3000‬اﻓﺰاﻳﺶ ﻣﻲﻳﺎﺑﺪ‪) .‬ﺷﻜﻞ ‪.(4- 1‬‬

‫‪ITRS‬ﺗﻌﺪاد ﭘﻴﻦﻫﺎ‪ ،‬ﻫﺰﻳﻨﻪ‪ ،‬اﻧﺪازهي ﭼﻴﭗ‪ ،‬ﺿﺨﺎﻣﺖ و دﻣﺎ را ﺑﻪ ﻋﻨﻮان اوﻟـﻴﻦ ﻋﺎﻣـﻞ اﺛﺮﮔـﺬار روي‬

‫ﺗﻜﻨﻮﻟﻮژي ﺑﺴﺘﻪﺑﻨﺪي ﺑﻴﺎن ﻣﻲﻛﻨﺪ‪ .‬از آﻧﺠﺎ ﻛﻪ ﻣﺪارﻫﺎي ﺣﺎﻟﺖ ‪ -‬ﺟﺎﻣﺪ ﻛﺎرﺑﺮد ﺑﻴﺸﺘﺮي ﭘﻴـﺪا ﻛـﺮده ‪-‬‬

‫اﻧﺪ‪ ،‬ﻧﻴﺎز ﺑﻪ ﻃﺮحﻫﺎي ﺑﺴﺘﻪﺑﻨﺪي وﻳﮋه اﻓﺰاﻳﺶ ﻳﺎﻓﺘﻪ اﺳﺖ‪ .‬ﺗﻌﺪاد زﻳﺎدﺗﺮ ﭘﻴﻦﻫـﺎ ‪ 1‬ﻣﻨﺠـﺮ ﺑـﻪ اﻳﺠـﺎد‬

‫ﺗﻜﻨﻮﻟﻮژي ‪Bump/flip chip‬ﺷﺪه اﺳﺖ‪ .‬ﺗﻮﺟﻪ ﺑﻪ اﻧﺪازه و ﺳﺮﻋﺖ‪ ،‬ﺑﺎﻋﺚ اﺳﺘﻔﺎده از ﺑﺴـﺘﻪﺑﻨـﺪي ‪-‬‬

‫ﻫﺎي ‪ Chip-Scale‬در ﻣﺤﺼﻮﻻت ﻣﺼﺮﻓﻲ ﻣﺜﻞ ﮔﻮﺷﻲ ﻣﻮﺑﺎﻳﻞ و ﻣﺤﺼﻮﻻت ﻗﺎﺑﻞ ﺣﻤﻞ ‪ 2‬ﺷـﺪه اﺳـﺖ‪.‬‬

‫ﻛﺎرﺑﺮدﻫﺎي ﻓﻀﺎﻳﻲ‪ ،‬ﻧﻈﺎﻣﻲ و ﻣﺪارﻫﺎي اﺗﻮﻣﺒﻴﻞ ﺑﻪ ﺑﺴﺘﻪﺑﻨﺪيﻫﺎ و ﻓﺮآﻳﻨﺪﻫﺎ و ﺗﺴﺖﻫﺎي وﻳﮋهاي ﻧﻴﺎز‬

‫دارﻧﺪ ﺗﺎ ﻗﺎﺑﻠﻴﺖ اﻃﻤﻴﻨﺎن ﺑﺎﻻﻳﻲ ﻓﺮاﻫﻢ آﻳﺪ‪ .‬اﻳﻦ ﺑﺴﺘﻪﺑﻨﺪيﻫﺎ‪ ،‬ﻓﺮآﻳﻨﺪﻫﺎ و ﺗﺴﺖﻫﺎ ﺗﺤﺖ ﻋﻨـﻮان ‪hi-‬‬

‫‪ rel‬ﺑﻴﺎن ﻣﻲﺷﻮﻧﺪ‪ .‬ﺑﻘﻴﻪي ﭼﻴﭗﻫﺎ و ﺑﺴﺘﻪﺑﻨﺪيﻫﺎ ﺗﺤﺖ ﻋﻨﻮان ﺑﺨﺶ اﻗﺘﺼﺎدي ‪ 3‬ﺑﻴﺎن ﻣﻲﺷﻮﻧﺪ‪.‬‬

‫در اﺑﺘﺪا ﺻﻨﻌﺖ ﺑﺴﺘﻪ ﺑﻨﺪي‪ ،‬ﺳﺮﻋﺘﺶ از ﭘﺮوﺳﻪ ﺗﻮﻟﻴﺪ و ﺳﺎﺧﺖ ﻛﻤﺘﺮ ﺑﻮد وﻟـﻲ ﻇﻬـﻮر ‪ VLSI‬ﺑـﻪ آن‬

‫ﺳﺮﻋﺖ زﻳﺎدي داد‪ ،‬در واﻗﻊ ﺑﺴﺘﻪ ﺑﻨﺪي‪ ،‬ﻧﻮزاد و ﻣﺤﺼﻮل رﺷﺪ ﺻﻨﻌﺖ ﻧﻴﻤﻪﻫﺎدي ﺑﻮده اﺳﺖ‪.‬‬

‫‪1 Pin‬‬
‫‪2 Hand-Held‬‬
‫‪3 Commercial Parts‬‬
‫‪445‬‬
‫اﺣﺘﻤﺎل ﻣﻲرود ﻛﻪ در ﻧﻬﺎﻳﺖ ﻋﺎﻣﻞ ﻣﺤﺪودﻛﻨﻨﺪه در رﺷﺪ اﻧﺪازهي ﭼﻴﭗ‪ ،‬ﺑﺴـﺘﻪﺑﻨـﺪي ﺑﺎﺷـﺪ‪ .‬ﺑﻬـﺮ‬

‫ﺣﺎل‪ ،‬اﻣﺮوزه ﺗﻼش زﻳﺎدي در ﺟﻬﺖ ﻃﺮاﺣﻲ ﺑﺴﺘﻪﻫﺎي ﺟﺪﻳﺪ‪ ،‬ﺗﻮﺳﻌﻪي ﻣـﻮاد ﺟﺪﻳـﺪ و ﻓﺮآﻳﻨـﺪﻫﺎي‬

‫ﺳﺮﻳﻌﺘﺮ و ﻣﻄﻤﺌﻦﺗﺮ در ﺑﺴﺘﻪﺑﻨﺪي‪ ،‬اﻧﺠﺎم ﮔﻴﺮد‪.‬‬

‫ﺷﻜﻞ ‪ .4- 1‬ﭘﻴﺶﺑﻴﻨﻲ ‪Pin-count‬‬

‫ﻣﺸﺨﺼﺎت ﻳﻚ ﭼﻴ ﭗ )‪:(Chip Characteristic‬‬ ‫‪-2-12‬‬


‫در اﻳﻦ ﺑﺨﺶ ﺑﺴﻴﺎري از ﺧﺼﻮﺻﻴﺎت ادوات ﻣﺠﺰا و ﻣﺪارﻫﺎي ﻣﺠﺘﻤﻊ ﺑﺮرﺳﻲ ﺷـﺪه اﺳـﺖ‪ .‬ﺑﺮﺧـﻲ از‬

‫آﻧﻬﺎ ﺗﺄﺛﻴﺮ ﻣﺴﺘﻘﻴﻤﻲ روي ﻃﺮح ﺑﺴﺘﻪﺑﻨﺪي و ﻓﺮآﻳﻨﺪﻫﺎي ﺑﺴﺘﻪﺑﻨﺪي دارﻧﺪ ﻛﻪ ﻋﺒﺎرﺗﻨﺪ از‪:‬‬

‫• ﺗﺮاﻛﻢ ﭼﻴﭗ ﻳﺎ ﺳﻄﺢ ﻣﺠﺘﻤﻊ ﺳﺎزي ‪(Integration level).‬‬

‫• ﺿﺨﺎﻣﺖ وﻳﻔﺮ ‪(Wafer thickness).‬‬

‫• اﺑﻌﺎد ‪(Dimensions).‬‬

‫• ﺣﺴﺎﺳﻴﺖ ﻣﺤﻴﻄﻲ ‪(Environmental sensitivity).‬‬

‫‪446‬‬
‫• آﺳﻴﺐ ﭘﺬﻳﺮي ﻓﻴﺰﻳﻜﻲ ‪(Physical vulnerability).‬‬

‫• ﺗﻮﻟﻴﺪ ﺣﺮارﺗﻲ ‪(Heat generation).‬‬

‫• ﺣﺴﺎﺳﻴﺖ ﺣﺮارﺗﻲ ‪(Heat sensitivity).‬‬

‫ﺗﺮاﻛﻢ ﭼﻴﭗ )ﺳﻄﺢ ﻣﺠﺘﻤﻊ ﺳﺎزي( ﺗﻌﺪاد اﺗﺼﺎل ﻫﺎي ﻻزم را ﻣﺸﺨﺺ ﻣﻲﻛﻨﺪ‪ .‬ﻫﺮ ﭼﻪ ﺗـﺮاﻛﻢ ﭼﻴـﭗ‬

‫ﺑﺎﻻﺗﺮ ﺑﺎﺷﺪ‪ ،‬ﺑﻪ ﺳﻄﺢ ﺑﻴﺸﺘﺮي ﻧﻴﺎز اﺳﺖ و ﺗﻌﺪاد ﭘﺪﻫﺎي ‪ 1‬اﺗﺼﺎل ﺑﻴﺸﺘﺮ ﺧﻮاﻫﻨﺪ ﺑﻮد‪ .‬ﺗﻤﺎﻳﻞ ﺑﻪ ﺳﻤﺖ‬

‫ﭼﻴﭗﻫﺎي ﺑﺰرﮔﺘﺮ‪ ،‬ﻧﻴﺎز ﺑﻪ وﻳﻔﺮﻫﺎي ﺑﺎ ﻗﻄﺮ ﺑﻴﺸﺘﺮ و ﺿﺨﻴﻢﺗﺮ را اﻓﺰاﻳﺶ داده اﺳـﺖ‪ .‬اﻳـﻦ ﻓﺎﻛﺘﻮرﻫـﺎ‪،‬‬

‫ﻣﻮﺟﺐ ﺗﻐﻴﻴﺮاﺗﻲ در ﻓﺮآﻳﻨﺪ ﺟﺪاﺳﺎزي داﻳﺲ و ﻃﺮح ﺑﺴﺘﻪﺑﻨﺪي ﺷﺪه اﺳﺖ و ﻧﻴﺎز ﺑـﻪ ﻧـﺎزك ﺳـﺎزي‬

‫وﻳﻔﺮ ﻣﻲﺑﺎﺷﺪ‪.‬‬

‫ﻫﻤﺎﻧﻄﻮر ﻳﻜﻪ ﻣﻲ داﻧﻴﻢ ﭘﺎﻳﻪ و اﺳﺎس ﻳﻚ ﭼﻴﭗ از ﺗﺮاﻧﺰﻳﺴﺘﻮر‪ ،‬دﻳﻮد‪ ،‬ﺧﺎزن‪ ،‬ﻣﻘﺎوﻣﺖ و ﻓﻴﻮز ﺗﺸﻜﻴﻞ‬

‫ﺷﺪه اﺳﺖ‪ ،‬ﻛﻪ ﻣﺎﻫﻴﺖ و ﻃﺮز ﻛﺎر آﻧﻬﺎ ﻣﻲﺗﻮاﻧﺪ ﺑﻪ وﺳﻴﻠﻪ ﻧﺎﺧﺎﻟﺼﻲ ﺗﻐﻴﻴﺮ ﭘﻴـﺪا ﻛﻨـﺪ‪ .‬ذرات ﺳـﺪﻳﻢ و‬

‫ﻛﻠﺮ ﻣﻬﻤﺘﺮﻳﻦ و راﻳﺞﺗﺮﻳﻦ ذراﺗﻲ ﻫﺴﺘﻨﺪ ﻛﻪ ﺑﺮ روي ﻛﺎر آﻧﻬﺎ ﺗﺄﺛﻴﺮ ﻣﻲﮔﺬارﻧـﺪ‪ .‬ﻋـﻼوه ﺑـﺮ آن ﻣـﻮاد‬

‫ﺷﻴﻤﻴﺎﻳﻲ و ﭘﺎراﻣﺘﺮﻫﺎي ﻣﺤﻴﻄﻲ ﻣﺜﻞ رﻃﻮﺑﺖ‪ ،‬ذرات ﺑﺴﻴﺎر رﻳﺰ ﻣﻌﻠﻖ در ﻫـﻮا و اﻟﻜﺘﺮﻳﺴـﻴﺘﻪ ﺳـﺎﻛﻦ‬

‫ﻣﻲ ﺗﻮاﻧﻨﺪ ﻳﻚ ﻗﻄﻌﻪ را ﺧﺮاب ﻛﺮده و ﻳﺎ ﻛﺎراﻳﻲ آن را ﺗﺤﺖ ﺗﺄﺛﻴﺮ ﻗﺮار دﻫﻨﺪ‪ .‬ﺑﻪ ﻃﻮر ﻣﺜـﺎل ﺑﺮﺧـﻲ از‬

‫ﻗﻄﻌﺎت ﺑﻪ ﻧﻮر و اﻣﻮاج ﺗﺸﻌﺸﻌﻲ ﺑﺴﻴﺎر ﺣﺴﺎس ﻫﺴﺘﻨﺪ‪ ،‬ﻛﻪ اﻳـﻦ ﻋﻮاﻣـﻞ در اﻧﺘﺨـﺎب ﻣـﻮاد ﺑـﺮاي‬

‫ﺑﺴﺘﻪﺑﻨﺪي و ﻓﺮآﻳﻨﺪﻫﺎي ﻣﺮﺑﻮﻃﻪ ﺑﻲﺗﺄﺛﻴﺮ ﻧﻴﺴﺘﻨﺪ‪.‬‬

‫ﺑﺮاي ﺳﻴﺴﺘﻢﻫﺎي ﻣﺨﺘﻠﻒ اﻟﻜﺘﺮوﻧﻴﻜﻲ ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ ﻧﻴﺎزﻫﺎ‪ ،‬وﻳﮋﮔﻲﻫﺎ‪ ،‬ﻛﺎرﺑﺮدﻫﺎ و ﻣﺤﻴﻂﻫـﺎي ﻣﺘﻔـﺎوت‬

‫ﺑﻪﻛﺎرﮔﻴﺮي‪ ،‬روشﻫﺎي ‪ Packaging‬ﻣﺘﻔﺎوﺗﻲ در ﻧﻈﺮ ﮔﺮﻓﺘﻪ ﻣﻲﺷـﻮد‪ .‬ﺑـﺮاي ﻳـﻚ ‪ IC‬ﺑـﺎ ﺗﻮﺟـﻪ ﺑـﻪ‬

‫ﻣﺤﻴﻄﻲ ﻛﻪ ‪ IC‬ﺑﺎﻳﺪ در آن ﻛﺎر ﻛﻨﺪ ﻣﻲﺗﻮان از روشﻫﺎي ﻣﺘﻔﺎوت و ﻣﻮاد ﮔﻮﻧﺎﮔﻮﻧﻲ ﺑﺮاي ‪Packaging‬‬

‫‪1 Pad‬‬
‫‪447‬‬
‫اﺳﺘﻔﺎده ﻛﺮد‪ .‬ﻳﻜﻲ از ﻣﺸﺨﺼﻪﻫﺎي ﻣﻬﻢ ﭼﻴﭗ‪ ،‬آﺳﻴﺐﭘﺬﻳﺮي زﻳﺎد ﺳـﻄﺢ آن ﺑـﻪ دﻟﻴـﻞ اﺳـﺘﻔﺎدهي‬

‫ﻧﺎدرﺳﺖ و ﺿﺮﺑﻪﻫﺎي ﻓﻴﺰﻳﻜﻲ اﺳﺖ‪ .‬ﺳﻄﺢ ادوات ﺑﻪ ﻓﺎﺻﻠﻪي ﻛﻤﻲ از ﺳﻄﺢ وﻳﻔـﺮ و ﭘـﺎﻳﻴﻦﺗـﺮ از آن‬

‫ﻗﺮار دارد و ﻫﻤﭽﻨﻴﻦ ﺳﻴﻢ ﻛﺸﻲ روي ﺳﻄﺢ ﺑﺎ ﺿﺨﺎﻣﺖ ﻛﻤﺘﺮ از ﻳﻚ ﻣﻴﻜﺮوﻣﺘﺮ )‪ (1µm‬اﻧﺠـﺎم ﻣـﻲ ‪-‬‬

‫ﺷﻮد‪.‬‬

‫اﺛﺮ ﻋﻮاﻣﻞ ﻣﺤﻴﻄﻲ و ﻓﻴﺰﻳﻜﻲ ﺑﺮ روي وﻳﻔﺮ ﺑﻪ وﺳﻴﻠﻪ دو ﻋﺎﻣﻞ ﻛﻨﺘﺮل ﻣﻲﺷﻮد ﻳﻜﻲ اﻳﻦ اﺳﺖ ﻛـﻪ در‬

‫آﺧﺮﻳﻦ ﻣﺮﺣﻠﻪ ﺳﺎﺧﺖ ﻳﻚ ﻻﻳﻪي ﻏﻴﺮ ﻓﻌﺎل ﻧﺸﺎﻧﺪه ﻣﻲﺷﻮد؛ ﻛﻪ اﻳﻦ ﻻﻳﻪ ﻣﻲﺗﻮاﻧﺪ ﻳﻚ ﻻﻳﻪي ﺳﺨﺖ‬

‫ﻣﺜﻞ اﻛﺴﻴﺪ ﺳﻴﻠﻴﺴﻴﻮم ﻳﺎ ﻧﻴﺘﺮﻳﺪ ﺳﻴﻠﻴﻜﻮن ‪ 1‬ﺑﺎﺷﺪ‪ .‬ﻛﻪ اﻏﻠﺐ آن را ﺑﺎ ﺑﻮرون‪ ،‬ﻓﺴﻔﺮ ﻳﺎ ﻫـﺮ دو ﺑـﺮاي‬

‫اﻓﺰاﻳﺶ ﺧﺎﺻﻴﺖ ﺣﻔﺎﻇﺘﻲ ﻧﻔﻮذ ﻣﻲدﻫﻨﺪ‪ .‬ﻳﺎ اﻳﻨﻜﻪ ﻳﻚ ﻻﻳﻪي ﻧﺮم ﻣﺜﻞ ﭘﻠﻲ اﻳﻤﻴﺪ ‪ 2‬ﻧﺸﺎﻧﺪه ﻣﻲﺷـﻮد‪.‬‬

‫راه دوم ﺣﻔﺎﻇﺖ از ﭼﻴﭗ اﺳﺘﻔﺎده از ﺑﺴﺘﻪﺑﻨﺪي اﺳﺖ‪.‬‬

‫ﻣﺸﺨﺼﻪي ﻣﻬﻢ دﻳﮕﺮي ﻛﻪ در ﻳﻚ ﺑﺴﺘﻪﺑﻨﺪي ﻣﻬﻢ اﺳﺖ‪ ،‬ﺗﻮﻟﻴﺪ ﺣﺮارت ﻣﻲﺑﺎﺷﺪ‪ .‬ﭼﻴﭗﻫﺎﻳﻲ ﻛـﻪ در‬

‫ﻣﺪارات ﻗﺪرت ﺑﺎﻻ ‪ 3‬و ﺑﺮﺧﻲ ﻣﺪارﻫﺎ ﻣﺠﺘﻤﻊ ﺑﻪ ﻛﺎر ﻣﻲروﻧﺪ‪ ،‬ﺑﻪ اﻧﺪازهاي ﺣﺮارت ﺗﻮﻟﻴﺪ ﻣﻲﻛﻨﻨـﺪ ﻛـﻪ‬

‫ﺣﺘﻲ ﻣﻲﺗﻮاﻧﻨﺪ ﺑﻪ ﺧﻮدﺷﺎن و ﻳﺎ ﻣﺪار آﺳﻴﺐ ﺑﺮﺳﺎﻧﻨﺪ‪ .‬ﻃﺮاﺣﻲ ﺑﺴﺘﻪﺑﻨﺪي ﺑﺎﻳـﺪ ﺷـﺎﻣﻞ ﻓﺎﻛﺘﻮرﻫـﺎي‬

‫ﮔﺬردﻫﻲ ﺣﺮارت ﺑﺎﺷﺪ‪ .‬ﻫﻤﭽﻨﻴﻦ ﺣﺮارت در ﻓﺮآﻳﻨﺪﻫﺎي ﺑﺴﺘﻪﺑﻨﺪي ﻳـﻚ ﭘـﺎراﻣﺘﺮ ﻣﻬـﻢ ﻣﺤﺴـﻮب‬

‫ﻣﻲ ﮔﺮدد‪ .‬در ﻓﺮآﻳﻨﺪﻫﺎي ﺑﺴﺘﻪﺑﻨﺪي دﻣﺎ ﻣﺤﺪود ﺑﻪ ‪ 450‬درﺟﻪ ﺳﺎﻧﺘﻲﮔﺮاد اﺳﺖ‪ .‬ﺑﺎﻻﺗﺮ از اﻳـﻦ دﻣـﺎ‬

‫اﺗﺼﺎل ﻫﺎي آﻟﻮﻣﻴﻨﻴﻮم و ﺳﻴﻠﻴﻜﻮن ﻣﻲ ﺗﻮاﻧﻨﺪ ﻳﻚ آﻟﻴﺎژي را در ﺳﻄﺢ وﻳﻔﺮ ﺗﺸﻜﻴﻞ دﻫﻨﺪ ﻛـﻪ ﺑﺎﻋـﺚ‬

‫ﺑﻪ وﺟﻮد آوردن ﻳﻚ ﺳﺮي اﺗﺼﺎل ﻛﻮﺗﺎه در ﺳﻄﺢ وﻳﻔﺮ ﻣﻲﺷﻮد‪.‬‬

‫‪1 Silicon Nitride‬‬


‫‪2 Polyimide‬‬
‫‪3 High-Power‬‬
‫‪448‬‬
‫اﺟﺰاي ﻳﻚ ﺑﺴﺘﻪﺑﻨﺪي )‪:(Common package parts‬‬ ‫‪-3-12‬‬
‫اﻏﻠﺐ ﺑﺴﺘﻪﺑﻨﺪيﻫﺎ ﺷﺎﻣﻞ ﭼﻬﺎر ﺑﺨﺶ ﻫﺴﺘﻨﺪ‪ .‬اﻳﻦ ﺑﺨﺶﻫﺎ ﺑﻪ ﺷﺮح زﻳﺮ اﺳﺖ‪:‬‬

‫‪ - 1- 3- 12‬ﻧﺎﺣﻴﻪي اﺗﺼﺎل داﻳﺲ )‪: (Die-attachment area‬‬

‫در ﻣﺮﻛﺰ ﻫﺮ ﺑﺴﺘﻪﺑﻨﺪي ﻧﺎﺣﻴﻪاي وﺟﻮد دارد ﻛﻪ ﭼﻴﭗ ﺑﻪ ﺑﺴﺘﻪﺑﻨﺪي ﻣﻲﭼﺴﺒﺪ‪ .‬اﻳﻦ ﻧﺎﺣﻴﻪي اﺗﺼـﺎل‬

‫داﻳﺲ‪ ،‬ﻣﻤﻜﻦ اﺳﺖ ﻳﻚ اﺗﺼﺎل اﻟﻜﺘﺮﻳﻜﻲ ﺟﻬﺖ اﺗﺼﺎل ﭘﺸﺖ ﭼﻴﭗ ﺑﻪ ﺑﻘﻴﻪي ﺳﻴﺴـﺘﻢ ﻟﻴـﺪ داﺷـﺘﻪ‬

‫ﺑﺎﺷﺪ‪ .‬وﻳﮋﮔﻲ ﻣﻬﻢ اﻳﻦ ﻧﺎﺣﻴﻪ ﺻﺎف ﺑﻮدن آن‪ ،‬ﺟﻬﺖ ﺣﻔﺎﻇﺖ ﻣﻨﺎﺳﺐ از ﭼﻴﭗ‪ ،‬ﻣﻲﺑﺎﺷﺪ‪) .‬ﺷﻜﻞ ‪.(1- 3‬‬

‫ﺷﻜﻞ ‪ .1- 3‬ﻧﺎﺣﻴﻪي اﺗﺼﺎل داﻳﺲ در ﺑﺴﺘﻪﺑﻨﺪي‪.‬‬

‫‪ - 2- 3- 12‬ﻟﻴﺪﻫﺎي داﺧﻠﻲ و ﺧﺎرﺟﻲ ) ‪:(Inner and outer leads‬‬

‫ﺳﻴﺴﺘﻢ ﻟﻴﺪ ﻓﻠﺰي از ﺷﻜﺎف اﺗﺼﺎل داﻳﺲ ‪ 1‬ﺷﺮوع و ﺗﺎ ﺑﺮد ﻣﺪار ﭼﺎﭘﻲ ﻳﺎ ﻣﺤﺼـﻮل اﻟﻜﺘﺮﻳﻜـﻲ اداﻣـﻪ‬

‫دارد‪ .‬اﺗﺼﺎﻻت داﺧﻠﻲ ﺳﻴﺴﺘﻢ‪ ،‬ﻟﻴﺪﻫﺎي داﺧﻠﻲ ‪ 2‬ﻳﺎ ﻟﻴﺪ اﺗﺼﺎل ﻧﺎﻣﻴﺪه ﻣﻲﺷـﻮﻧﺪ‪ .‬ﻟﻴـﺪﻫﺎي داﺧﻠـﻲ‪،‬‬

‫‪1 Die-Attach Cavity‬‬


‫‪2 Inner Lead‬‬
‫‪449‬‬
‫ﻧﺎزكﺗﺮﻳﻦ ﺑﺨﺶ ﺳﻴﺴﺘﻢ ﻟﻴﺪ ﻣﺤﺴﻮب ﻣﻲﺷﻮﻧﺪ‪ .‬ﻫﺮ ﭼﻪ از ﻧﺎﺣﻴﻪي اﺗﺼﺎل داﻳﻬﺎ ‪ 1‬ﺑﻪ ﺳﻤﺖ ﺧـﺎرج از‬

‫ﺑﺴﺘﻪ ﻣﻲروﻳﻢ‪ ،‬دﻳﺪﻫﺎ ‪ 2‬ﻋﺮﻳﺾﺗﺮ ﻣﻲﺷﻮﻧﺪ‪ .‬اﻳﻦ ﺑﺨﺶ از ﺳﻴﺴﺘﻢ ﻟﻴـﺪ‪ ،‬ﻟﻴـﺪﻫﺎي ﺧـﺎرﺟﻲ ‪ 3‬ﻧﺎﻣﻴـﺪه‬

‫ﻣﻲﺷﻮﻧﺪ‪) .‬ﺷﻜﻞ ‪.(2- 3‬‬

‫ﺷﻜﻞ ‪ .2- 3‬ﻟﻴﺪﻫﺎي داﺧﻠﻲ و ﺧﺎرﺟﻲ‪.‬‬

‫اﻏﻠﺐ ﺳﻴﺴﺘﻢﻫﺎي ﻟﻴﺪ‪ ،‬ﺑﻪ ﺟﺰ ﺑﺴﺘﻪﺑﻨﺪيﻫﺎي ‪ ،Sidebrazed‬ﺑﻪ ﺻﻮرت ﻳﻚ ﺑﺨﺶ ﻓﻠﺰي ﻳﻜﭙﺎرﭼـﻪ‬

‫ﺳﺎﺧﺘﻪ ﻣﻲﺷﻮﻧﺪ‪ .‬در روش ‪ Sidebrazed‬ﻟﻴﺪﻫﺎي ﺧﺎرﺟﻲ ﺑﻪ ﻟﻴﺪﻫﺎي داﺧﻞ ﺑﺴﺘﻪ ﻟﺤﻴﻢ ﻣـﻲﺷـﻮﻧﺪ‪.‬‬

‫دو آﻟﻴﺎژ ﻣﺘﻔﺎوت ﺑﺮاي ﺳﻴﺴﺘﻢ ﻟﻴﺪ ﺧﺎرﺟﻲ اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ ،‬ﻳﻜﻲ آﻟﻴﺎژ آﻫﻦ ‪ -‬ﻧﻴﻜﻞ و دﻳﮕﺮي ﻳـﻚ‬

‫آﻟﻴﺎژ ﻣﺲ اﺳﺖ‪ .‬آﻟﻴﺎژ آﻫﻦ ‪ -‬ﻧﻴﻜﻞ از اﺳﺘﺤﻜﺎم و ﭘﺎﻳﺪاري ﻣﻄﻠﻮﺑﻲ ﺑﺮﺧﻮردار اﺳﺖ در ﺣﺎﻟﻲ ﻛﻪ ﻣـﺲ‬

‫از ﺧﻮاص اﻟﻜﺘﺮﻳﻜﻲ و ﻫﺪاﻳﺖ ﺣﺮارﺗﻲ ﻣﻨﺎﺳﺒﻲ ﺑﺮﺧﻮردار اﺳﺖ‪.‬‬

‫‪1 Dies‬‬
‫‪2 Leads‬‬
‫‪3 Outer Lead‬‬
‫‪450‬‬
‫‪ - 3- 3- 12‬اﺗﺼﺎل ﭼﻴﭗ ﺑﻪ ﺑﺴﺘﻪﺑﻨﺪي ) ‪: (Chip/package connection‬‬

‫ﭼﻴﭗ ﺗﻮﺳﻂ ﺳﻴﻢﻫﺎي اﺗﺼﺎل ‪ 1‬ﺗﻮپﻫﺎ ‪ 2‬و ﻳﺎ راﺑﻂﻫﺎي ‪ 3‬دﻳﮕﺮ ﺑﻪ ﺳﻴﺴﺘﻢ ﻣﺘﺼﻞ ﻣﻲﺷﻮد‪) .‬ﺷـﻜﻞ ‪- 3‬‬

‫‪.(3‬‬

‫ﺷﻜﻞ ‪ .3- 3‬اﺗﺼﺎل ﺳﻴﻤﻲ ‪.‬‬

‫‪ - 4- 3- 12‬ﺑﺪﻧﻪ )‪:(Enclosures‬‬

‫ﻧﺎﺣﻴﻪي اﺗﺼﺎل داﻳﺲ‪ ،‬ﺳﻴﻢﻫﺎي اﺗﺼﺎل و ﻟﻴﺪﻫﺎي داﺧﻠﻲ و ﺧﺎرﺟﻲ ﻗﺴﻤﺖﻫـﺎي اﻟﻜﺘﺮﻳﻜـﻲ ﺑﺴـﺘﻪ ‪-‬‬

‫ﺑﻨﺪي ﻣﺤﺴﻮب ﻣﻲﺷﻮﻧﺪ‪ .‬ﻗﺴﻤﺖ دﻳﮕﺮ ﻳﻚ ﺑﺴﺘﻪﺑﻨﺪي ﺑﺪﻧﻪ ‪ 4‬ﻣﻲﺑﺎﺷﺪ‪ .‬اﻳﻦ ﺑﺨﺶ وﻇﺎﻳﻒ ﺣﻔﺎﻇـﺖ و‬

‫ﭘﺮاﻛﻨﺪﮔﻲ ﺣﺮارت را ﺑﻌﻬﺪه دارد‪ .‬ﻣﺮﺣﻠﻪي ﭘﻮﺷﺶ ‪ 5‬ﺑﻪ دو روش ﻫﺮﻣﺎﺗﻴﻚ ‪ 6‬و ﻏﻴﺮﻫﺮﻣﺎﺗﻴﻚ ‪ 7‬اﻧﺠﺎم‬

‫‪1 Bounding Wires‬‬


‫‪2 Ball‬‬
‫‪3 Connector‬‬
‫‪4 Body ,Enclousres‬‬
‫‪5 Sealing‬‬
‫‪6 Hermatic‬‬
‫‪7 Nonhermatic‬‬
‫‪451‬‬
‫ﻣﻲﺷﻮد‪Hermatic .‬ﺧﻮد ﺷﺎﻣﻞ دو ﻧﻮع ‪Metal‬و ‪ Ceramic‬ﻣﻲﺑﺎﺷﺪ و ‪ Nonhermatic‬ﺷﺎﻣﻞ دو‬

‫ﻧﻮع ‪ Epoxy Resins‬و ‪ Polyimides‬اﺳﺖ‪.‬‬

‫ﭘﻮﺷﺶﻫﺎي ﻫﺮﻣﺎﺗﻴﻚ ﻣﻨﺠﺮ ﺑﻪ ﺳﺎﺧﺖ ﺑﺴﺘﻪﺑﻨﺪيﻫﺎي ﺑﺪون ﻣﻨﻔﺬ‪ ،‬ﺑﻪ ﻃﻮري ﻛﻪ از ﻧﻔـﻮذ رﻃﻮﺑـﺖ و‬

‫ﮔﺎزﻫﺎ ﺟﻠﻮﮔﻴﺮي ﻣﻲﻛﻨﺪ‪ ،‬ﻣﻲﺷﻮد‪ .‬اﻳﻦ ﭘﻮﺷﺶﻫﺎ ﺑﺮاي ﭼﻴﭗﻫﺎﻳﻲ ﻛﻪ در ﻣﺤﻴﻂﻫﺎي ﺧﺸﻦ و ﺣﺴﺎس‬

‫ﻣﺜﻞ راﻛﺖﻫﺎ و ﻣﺎﻫﻮارهﻫﺎي ﻓﻀﺎﻳﻲ ﻫﺴﺘﻨﺪ ﻣﻮرد اﺳﺘﻔﺎده ﻗﺮار ﻣﻲﮔﻴﺮﻧﺪ‪ .‬ﻣﻮاد ﻣﻄﻠﻮب ﺑـﺮاي ﺑﺪﻧـﻪ ‪-‬‬

‫ﻫﺎي ﺑﺎ ﭘﻮﺷﺶ ﻫﺮﻣﺎﺗﻴﻚ ﺳﺮاﻣﻴﻚ و ﻓﻠﺰ ﻣﻲﺑﺎﺷﻨﺪ‪.‬‬

‫ﭘﻮﺷﺶﻫﺎي ﻏﻴﺮ ﻫﺮﻣﺎﺗﻴﻚ ﺑﺮاي ﺑﻴﺸﺘﺮ ﻛﺎرﺑﺮدﻫـﺎي ﻣﺼـﺮﻓﻲ ﻣﺎﻧﻨـﺪ ﻛﺎﻣﭙﻴﻮﺗﺮﻫـﺎ و ﺳﻴﺴـﺘﻢ ﻫـﺎي‬

‫ﺳﺮﮔﺮﻣﻲ ﻣﻨﺎﺳﺐ ﻫﺴﺘﻨﺪ‪ .‬اﻳﻦ ﺳﻴﺴﺘﻢ ﺣﻔﺎﻇﺖﻫﺎي ﻣﺤﻴﻄـﻲ ﻻزم را از ﭼﻴـﭗ ﺑـﻪ ﻋﻤـﻞ ﻣـﻲآورد‪.‬‬

‫ﻧﻤﻮﻧﻪي ﺑﻬﺘﺮي از اﻳﻦ روش‪ ،‬ﭘﻮﺷﺶ ‪ Less hermetic‬ﻣﻲﺑﺎﺷﺪ‪ .‬ﺑﺴـﺘﻪﺑﻨـﺪيﻫـﺎي ﻏﻴﺮﻫﺮﻣﺎﺗﻴـﻚ‪،‬‬

‫ﺗﻮﺳﻂ رزﻳﻦﻫﺎي اﭘﻮﻛﺴﻲ ‪ 1‬و ﻳﺎ ﭘﻠﻲاﻳﻤﻴﺪ ‪ 2‬ﺳﺎﺧﺘﻪ ﻣﻲﺷﻮﻧﺪ‪ .‬اﻳﻦ ﻧﻮع ﺑﺴﺘﻪﺑﻨـﺪيﻫـﺎ‪ ،‬ﺑﺴـﺘﻪﻫـﺎي‬

‫ﭘﻼﺳﺘﻴﻜﻲ ﻫﻢ ﻧﺎﻣﻴﺪه ﻣﻲﺷﻮﻧﺪ‪.‬‬

‫وﻇﺎﻳﻒ ﺑﺴﺘﻪﺑﻨﺪي )‪:(Package Functions‬‬ ‫‪-4-12‬‬


‫ﭼﻬﺎر وﻇﻴﻔﻪ اﺻﻠﻲ ﻳﻚ ﺑﺴﺘﻪ ﺑﻨﺪي ﺑﻪ ﺻﻮرت زﻳﺮ اﺳﺖ‪:‬‬

‫‪ 1‬ﺳﻴﺴﺘﻢ ﻟﻴﺪ اﺻﻠﻲ‪.‬‬

‫‪ 2‬ﺣﻔﺎﻇﺖ ﻓﻴﺰﻳﻜﻲ‪.‬‬

‫‪ 3‬ﺣﻔﺎﻇﺖ ﻣﺤﻴﻄﻲ‪.‬‬

‫‪ 4‬ﺗﻠﻔﺎت ﺣﺮارﺗﻲ‪.‬‬

‫‪1 Epoxy Resins‬‬


‫‪2 Polyimide‬‬
‫‪452‬‬
‫‪ - 1- 4- 12‬ﺳﻴﺴﺘﻢ ﻟﻴﺪ اﺻﻠﻲ )‪:(substantial lead system‬‬

‫اوﻟﻴﻦ وﻇﻴﻔﻪ ﻳﻚ ﺑﺴﺘﻪﺑﻨﺪي اﻳﻦ اﺳﺖ ﻛﻪ اﺟﺎزه اﺗﺼﺎل ﭼﻴﭗ ﺑﻪ ﺑﺮد اﻟﻜﺘﺮﻳﻜﻲ را ﺑﻪ ﻣﺎ ﺑﺪﻫﺪ‪ .‬اﺗﺼﺎل‬

‫ﻳﻚ ﭼﻴﭗ ﺑﻪ ﻃﻮر ﻣﺴﺘﻘﻴﻢ اﻧﺠﺎم ﻧﻤﻲﺷﻮد‪ ،‬زﻳﺮا اﺗﺼﺎلﻫﺎي ﻣﺎﺑﻴﻦ ادوات در روي ﺳﻄﺢ ﭼﻴﭗ ﺑﺴـﻴﺎر‬

‫ﻧﺎزك و ﺷﻜﻨﻨﺪه ﻫﺴﺘﻨﺪ‪ .‬ﻟﻴﺪﻫﺎي ﻓﻠﺰي ﻣﻌﻤـﻮﻻً ﻛﻤﺘـﺮ از ‪ 1 /5‬ﻣﻴﻜﺮوﻣﺘـﺮ ﺿـﺨﺎﻣﺖ و ‪ 1‬ﻣﻴﻜﺮوﻣﺘـﺮ‬

‫ﻋﺮض دارﻧﺪ‪ ،‬در ﺣﺎﻟﻴﻜﻪ اﺑﻌﺎد ﻧﺎزك ﺗﺮﻳﻦ ﺳﻴﻢﻫﺎي ﻣﻮﺟﻮد ﺣﺪود ‪ 0/7‬ﺗﺎ ‪ 1‬ﻣﻴﻠﻲﻣﺘﺮ اﺳﺖ‪ .‬اﻳﻦ ﺗﻔﺎوت‬

‫در اﻧﺪازهي ﺳﻴﻢﻛﺸﻲ اﺳﺖ ﻛﻪ ﻣﻮﺟﺐ ﭘﺪﻫﺎي اﺗﺼﺎل ﺑﺰرﮔﺘﺮ ﻣﻲﺷﻮد‪.‬‬

‫ﺣﺘﻲ ﺳﻴﻢ ﻫﺎي ﺑﺎ ﻗﻄﺮ ﺣﺪود ‪ 1‬ﻣﻴﻞ ﻧﻴﺰ ﺷﻜﻨﻨﺪه ﻫﺴﺘﻨﺪ‪ .‬اﻳﻦ ﺷﻜﻨﻨﺪﮔﻲ ﺗﻮﺳﻂ ﺳﻴﺴﺘﻢ ﻟﻴﺪ اﺻـﻠﻲ‪،‬‬

‫ﻛﻪ اﻣﻜﺎن ﺗﻤﺎس ﭼﻴﭗ ﺑﺎ دﻧﻴﺎي ﺧﺎرج را ﻓﺮاﻫﻢ ﻣﻲﻛﻨﺪ‪ ،‬ﺑﺮﻃﺮف ﻣﻲﺷﻮد‪) .‬ﺷﻜﻞ ‪.(1- 4‬‬

‫ﺷﻜﻞ ‪ .1- 4‬ﻣﻮﻧﺘﺎژ ‪DIP through-hole‬‬

‫‪ - 2- 4- 12‬ﺣﻔﺎﻇﺖ ﻓﻴﺰﻳﻜﻲ )‪:(physical protection‬‬

‫دوﻣﻴﻦ وﻇﻴﻔﻪي ﻳﻚ ﺑﺴﺘﻪﺑﻨﺪي ﺣﻔﺎﻇﺖ ﻓﻴﺰﻳﻜﻲ از ﭼﻴﭗ در ﺑﺮاﺑﺮ ﺷﻜﺴﺘﮕﻲ‪ ،‬ذرات رﻳﺰ ﻣﻮﺟـﻮد در‬

‫ﻫﻮا‪ ،‬ﻓﺸﺎر‪ ،‬ﺿﺮﺑﻪ و ‪ ...‬ﻣﻲﺑﺎﺷﺪ‪ .‬ﻣﻴﺰان ﺷﺪت ﺣﻔﺎﻇﺖ ﻓﻴﺰﻳﻜﻲ ﺑﺴﺘﮕﻲ ﺑﻪ ﻃﺮز اﺳﺘﻔﺎده و ﺟﺎي ﻣـﻮرد‬

‫اﺳﺘﻔﺎده دارد‪ .‬در ﺟﺎﻫﺎﻳﻲ ﻣﺜﻞ ﻣﺪارﻫﺎي اﺗﻮﻣﺒﻴﻞ‪ ،‬ﺟﺎﻫﺎي ﻧﻈﺎﻣﻲ‪ ،‬و ﻣﻮﺷﻚ ﻫﺎ ﺣﻔﺎﻇﺖ ﺑﺎﻳـﺪ ﺧﻴﻠـﻲ‬

‫‪453‬‬
‫زﻳﺎد ﺑﺎﺷﺪ‪ .‬اﻳﻦ ﺣﻔﺎﻇﺖ ﺑﺎ ﻗﺮار دادن ﭼﻴﭗ در ﻧﺎﺣﻴﺔ اﺗﺼﺎل داﻳﺲ ‪ 1‬و ﭘﻮﺷـﺎﻧﺪن ﭼﻴـﭗ‪ ،‬ﺳـﻴﻢﻫـﺎي‬

‫اﺗﺼﺎل و ﻟﻴﺪﻫﺎي داﺧﻠﻲ ﺑﺎ ﻳﻚ ﺑﺪﻧﻪي ﻣﻨﺎﺳﺐ اﻧﺠﺎم ﻣﻲﺷﻮد‪ .‬اﻧﺪازه و ﻛﺎرﺑﺮد ﭼﻴﭗ ﻧﻮع ﻣـﻮاد ﻣـﻮرد‬

‫اﺳﺘﻔﺎده در ﺑﺪﻧﻪ و اﻧﺪازه و ﻃﺮح ﺑﺴﺘﻪﺑﻨﺪي را ﻣﺸﺨﺺ ﻣﻲﻛﻨﺪ‪.‬‬

‫‪ - 3- 4- 12‬ﺣﻔﺎﻇﺖ ﻣﺤﻴﻄﻲ ) ‪:(Environmental protection‬‬

‫ﺣﻔﺎﻇﺖ ﻣﺤﻴﻄﻲ از ﭼﻴﭗ در ﺑﺮاﺑﺮ ﻋﻮاﻣﻞ ﺷﻴﻤﻴﺎﻳﻲ‪ ،‬رﻃﻮﺑﺖ و ﮔﺎزﻫﺎﻳﻲ ﻛﻪ ﻣﻤﻜﻦ اﺳﺖ ﻛﺎرﻛﺮد ﭼﻴﭗ‬

‫را ﻣﺨﺘﻞ ﻛﻨﻨﺪ ﺗﻮﺳﻂ ﺑﺪﻧﻪي ﺑﺴﺘﻪﺑﻨﺪي اﻧﺠﺎم ﻣﻲﺷﻮد‪.‬‬

‫‪ - 4- 4- 12‬ﺗﻠﻔﺎت ﺣﺮارﺗﻲ )‪:(Heat dissipation‬‬

‫ﻫﺮ ﭼﻴﭗ ﻧﻴﻤﻪﻫﺎدي ﻫﻨﮕﺎم ﻛﺎر ﻣﻘﺪاري ﺣﺮارت ﺗﻮﻟﻴﺪ ﻣﻲﻛﻨﺪ‪ ،‬ﻛﻪ ﺑﺮﺧﻲ از ﭼﻴﭗﻫﺎ ﻣﻘـﺎدﻳﺮ ﺑﺰرﮔـﻲ‬

‫ﺣﺮارت ﺗﻮﻟﻴﺪ ﻣﻲﻛﻨﻨﺪ‪ .‬اﻛﺜﺮ ﻣﻮادي ﻛﻪ در ﺑﺪﻧﻪي ﺑﺴﺘﻪﺑﻨﺪي ﺑﻪ ﻛﺎر ﻣـﻲروﻧـﺪ ﻃـﻮري ﻫﺴـﺘﻨﺪ ﻛـﻪ‬

‫ﺣﺮارت را ﺑﻪ ﺑﻴﺮون ﻣﻨﺘﻘﻞ ﻣﻲﻛﻨﺪ‪ .‬ﺑﻪ ﻫﻤﻴﻦ دﻟﻴﻞ ﻳﻜﻲ از ﻓﺎﻛﺘﻮرﻫﺎي اﺻﻠﻲ اﻧﺘﺨﺎب ﻣـﻮاد‪ ،‬ﺿـﺮﻳﺐ‬

‫اﻧﺘﻘﺎل ﺣﺮارﺗﻲ آﻧﻬﺎ ﻣﻲﺑﺎﺷﺪ‪ .‬ﭼﻴﭗﻫﺎﻳﻲ ﻛﻪ ﺣﺮارت ﺑﻴﺸﺘﺮي ﺗﻮﻟﻴﺪ ﻣﻲﻛﻨﻨﺪ ﻧﻴﺎز ﺑﻪ ﺗﻮﺟـﻪ ﺑﻴﺸـﺘﺮي‬

‫در ﻃﺮاﺣﻲ ﺑﺴﺘﻪ ﺑﻨﺪي دارﻧﺪ؛ ﻛﻪ اﻳﻦ ﺗﻮﺟﻪﻫﺎ روي اﻧﺪازهي ﺑﺴﺘﻪﺑﻨﺪي ﺑﻮده و اﻏﻠﺐ ﻧﻴﺎز ﺑـﻪ ﺑﻠـﻮك ‪-‬‬

‫ﻫﺎي اﻧﺘﻘﺎل ﺣﺮارﺗﻲ ﻓﻠﺰي ‪ 2‬در ﺑﺴﺘﻪﺑﻨﺪي را ﻣﻮﺟﺐ ﻣﻲﺷﻮد‪.‬‬

‫‪1 Die-Attachment Area‬‬


‫‪2 Metal Heat-Dissipating Blocks‬‬
‫‪454‬‬
‫ﻓﺮآﻳﻨﺪﻫﺎي اﺻﻠﻲ )‪:(Basic processes‬‬ ‫‪-5-12‬‬
‫ﻫﻤﺎن ﻃﻮري ﻛﻪ در ﺳﺎﺧﺖ ﻳﻚ وﻳﻔﺮ‪ ،‬ﻣﺮاﺣﻠﻲ وﺟﻮد دارد‪ ،‬در ﺑﺴﺘﻪﺑﻨﺪي ﻧﻴﺰ ﻣﺮاﺣﻞ ﻣﺨﺘﻠﻔﻲ وﺟـﻮد‬

‫دارد‪ .‬وﻟﻲ ﺑﻪ ﻫﺮ ﺣﺎل ﺑﺴﺘﻪﺑﻨﺪي ﻓﺮاﻳﻨﺪي ﻳﻜﺒﺎره اﺳﺖ ‪ 1‬ﻫﺮ ﻛﺪام از ﻣﺮاﺣﻞ اﺻﻠﻲ ﻓﻘﻂ ﻳﻚﺑﺎر اﻧﺠﺎم‬

‫ﻣﻲﺷﻮﻧﺪ‪ .‬ﻣﺸﺎﺑﻪ ﻣﺮﺣﻠﻪي ﺳﺎﺧﺖ وﻳﻔﺮ‪ ،‬در ﺑﺴﺘﻪﺑﻨﺪي ﻧﻴﺰ ﺗﺮﺗﻴـﺐ دﻗﻴـﻖ ﻓﺮآﻳﻨـﺪﻫﺎ‪ ،‬ﺗﻮﺳـﻂ ﻧـﻮع‬

‫ﺑﺴﺘﻪﺑﻨﺪي و ﻓﺎﻛﺘﻮرﻫﺎي دﻳﮕﺮي ﻣﺸﺨﺺ ﻣﻲﺷﻮد‪ .‬اﻳﻦ ﻓﺮآﻳﻨﺪﻫﺎ ﻋﺒﺎرﺗﻨﺪ از‪:‬‬

‫‪ .1‬آﻣﺎده ﺳﺎزي ﭘﺸﺖ وﻳﻔﺮ ‪(Backside Preparation).‬‬

‫‪ .2‬ﺟﺪاﺳﺎزي داﻳﺲ ‪(Die Separation).‬‬

‫‪ .3‬ﺑﺮداﺷﺘﻦ و ﺟﺎﮔﺬاري داﻳﺲ ‪(Die Pick & Place).‬‬

‫‪ .4‬ﭼﺴﺒﺎﻧﺪن داﻳﺲ ‪(Die Attach).‬‬

‫‪ .5‬ﺑﺎزرﺳﻲ ‪(Inspection).‬‬

‫‪ .6‬اﺗﺼﺎل ‪(Bonding).‬‬

‫‪ .7‬ﺑﺎزرﺳﻲ ﻗﺒﻞ از ﭘﻮﺷﺶ ‪(Preseal Inspection).‬‬

‫‪ .8‬ﺗﻜﻨﻴﻚﻫﺎي ﭘﻮﺷﺶ ‪(Packaging Sealing).‬‬

‫‪ .9‬ﭘﻮﺷﺶ ﻟﻴﺪ ‪(Plating).‬‬

‫‪ .10‬ﭼﻴﺪن ﻟﻴﺪﻫﺎ ‪(Lead Trim).‬‬

‫‪ .11‬ﻧﺸﺎﻧﻪ ﮔﺬاري ﺑﺴﺘﻪ ‪(Marking).‬‬

‫‪ .12‬ﺗﺴﺖ ﻧﻬﺎﻳﻲ ‪(Final Test).‬‬

‫ﻛﻪ ﺑﻪ ﺑﺮرﺳﻲ و ﻧﺤﻮه اﻧﺠﺎم ﻣﺮاﺣﻞ ﻓﻮق ﻣﻲﭘﺮدازﻳﻢ‪.‬‬

‫‪1 One-Through Process‬‬


‫‪455‬‬
‫‪ - 1- 5- 12‬آﻣﺎدهﺳﺎزي ﭘﺸﺖ وﻳﻔﺮ ) ‪:(Backside preparation‬‬

‫در اﻧﺘﻬﺎي ﻣﺮﺣﻠﻪ ﺳﺎﺧﺖ وﻳﻔﺮ و ﭘﺲ از اﻳﻨﻜﻪ ﻳﻚ ﻻﻳﻪي ﻏﻴﺮ ﻓﻌﺎل ‪ 1‬روي وﻳﻔﺮ ﻧﺸﺎﻧﺪه ﺷﺪ‪ ،‬ﻣـﺪارﻫﺎ‬

‫ﻛﺎﻣﻞ ﻫﺴﺘﻨﺪ‪ .‬در اﻳﻦ ﻣﺮﺣﻠﻪ‪ ،‬ﻗﺒﻞ از اﻧﺘﻘﺎل ﭼﻴﭗ ﺑﻪ ﺑﺴﺘﻪﺑﻨﺪي‪ ،‬ﻳﻚ ﻳﺎ دو ﻓﺮآﻳﻨـﺪ اﺿـﺎﻓﻲ ﻣﻤﻜـﻦ‬

‫اﺳﺖ روي وﻳﻔﺮ اﻧﺠﺎم ﺷﻮد‪ .‬اﻳﻦ ﻓﺮآﻳﻨﺪﻫﺎ ﻛﻪ ﻋﺒﺎرﺗﻨﺪ از ﻧﺎزك ﺳﺎزي وﻳﻔﺮ و ﻃﻼ اﻧﺪود ﻛﺮدن ﭘﺸـﺖ‬

‫وﻳﻔﺮ‪ ،‬ﺑﺴﺘﻪ ﺑﻪ ﺿﺨﺎﻣﺖ وﻳﻔﺮ و ﻃﺮاﺣﻲ ﭼﻴﭗ‪ ،‬اﻧﺘﺨﺎﺑﻲ ﻫﺴﺘﻨﺪ‪ .‬وﻳﻔﺮ ﺳـﺎﺧﺘﻪ ﺷـﺪه ﻣﻘـﺪاري ﻧـﺎزك‬

‫ﻣﻲﺷﻮد‪ ،‬ﺗﺎ ﻋﻼوه ﺑﺮ از ﺑﻴﻦ رﻓﺘﻦ ﻗﺴﻤﺖﻫﺎي آﺳﻴﺐ دﻳﺪه‪ ،‬در ﻗﺴﻤﺖ ﻣـﻮرد ﻧﻈـﺮ )‪،(Die Attach‬‬

‫‪ Fit‬ﺷﻮد‪ .‬ﻫﻤﭽﻨﻴﻦ از آﻧﺠﺎﻳﻲ ﻛﻪ وﻳﻔﺮﻫﺎ ﻣﻌﻤﻮﻻً ﺑﺎ آﻟﻴﺎژ ﻃﻼ ‪ -‬ﺳﻴﻠﻴﻜﻮن ‪ 2‬ﭼﺴـﺒﺎﻧﺪه ﻣـﻲﺷـﻮﻧﺪ‪ ،‬در‬

‫ﭘﺸﺖ وﻳﻔﺮ ﻳﻚ ﻻﻳﻪ ﻃﻼ ﻧﺸﺎﻧﺪه ﻣﻲﺷﻮد‪.‬‬

‫• ﻧﺎزك ﺳﺎزي وﻳﻔﺮ )‪:(Wafer Thining‬‬

‫وﻳﻔﺮﻫﺎي ﺿﺨﻴﻢ در ﻓﺮآﻳﻨﺪ ﺑﺴﺘﻪﺑﻨﺪي ﻣﻮﺟﺐ ﺑﺮوز ﻣﺸﻜﻼﺗﻲ ﻣﻲﺷﻮﻧﺪ‪:‬‬

‫‪ 1‬اوﻟﻴﻦ ﻣﺸﻜﻞ در ﺟﺪاﺳﺎزي داﻳﺲ اﻳﺠﺎد ﻣﻲﺷﻮد‪ .‬وﻳﻔﺮﻫﺎي ﺿﺨﻴﻢ ﻧﻴﺎز ﺑﻪ ﺗﻜﻨﻴﻚﻫﺎي ﮔـﺮانﺗـﺮي‬

‫ﺑﺮاي ﺟﺪاﺳﺎزي و ﺑﺮش داﻳﺲ دارﻧﺪ‪ .‬داﻳﺲ ﺑﺎﻳﺪ ﺑﺎ ﻛﻴﻔﻴﺖ ﺑﺎﻻﺗﺮ اره ﺷﻮﻧﺪ ﻛﻪ ﻓﺮآﻳﻨﺪ ﮔـﺮانﺗـﺮي از‬

‫ﻧﻈﺮ زﻣﺎن و ﻣﺼﺮف اره ﻧﻮك اﻟﻤﺎﺳﻪ ﻣﻲﺑﺎﺷﺪ‪.‬‬

‫‪ 2‬داﻳﺲ ﺿﺨﻴﻢ ﻫﻤﭽﻨﻴﻦ ﻧﻴﺎز ﺑﻪ ﺣﻔﺮه ﻫﺎي ﻋﻤﻴﻖﺗﺮي در ﺑﺴﺘﻪﺑﻨﺪي ﺑﺮاي ﭼﺴﺒﺎﻧﺪن دارﻧـﺪ ﻛـﻪ در‬

‫ﻧﺘﻴﺠﻪ ﮔﺮاﻧﻲ ﺑﺴﺘﻪ ﺑﻨﺪي را ﺑﻪ ﻫﻤﺮاه دارد‪.‬‬

‫ﻛﻪ ﻫﺮ دوي اﻳﻦ ﻣﺸﻜﻼت ﺑﺎ ﻧﺎزك ﺳﺎزي وﻳﻔﺮ‪ ،‬ﻗﺒﻞ از ﺟﺪاﺳﺎزي داﻳﺲ‪ ،‬ﺑﺮﻃﺮف ﻣﻲﺷﻮﻧﺪ‪.‬‬

‫‪ 3‬ﻋﻠﺖ دﻳﮕﺮ ﻧﺎزك ﻛﺮدن وﻳﻔﺮﻫﺎ ﺑﻪ ﺧﺎﻃﺮ آﻟﻮدﮔﻲﻫﺎي ﻧﺎ ﺧﻮاﺳﺘﻪ ﭘﺸـﺖ وﻳﻔـﺮ‪ ،‬در ﻫﻨﮕـﺎم آﻟـﻮده‬

‫ﺳﺎزي )‪ ،(Doping‬ﻣﻲﺑﺎﺷﺪ‪ .‬زﻳﺮا اﻳﻦ دوﭘﻨﺖﻫﺎ ﭘﻴﻮﻧﺪﻫﺎي اﻟﻜﺘﺮﻳﻜﻲ ﻧﺎﺧﻮاﺳﺘﻪاي را در ﭘﺸﺖ وﻳﻔـﺮ‬

‫اﻳﺠﺎد ﻣﻲ ﻛﻨﻨﺪ ﻛﻪ ﻣﻤﻜﻦ اﺳﺖ روي ﻫﺪاﻳﺖ ﭘﺸﺖ وﻳﻔﺮ‪ ،‬ﻛﻪ ﺑﺮاي ﻋﻤﻠﻜﺮد درﺳﺖ ﻣـﺪار ﻻزم اﺳـﺖ‪،‬‬

‫‪1 Passivation Layer‬‬


‫‪2 Au-Si‬‬
‫‪456‬‬
‫ﺗﺪاﺧﻞ اﻳﺠﺎد ﻧﻤﺎﻳﻨﺪ‪ .‬اﻳﻦ ﭘﻴﻮﻧﺪﻫﺎي ﻧﺎﺧﻮاﺳﺘﻪ ﺑﺎﻳﺪ ﺑﻪ ﺻﻮرت ﻓﻴﺰﻳﻜﻲ و ﺑﺎ ﻧﺎزك ﺳﺎزي وﻳﻔﺮ از ﺑـﻴﻦ‬

‫ﺑﺮوﻧﺪ‪.‬‬

‫ﺑﻪ ﻃﻮر ﻛﻠﻲ ﻓﺮآﻳﻨﺪ ﻧﺎزك ﺳﺎزي ﻣﺎﺑﻴﻦ ﻣﺮﺣﻠﻪي ﻣﺮﺗﺐﺳﺎزي وﻳﻔﺮ ‪ 1‬و ﺟﺪاﺳﺎزي داﻳﺲ ‪ 2‬اﻧﺠﺎم ﻣـﻲ ‪-‬‬

‫ﮔﻴﺮد‪ .‬ﻧﺎزك ﺳﺎزي وﻳﻔﺮ ﺗﻮﺳﻂ ﻫﻤﺎن ﻓﺮآﻳﻨﺪﻫﺎﻳﻲ ﻛﻪ در ﻓﺼﻞ ﺳﻮم )‪ (Wafer preparation‬ﺑﻴـﺎن‬

‫ﺷﺪ‪ ،‬ﺷﺎﻣﻞ ﺳﺎﻳﺶ ﻣﻜﺎﻧﻴﻜﻲ و ‪ ، CMP3‬اﻧﺠﺎم ﻣﻲﺷﻮد‪ .‬ﻳﻚ روش دﻳﮕـﺮ‪ ،‬زداﻳـﺶ ﺷـﻴﻤﻴﺎﻳﻲ ﭘﺸـﺖ‬

‫وﻳﻔﺮ ﻣﻲﺑﺎﺷﺪ‪.‬‬

‫ﭘﺲ در ﻛﻞ ﺑﺮاي ﻧﺎزك ﻛﺮدن وﻳﻔﺮ ﺳﻪ روش ﺑﻜﺎر ﻣﻲرود ‪:‬‬

‫اﻟﻒ ﺳﺎﻳﺶ ﻣﻜﺎﻧﻴﻜﻲ ‪ :‬از ﻳﻚ ﺳﺎﺑﻨﺪه دﻗﻴﻖ ﻣﺎﻧﻨﺪ ﭘﻮدر اﻟﻤﺎس ﺑﺮاي ﺳﺎﻳﺶ اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪.‬‬

‫ب ﺳﺎﻳﺶ ﺷﻴﻤﻴﺎﻳﻲ ‪ -‬ﻣﻜﺎﻧﻴﻜﻲ ‪ :‬اﺳﺘﻔﺎده از ﺧﻮردﮔﻲ ﺷﻴﻤﻴﺎﻳﻲ و ﺳﺎﻳﺶ ﻣﻜﺎﻧﻴﻜﻲ‪.‬‬

‫ج زداﻳﺶ ﺷﻴﻤﻴﺎﻳﻲ ‪ :‬اﻧﺪاﺧﺘﻦ وﻳﻔﺮ در ﻳﻚ ﺗﺎﻧﻚ اﺳﻴﺪي و ﺑﺮداﺷﺘﻦ ﻣﻘﺪار ﻻزم از ﭘﺸﺖ وﻳﻔﺮ‪.‬‬

‫ﻧﺎزك ﺳﺎزي وﻳﻔﺮ ﻓﺮآﻳﻨﺪ ﺑﺴﻴﺎر ﺣﺴﺎﺳﻲ اﺳﺖ‪ .‬در ﺳﺎﻳﺶ وﻳﻔﺮ از ﺑﺎﺑﺖ ﺧﺮاش ﺳﻄﺢ ﺟﻠﻮﻳﻲ وﻳﻔـﺮ و‬

‫ﻳﺎ ﺷﻜﺴﺘﮕﻲ وﻳﻔﺮ ﻧﮕﺮاﻧﻲ وﺟﻮد دارد‪ .‬زﻳﺮا در ﻫﻨﮕﺎم ﺳﺎﻳﺶ‪ ،‬ﺑﻪ دﻟﻴﻞ ﺗﻤﺎس وﻳﻔﺮ ﺑﺎ ﺳـﻄﺢ ﺳـﺎﻳﺶ‬

‫دﻫﻨﺪه و ﻫﻤﭽﻨﻴﻦ ﻧﺎزك ﺷﺪن وﻳﻔﺮ‪ ،‬اﻣﻜﺎن ﺷﻜﺴﺘﮕﻲ ﺑﻴﺸﺘﺮ اﺳﺖ‪ .‬در روش زداﻳﺶ ﺷﻴﻤﻴﺎﻳﻲ ﻧﻴـﺎز‬

‫ﺑﻪ ﺣﻔﺎﻇﺖ از ﺳﻄﺢ ﺟﻠﻮﻳﻲ وﻳﻔﺮ در ﺑﺮاﺑﺮ ﻣﺤﻠﻮل زداﻳﻨﺪه وﺟﻮد دارد ﻛﻪ ﺑﺮاي اﻳﻦ ﻛﺎر روي وﻳﻔﺮ را ﺑﺎ‬

‫ﻳﻚ ﻻﻳﻪي ﺿﺨﻴﻢ ﻓﺘﻮرزﻳﺴﺖ ﻣﻲﭘﻮﺷﺎﻧﻨﺪ‪ .‬روش دﻳﮕﺮ ﺟﻬﺖ اﻳﻦ ﻛﺎر اﺳﺘﻔﺎده از ﺻـﻔﺤﺎت ﭘﻠﻴﻤـﺮي‬

‫ﭼﺴﺒﻨﺪه اﺳﺖ‪.‬‬

‫‪1 Wafer Sort‬‬


‫‪2 Die Seperation‬‬
‫‪3 Chemical Mechanical Polishing‬‬
‫‪457‬‬
‫ﺷﻜﻞ ‪ .1- 5‬ﺗﺼﺎوﻳﺮي از ﺳﻴﺴﺘﻢﻫﺎي ‪Backgrinding‬‬

‫• ﻻﻳﻪﻧﺸﺎﻧﻲ ﻃﻼ )‪:(Backside gold‬‬

‫اﻳﻦ ﻻﻳﻪي ﻃﻼ ﺑﺮاي وﻳﻔﺮﻫﺎﻳﻲ ﻛﻪ ﺗﻮﺳﻂ ﺗﻜﻨﻴﻚﻫﺎي ﻳﻮﺗﻜﺘﻴﻚ ‪ 1‬ﺑﻪ ﺑﺴﺘﻪ ﻣﺘﺼـﻞ ﻣـﻲﺷـﻮﻧﺪ‪ ،‬ﻻزم‬

‫اﺳﺖ‪ .‬ﻻﻳﻪﻧﺸﺎﻧﻲ ﻃﻼ‪ ،‬ﺑﻌﺪ از ﺳﺎﻳﺶ ﭘﺸﺖ وﻳﻔﺮ‪ ،‬ﺗﻮﺳﻂ ﺗﺒﺨﻴﺮ و ﻳﺎ اﺳﭙﺎﺗﺮﻳﻨﮓ ‪ 2‬اﻧﺠﺎم ﻣﻲﺷﻮد‪.‬‬

‫‪ - 2- 5- 12‬ﺟﺪاﺳﺎزي داﻳﺲ )‪:(Die separation‬‬

‫ﻓﺮآﻳﻨﺪ ﺑﺴﺘﻪﺑﻨﺪي ﺑﺎ ﺟﺪاﺳﺎزي وﻳﻔﺮ ﺑﻪ داﻳﺲﻫﺎي ﺟﺪاﮔﺎﻧﻪ ﺷﺮوع ﻣﻲﺷﻮد‪) .‬ﺷﻜﻞ ‪.(2- 5‬‬

‫‪1 Eutectic‬‬
‫‪2 Sputtering‬‬
‫‪458‬‬
‫ﺷﻜﻞ ‪ .2- 5‬ﺟﺪاﺳﺎزي داﻳﺲ‪.‬‬

‫ﺟﺪاﺳﺎزي ﺑﻪ دو روش زﻳﺮ اﻧﺠﺎم ﻣﻲﺷﻮد ‪:‬‬

‫• ﺧﻂ اﻧﺪاﺧﺘﻦ )‪: (Scribing‬‬

‫اﻳﻦ روش اوﻟﻴﻦ ﺗﻜﻨﻴﻜﻲ اﺳﺖ ﻛﻪ در ﺻﻨﻌﺖ اﺳﺘﻔﺎده ﺷـﺪ‪ .‬اﺑﺘـﺪا ﺑـﺎ اﻟﻤـﺎس روي ﻣﺮﻛـﺰ ﺧﻄـﻮط‬

‫ﻣﺸﺨﺺ ﺷﺪه روي وﻳﻔﺮ ﺧﻂ ﻣﻲاﻧﺪازﻳﻢ‪ ،‬ﺳﭙﺲ ﺑﺎ اﻳﺠﺎد ﻳﻚ اﺳﺘﺮس ﺗﻮﺳﻂ ﻳﻚ ﻏﻠﻄﻚ اﺳـﺘﻮاﻧﻪاي‬

‫ﻛﻪ روي وﻳﻔﺮ ﺣﺮﻛﺖ ﻣﻲﻛﻨﺪ‪ ،‬داﻳﺲ ﺟﺪا ﻣﻲﺷﻮد‪ .‬اﻳﻦ روش ﺑﺮاي وﻳﻔﺮﻫﺎي ﺑـﺎ ﻋـﺮض ﺑﻴﺸـﺘﺮ از ‪10‬‬

‫ﻣﻴﻞ )‪ (10 mils‬ﻗﺎﺑﻞ اﻋﺘﻤﺎد ﻧﻴﺴﺖ‪.‬‬

‫• اره ﻛﺮدن )‪: (Sawing‬‬

‫اﺑﺪاع وﻳﻔﺮﻫﺎي ﺿﺨﻴﻢ ﻣﻨﺠﺮ ﺑﻪ اﺑﺪاع روش اره ﻛﺮدن ﺑﻪ ﻋﻨﻮان روش ﺟﺪاﺳﺎزي داﻳﺲ ﺷﺪ‪ .‬ﻳﻚ اره‬

‫ﺷﺎﻣﻞ ﻳﻚ ﻣﻴﺰ ﺑﺎ ﻗﺎﺑﻠﻴﺖ ﺣﺮﻛﺖ ﮔﺮدﺷﻲ‪ ،‬ﻳﻚ ﺳﻴﺴﺘﻢ دﻳﺪ ‪ (Vision) 1‬اﺗﻮﻣﺎﺗﻴﻚ ﻳـﺎ دﺳـﺖ ﺑـﺮاي‬

‫اﻧﻄﺒﺎق ﺧﻄﻮط ﺑﺮش و ﻳﻚ ارهي ﮔﺮد اﻟﻤﺎﺳﻪ ﻣﻲﺑﺎﺷﺪ‪.‬‬

‫ﺑﺮاي اره ﻛﺮدن دو روش وﺟﻮد دارد‪ .‬ﻫﺮ دو روش ﺑﺎ ﻗﺮار ﮔﺮﻓﺘﻦ اره روي ﺧﻄﻮط ﺑﺮش ﺷـﺮوع ﻣـﻲ ‪-‬‬

‫ﺷﻮﻧﺪ‪.‬‬

‫وﻟﻲ در اداﻣﻪ ‪:‬‬

‫در روش اول‪ ،‬ﺑﺮاي وﻳﻔﺮﻫﺎي ﻧﺎزك‪ 1 3 ،‬ﻋﺮض وﻳﻔﺮ اره ﻣﻲﺷﻮد‪ .‬ﺗﻘﺴﻴﻢ وﻳﻔﺮ ﺑـﻪ داﻳـﺲ‪ ،‬ﻫﻤﺎﻧﻨـﺪ‬

‫روش ﻗﺒﻞ‪ ،‬ﺗﻮﺳﻂ اﺳﺘﺮس و ﺗﻜﻨﻴﻚﻫﺎي ﻏﻠﻄﻚ اﻧﺠﺎم ﻣﻲﺷﻮد‪.‬‬

‫در روش دوم ﺑﺮاي ﺗﻘﺴﻴﻢ وﻳﻔﺮ ﺑﻪ داﻳﺲ‪ ،‬وﻳﻔﺮ ﺑﻪ ﺻﻮرت ﻛﺎﻣﻞ اره ﻣﻲﺷـﻮد‪ .‬در اﻳـﻦ روش اﻏﻠـﺐ‬

‫وﻳﻔﺮ روي ﻳﻚ ﻓﻴﻠﻢ ﻗﺎﺑﻞ اﻧﻌﻄﺎف ﻧﺼﺐ ﻣﻲﺷﻮد‪ .‬اﻳﻦ ﻓـﻴﻠﻢ داﻳـﺲ را‪ ،‬ﺑﻌـﺪ از اره ﻛـﺮدن‪ ،‬در ﺟـﺎي‬

‫ﺧﻮدش ﺛﺎﺑﺖ ﻧﮕﻪ داﺷﺘﻪ و ﺑﻪ ﻣﺮﺣﻠﻪي ﺑﺮداﺷﺘﻦ داﻳﺲ ﻛﻤﻚ زﻳﺎدي ﻣﻲﻛﻨﺪ‪.‬‬

‫‪1 Vision‬‬
‫‪459‬‬
‫ﺑﺎ اره ﻛﺮدن داﻳﺲ ﺑﺎ ﻟﺒﻪﻫﺎي ﺻﺎف و ﺑﺎ ﻛﻴﻔﻴﺖ ﺑﺎﻻﺗﺮ ﺗﻮﻟﻴﺪ ﻣﻲﺷﻮد‪ ،‬ﺑﻪ ﻫﻤﻴﻦ دﻟﻴـﻞ ﺑـﻪ روش ﺧـﻂ‬

‫اﻧﺪاﺧﺘﻦ ﺗﺮﺟﻴﺢ داده ﻣﻲﺷﻮد‪.‬‬

‫)ب( )اﻟﻒ(‪.‬‬

‫ﺷﻜﻞ ‪ .3- 5‬اﻟﻒ اﻧﻮاع ﻣﺨﺘﻠﻒ ارهﻫﺎ ب ﻳﻚ ﻧﻤﻮﻧﻪ از ﻣﺎﺷﻴﻦ اره‪.‬‬

‫‪ - 3- 5- 12‬ﺑﺮداﺷﺘﻦ و ﺟﺎﮔﺬاري داﻳﺲ )‪:(Die pick and place‬‬

‫ﺑﻌﺪ از ﺟﺪاﺳﺎزي داﻳﺲ‪ ،‬آﻧﻬﺎ را از ﻧﻈﺮ ﺻﺤﺖ ﻛﺎرﻛﺮد ﺟﺪاﺳﺎزي ﻛﺮده و داﻳﺲ ﺳﺎﻟﻢ ‪ 1‬ﺑﺮاي اﻧﺘﻘﺎل ﺑـﻪ‬

‫ﻣﺮﺣﻠﻪ ﺑﻌﺪ روي ﻳﻚ ﺻﻔﺤﻪ ﻗﺮار ﻣﻲﮔﻴﺮﻧﺪ‪ .‬در روش دﺳﺘﻲ‪ ،‬ﻳﻚ اﭘﺮاﺗﻮر ﺑﺎ اﺳﺘﻔﺎده از ﻳـﻚ ﻋﺼـﺎي‬

‫ﺧﻼء ﻫﺮ ﻛﺪام از داﻳﺲ ﺳﺎﻟﻢ را ﺑﺮداﺷﺘﻪ و در ﻳﻚ ﺻﻔﺤﻪي ﺟﺪولﺑﻨﺪي ﺷﺪه ﻗﺮار ﻣﻲدﻫﺪ‪ .‬در ﺣﺎﻟﺖ‬

‫اﺗﻮﻣﺎﺗﻴﻚ‪ ،‬ﺑﻌﺪ از ﻣﺮﺣﻠﻪي ﻣﺮﺗﺐﺳﺎزي وﻳﻔﺮ‪ ،‬ﻣﻜﺎن داﻳﺲ ﺳﺎﻟﻢ روي ﻳﻚ ﺣﺎﻓﻈﻪ ذﺧﻴﺮه ﻣﻲﺷﻮد‪.‬‬

‫ﺳﭙﺲ ﻳﻚ اﺑﺰار ﺧﻸ ﺑﻪ ﺻﻮرت اﺗﻮﻣﺎﺗﻴﻚ داﻳﺲ ﺳﺎﻟﻢ را ﺑﺮداﺷﺘﻪ و در ﻳﻚ ﺻـﻔﺤﻪي ﺟـﺪولﺑﻨـﺪي‬

‫ﺷﺪه ﻗﺮار ﻣﻲدﻫﺪ‪.‬‬

‫‪1 Non-Inked‬‬
‫‪460‬‬
‫ﺷﻜﻞ ) ‪ (11- 9‬ﺟﺪاﺳﺎزي و ﺻﻔﺤﻪ ﮔﺬاري‪.‬‬

‫‪ - 4- 5- 12‬ﺑﺎزرﺳﻲ داﻳﺲ )‪:(Die inspection‬‬

‫ﺑﺎزرﺳﻲ داﻳﺲﻫﺎ ﺑﻪ وﺳﻴﻠﻪ ﻧﻮر اﻧﺠﺎم ﺷﺪه و ﻛﻴﻔﻴﺖ ﻟﺒﻪ ﻫﺎي داﻳﺲ از ﻧﻈﺮ ﻋﺪم وﺟﻮد زاﺋﺪه و ﺷﻜﺎف‬

‫و ﻫﻤﭽﻨﻴﻦ ﺑﻲﻧﻈﻤﻲﻫﺎي ﺳﻄﺤﻲ ﻣﺎﻧﻨﺪ ﺧﺮاشﻫﺎ و آﻟﻮدﮔﻲ ﺑﺮرﺳﻲ ﻣﻲﺷﻮد‪.‬‬

‫ﺷﻜﻞ ‪ .4- 5‬ﻧﺘﺎﻳﺞ ﺟﺪاﺳﺎزي داﻳﺲ‪.‬‬

‫‪461‬‬
‫‪ - 5- 5- 12‬ﭼﺴﺒﺎﻧﺪن داﻳﺲﻫﺎ )‪:(Die attach‬‬

‫ﭼﺴﺒﺎﻧﺪن داﻳﺲ ﭼﻨﺪ ﻫﺪف را دﻧﺒﺎل ﻣﻲﻛﻨﺪ‪:‬‬

‫اﻟﻒ اﻳﺠﺎد ﻳﻚ اﺗﺼﺎل ﻓﻴﺰﻳﻜﻲ ﻗﻮي ﺑﻴﻦ ﺗﺮاﺷﻪ و ﺑﺴﺘﻪﺑﻨﺪي‪.‬‬

‫ب اﻳﺠﺎد ﻳﻚ ﻛﻨﺘﺎﻛﺖ ﻫﺎدي ﻳﺎ ﻋﺎﻳﻖ ﺑﻴﻦ ﺗﺮاﺷﻪ و ﺑﺴﺘﻪﺑﻨﺪي‪.‬‬

‫ج اﻳﺠﺎد ﻳﻚ واﺳﻄﻪ ﺑﺮاي اﻧﺘﻘﺎل ﮔﺮﻣﺎ از ﺗﺮاﺷﻪ ﺑﻪ ﺑﺴﺘﻪﺑﻨﺪي‪.‬‬

‫ﺷﺮط ﻻزم در اﻳﻦ ﻣﺮﺣﻠﻪ ﭘﺎﻳﺪاري ﭘﻴﻮﻧﺪ اﺳﺖ‪ .‬اﻳﻦ ﭘﻴﻮﻧﺪ ﻧﺒﺎﻳﺴﺘﻲ در اداﻣﻪي ﻓﺮآﻳﻨـﺪﻫﺎي ﺑﺴـﺘﻪ ‪-‬‬

‫ﺑﻨﺪي و ﻳﺎ ﻫﻨﮕﺎم اﺳﺘﻔﺎده از ﻗﻄﻌﻪ از ﺑﻴﻦ ﺑﺮود‪ .‬اﻳﻦ ﻣﺴـﺌﻠﻪ ﻣﺨﺼﻮﺻـﺎً در ﺟﺎﻫـﺎﻳﻲ ﻛـﻪ ﻧﻴﺮوﻫـﺎي‬

‫ﻓﻴﺰﻳﻜﻲ زﻳﺎدي اﻋﻤﺎل ﻣﻲﺷﻮد‪ ،‬ﻣﺎﻧﻨﺪ راﻛﺖﻫﺎ‪ ،‬از اﻫﻤﻴﺖ زﻳﺎدي ﺑﺮﺧﻮردار اﺳـﺖ‪ .‬ﻋـﻼوه ﺑـﺮ اﻳـﻦ‪،‬‬

‫ﻣﻮادي ﻛﻪ در اﻳﻦ ﭘﻴﻮﻧﺪ اﺳﺘﻔﺎده ﻣﻲﺷﻮﻧﺪ‪ ،‬ﺑﺎﻳﺴﺘﻲ ﺑﺪون آﻟﻮدﮔﻲ ﺑﻮده و ﻫﻤﭽﻨﻴﻦ در ﻃﻮل ﻣﺮاﺣـﻞ‬

‫ﺣﺮارﺗﻲ ﻓﺮآﻳﻨﺪﻫﺎي ﺑﺴﺘﻪ ﺑﻨﺪي واﻛﻨﺶﭘﺬﻳﺮ ﻧﺒﺎﺷﻨﺪ‪ .‬در ﻧﻬﺎﻳﺖ ﺧﻮد ﻓﺮآﻳﻨﺪ اﺗﺼﺎل داﻳﺲ ﺑﺎﻳﺴـﺘﻲ‬

‫اﻗﺘﺼﺎدي ﺑﻮده و ﺑﻪ ﺗﻮﻟﻴﺪ اﻧﺒﻮه ﺑﺮﺳﺪ‪.‬‬

‫دو روش اﺻﻠﻲ ﺑﺮاي ﭼﺴﺒﺎﻧﺪن داﻳﺲ وﺟﻮد دارد‪:‬‬

‫• اﺗﺼﺎل ﻳﻮﺗﻜﺘﻴﻚ )‪:(Eutectic die attach‬‬

‫آﻟﻴﺎژ دو ﻣﺎده در دﻣﺎي ﺧﻴﻠﻲ ﭘﺎﻳﻴﻦﺗﺮي‪ ،‬ﻧﺴﺒﺖ ﺑﻪ دﻣﺎي ﻫﺮ ﻛﺪام از دو ﻣﺎده‪ ،‬ذوب ﻣﻲﺷـﻮد‪ .‬ﺑـﺮاي‬

‫اﺗﺼﺎل داﻳﺲ دو ﻣﺎدهاي ﻛﻪ اﺳﺘﻔﺎده ﻣﻲﺷﻮﻧﺪ ﻃﻼ و ﺳﻴﻠﻴﻜﻮن ﻫﺴﺘﻨﺪ‪) .‬ﺷﻜﻞ ‪.(13 - 9‬‬

‫ﻃﻼ دردﻣﺎي ‪ 1063‬درﺟﻪ ﺳﺎﻧﺘﻲﮔﺮاد ذوب ﻣﻲﺷﻮد در ﺣﺎﻟﻲ ﻛﻪ ﺳﻴﻠﻴﻜﻮن در ‪ 1415‬درﺟـﻪ ﺳـﺎﻧﺘﻲ ‪-‬‬

‫ﮔﺮاد ذوب ﻣﻲﺷﻮد‪ .‬ﻫﻨﮕﺎﻣﻲﻛﻪ اﻳﻦ دو ﻣﺎده ﺑﺎ ﻫﻢ ﺗﺮﻛﻴﺐ ﻣﻲﺷـﻮﻧﺪ در دﻣـﺎﻳﻲ ﺣـﺪود ‪ 380‬درﺟـﻪ‬

‫ﺳﺎﻧﺘﻲﮔﺮاد ﺷﺮوع ﺑﻪ آﻟﻴﺎژ ﺷﺪن ﻣﻲﻛﻨﻨﺪ‪ .‬ﻳﻚ ورﻗﻪ ﻃﻼ روي ﺳﻄﺢ ﻧﺎﺣﻴﻪي اﺗﺼﺎل داﻳـﺲ ﻧﺸـﺎﻧﺪه‬

‫ﺷﺪه‪ ،‬ﻛﻪ اﻳﻦ ﻻﻳﻪ در اﺛﺮ ﺣﺮارت ﺑﺎ ﭘﺸﺖ داﻳﺲ ﺳﻴﻠﻴﻜﻮﻧﻲ آﻟﻴﺎژ ﺗﻮﻟﻴﺪ ﻣﻲﻧﻤﺎﻳﺪ‪.‬‬

‫‪462‬‬
‫ﻃﻼ ﺑﺮاي ﻻﻳﻪي اﺗﺼﺎل داﻳﺲ ﻋﻤﻼً ﻣﺎﻧﻨﺪ ﻳﻚ ﺳﺎﻧﺪوﻳﭻ اﺳﺖ‪ .‬در ﺑﺮﺧﻲ ﻣﻮارد ﻳـﻚ ﺗﺮﻛﻴـﺐ ﻓﻠـﺰي‬

‫ﺷﺎﻣﻞ ﻃﻼ و ﺳﻴﻠﻴﻜﻮن در ﻧﺎﺣﻴﻪي اﺗﺼﺎل داﻳﺲ ﻗﺮار ﻣﻲﮔﻴﺮد‪ .‬در اﺛﺮ ﺣـﺮارت‪ ،‬اﻳـﻦ دو ﻻﻳـﻪ‪ ،‬ﻳـﻚ‬

‫ﻻﻳﻪي آﻟﻴﺎژ ﻧﺎزك را ﺑﺎ ﺳﻴﻠﻴﻜﻮن ﭘﺸﺖ وﻳﻔﺮ ﺗﺸﻜﻴﻞ ﻣﻲدﻫﻨﺪ‪.‬‬

‫اﻳﻦ روش ﭼﻬﺎر ﻣﺮﺣﻠﻪ دارد ‪:‬‬

‫‪ 1‬ﮔﺮم ﻛﺮدن ﺑﺴﺘﻪﺑﻨﺪي ﺗﺎ زﻣﺎﻧﻲ ﻛﻪ ﺗﺮﻛﻴﺐ ﺳﻴﻠﻴﻜﻮن ‪ -‬ﻃﻼ ﻣﺎﻳﻊ ﺷﻮد‪.‬‬

‫‪ 2‬ﺟﺎﻳﮕﺬاري ﺗﺮاﺷﻪ در ﻣﺤﻞ ﭼﺴﺒﺎﻧﺪن داﻳﺲ‪.‬‬

‫‪ 3‬ﻳﻚ ﻋﻤﻞ ﻓﺸﺮده ﺳﺎزي اﺳﺖ ﻛﻪ ﺳﻄﺢ داﻳﺲ و ﺑﺴﺘﻪﺑﻨﺪي را ﺑﻪ ﻫﻢ ﻓﺸﺮده ﻣﻲﻛﻨﻴﻢ‪ .‬اﻳـﻦ ﻋﻤـﻞ‬

‫در ﺣﻀﻮر ﺣﺮارت اﻧﺠﺎم ﻣﻲﺷﻮد و ﻣﻮﺟﺐ ﺗﺸﻜﻴﻞ ﻻﻳﻪي ﻳﻮﺗﻜﺘﻴﻚ ﻣﻲﺷﻮد‪.(Scrubbing) .‬‬

‫‪ 4‬ﺧﻨﻚ ﻛﺮدن ﺳﻴﺴﺘﻢ‪.‬‬

‫اﺗﺼﺎل داﻳﺲ ﺑﻪ روش ﻳﻮﺗﻜﺘﻴﻚ ﺑﻪ ﺻﻮرت دﺳﺘﻲ و ﻳﺎ اﺗﻮﻣﺎﺗﻴﻚ اﻧﺠﺎم ﻣﻲﺷـﻮد‪ .‬ﻳﻮﺗﻜﺘﻴـﻚ ﻃـﻼ ‪-‬‬

‫ﺳﻴﻠﻴﻜﻮن ﺑﻪ دﻟﻴﻞ ﭘﻴﻮﻧﺪ ﻗﻮي‪ ،‬ﺧﻮاص ﭘﺮاﻛﻨﺪﮔﻲ ﺣﺮارت‪ ،‬ﭘﺎﻳﺪاري ﺣﺮارﺗﻲ و ﻓﻘﺪان آﻟﻮدﮔﻲ‪ ،‬ﺑـﺮاي‬

‫ﻣﺪارات و ادوات ﺑﺎ اﻃﻤﻴﻨﺎن ﺑﺎﻻ اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪.‬‬

‫ﺷﻜﻞ ‪ .5- 5‬ﻣﻮاد ﻗﺎﺑﻞ اﺳﺘﻔﺎده در اﺗﺼﺎل داﻳﺲ‪.‬‬

‫‪463‬‬
‫ﺷﻜﻞ ‪ .6- 5‬اﻧﻮاع ﻳﻮﺗﻜﺘﻴﻚﻫﺎي ﻣﺨﺘﻠﻒ‪.‬‬

‫• اﺗﺼﺎل اﭘﻮﻛﺴﻲ )‪:(Epoxy Die attach‬‬


‫ﻓﺮآﻳﻨﺪ دﻳﮕﺮي ﻛﻪ ﺑﺮاي اﺗﺼﺎل داﻳﺲ ﺑﻜﺎر ﻣﻲرود ﭼﺴـﺒﺎﻧﺪن داﻳـﺲ ﺑـﺎ اﺳـﺘﻔﺎده از ﭼﺴـﺐﻫـﺎي‬

‫اﭘﻮﻛﺴﻲ ﻣﺎﻳﻊ ﻣﻲﺑﺎﺷﺪ‪ .‬اﻳﻦ ﭼﺴﺐﻫﺎ ﻣﻲﺗﻮاﻧﻨﺪ ﻳﻚ ﺳﺪ ﻋﺎﻳﻖ ﺑﻴﻦ ﭼﻴﭗ و ﺑﺴﺘﻪﺑﻨﺪي اﻳﺠﺎد ﻧﻤﺎﻳﻨـﺪ‬

‫و ﻳﺎ اﻳﻨﻜﻪ ﺑﺎ اﺿﺎﻓﻪ ﻛﺮدن ﻓﻠﺰاﺗﻲ ﻣﺜﻞ ﻃﻼ و ﻧﻘﺮه ﺑﻪ آﻧﻬﺎ‪ ،‬ﻫﺎدي ﺣﺮارت و اﻟﻜﺘﺮﻳﺴﻴﺘﻪ ﺷـﻮﻧﺪ‪ .‬ﭘﻠـﻲ ‪-‬‬

‫اﻳﻤﻴﺪﻫﺎ ‪ 1‬ﻫﻢ ﻣﻲﺗﻮاﻧﻨﺪ ﺑﻪ ﻋﻨﻮان ﭼﺴﺐ اﺳﺘﻔﺎده ﺷﻮﻧﺪ‪.‬‬

‫ﺑﺮاي ﭼﺴﺒﺎﻧﺪن اﺑﺘﺪا ﭼﺴﺐ اﭘﻮﻛﺴﻲ‪ ،‬ﺗﻮﺳـﻂ ‪ screen printing‬و ﻳـﺎ ‪ ،niddle‬در ﻧﺎﺣﻴـﻪ اﺗﺼـﺎل‬

‫داﻳﺲ ﭘﺨﺶ ﻣﻲﺷﻮد و داﻳﺲ ﺗﻮﺳﻂ ﺳﻴﺴﺘﻢ ﺧﻼء در ﻣﺮﻛﺰ ﻧﺎﺣﻴﻪي اﺗﺼﺎل داﻳﺲ ﻗـﺮار ﻣـﻲﮔﻴـﺮد‪.‬‬

‫ﺳﭙﺲ داﻳﺲ و اﭘﻮﻛﺴﻲ ﺑﻪ ﻫﻢ ﻓﺸﺎر داده ﻣﻲﺷﻮﻧﺪ ﺗﺎ ﻳﻚ ﻻﻳـﻪي ﻳﻜﭙﺎرﭼـﻪي ﻧـﺎزك زﻳـﺮ داﻳـﺲ‬

‫ﺗﺸﻜﻴﻞ ﺷﻮد‪ .‬در ﻧﻬﺎﻳﺖ ﺑﺴﺘﻪ در ﻳﻚ ﻛﻮره ﺑﺎ دﻣﺎي ﺑﺎﻻ ﻗﺮار ﮔﺮﻓﺘﻪ ﺗﺎ ﭘﻴﻮﻧﺪ اﭘﻮﻛﺴﻲ ﺗﺸﻜﻴﻞ ﺷﻮد‪.‬‬

‫اﻳﻦ روش ﭼﺴﺒﺎﻧﺪن ﺧﻴﻠﻲ آﺳﺎن و اﻗﺘﺼﺎدي اﺳﺖ و ﻧﻴﺎز ﺑﻪ دﻣﺎي ﺑﺎﻻ ﻧﻴﺰ ﻧﺪارﻳﻢ؛ ﻛـﻪ اﻳـﻦ ﻓـﺎﻛﺘﻮر‬

‫ﺑﺎﻋﺚ ﺷﺪه ﺑﻪ راﺣﺘﻲ ﺗﻤﺎﻣﻲ ﻣﺮاﺣﻞ ﺑﻪ ﺻﻮرت اﺗﻮﻣﺎﺗﻴﻚ درآﻳﺪ‪ .‬در ﻣﻘﺎﻳﺴﻪ ﺑـﺎ اﺗﺼـﺎل ﻳـﻮﺗﻜﺘﻴـﻚ‬

‫ﺳﻴﻠﻴﻜﻮن ‪ -‬ﻃﻼ‪ ،‬اﭘﻮﻛﺴﻲ ﻣﻌﺎﻳﺒﻲ دارد‪ .‬از ﺟﻤﻠﻪي اﻳﻦ ﻣﻌﺎﻳﺐ ﺗﺠﺰﻳﻪي ﭘﻴﻮﻧﺪ اﭘﻮﻛﺴﻲ ‪ 2‬در دﻣﺎﻫـﺎي‬

‫‪1 Polyimide‬‬
‫‪2 Epoxy‬‬
‫‪464‬‬
‫ﺑﺎﻻ‪ ،‬ﻣﺮﺑﻮط ﺑﻪ ﻣﺮاﺣﻞ اﺗﺼﺎل ﺳﻴﻢﻫﺎ و ﭘﻮﺷﺶ ﺑﺴﺘﻪ‪ ،‬ﻣﻲﺑﺎﺷﺪ‪ .‬ﻋﻼوه ﺑﺮ آن ﻗﺪرت ﭘﻴﻮﻧﺪ اﭘﻮﻛﺴﻲ ﺑـﻪ‬

‫اﻧﺪازهي ﻳﻮﺗﻜﺘﻴﻚ ﻃﻼ ‪-‬ﺳﻴﻠﻴﻜﻮن ﻧﻴﺴﺖ‪.‬‬

‫ﺻﺮفﻧﻈﺮ از روش اﺗﺼﺎل‪ ،‬ﺑﺮاي ﺗﺸﺨﻴﺺ ﻳﻚ اﺗﺼﺎل داﻳﺲ ﻣﻨﺎﺳﺐ ﭼﻨﺪﻳﻦ راه وﺟﻮد دارد‪ .‬اوﻟـﻴﻦ ‪-‬‬

‫ﻣﻮرد اﻧﻄﺒﺎق داﻳﺲ ﺑﺮ ﻧﺎﺣﻴﻪي اﺗﺼﺎل داﻳﺲ ﻣـﻲﺑﺎﺷـﺪ‪ .‬اﻳـﻦ اﻧﻄﺒـﺎق ﺑﺎﻋـﺚ ﺑﺎﻧـﺪﻳﻨﮓ ﺳـﺮﻳﻌﺘﺮ و‬

‫اﺗﻮﻣﺎﺳﻴﻮن ﺑﺎﻻﺗﺮ ﻣﻲﺷﻮد‪ .‬ﻣﺰﻳﺖ دﻳﮕﺮ اﻳﻦ ﻛﺎر‪ ،‬ﭘﻴﻮﻧﺪي ﺟﺎﻣﺪ ﻳﻜﭙﺎرﭼـﻪ و ﺑـﺪون ﻓﻀـﺎي ﺧـﺎﻟﻲ در‬

‫ﺳﺮاﺳﺮ ﭼﻴﭗ ﻣﻲﺑﺎﺷﺪ‪ .‬ﻧﺸﺎﻧﻪاي دﻳﮕﺮ از ﻳﻚ اﺗﺼﺎل ﻳﻜﭙﺎرﭼﻪ‪ ،‬ﭘﻴﻮﻧﺪي ﭘﻴﻮﺳﺘﻪ )‪ (fillet‬ﻣﺎﺑﻴﻦ ﻟﺒـﻪي‬

‫داﻳﺲ و ﺑﺴﺘﻪ ﻣﻲﺑﺎﺷﺪ‪ .‬آﺧﺮﻳﻦ ﻧﺸﺎﻧﻪ ﻋﺎري ﺑﻮدن ﻧﺎﺣﻴﻪي اﺗﺼﺎل‪ ،‬از ﻫـﺮ ﮔﻮﻧـﻪ ﭘﻮﺳـﺘﻪ )‪ (flakes‬و‬

‫ﺑﺮآﻣﺪﮔﻲ )‪ (lumps‬ﻣﻲﺑﺎﺷﺪ‪.‬‬

‫ﺷﻜﻞ ‪ .7- 5‬ﻧﻤﻮﻧﻪﻫﺎﻳﻲ از ﻣﺎﺷﻴﻦﻫﺎي اﺗﺼﺎل داﻳﺲ‪.‬‬

‫‪465‬‬
‫‪ - 6- 5- 12‬اﺗﺼﺎل داﻳﺲ ﺑﻪ ﻟﻴﺪﻫﺎي ﺑﺴﺘﻪ )‪:(Die-to-package bonding‬‬

‫ﺑﻌﺪ از ﭼﺴﺒﺎﻧﺪن ﭼﻴﭗ ﺑﻪ ﺑﺴﺘﻪ ﻧﻮﺑﺖ ﺑﻪ ﺳﻴﻢﻛﺸﻲ ﻣﻲرﺳﺪ‪ .‬اﻳﻦ ﻣﺮﺣﻠﻪ ﺣﺴﺎسﺗﺮﻳﻦ ﻣﺮﺣﻠﻪ ﻣﻮﻧﺘـﺎژ‬

‫ﻣﻲﺑﺎﺷﺪ‪ .‬ﺳﻪ ﺗﻜﻨﻴﻚ ﺑﺮاي ﺳﻴﻢ ﻛﺸﻲ وﺟﻮد دارد ‪:‬‬

‫اﻟﻒ ‪wire bonding‬‬

‫ب ‪Bump/flip-chip‬‬

‫ج ‪(Tape automated bonding)TAB‬‬

‫‪ - 1- 6- 5- 12‬اﺗﺼﺎل ﺳﻴﻤﻲ ) ‪:(Wire bonding‬‬

‫در اﻳﻦ روش اﺑﺘﺪا ﻳﻚ ﺳﻴﻢ ﺑﻪ ﭘﺪ اﺗﺼﺎل ‪ 1‬ﭼﻴﭗ ﻣﺘﺼﻞ ﺷﺪه و ﺗﺎ ﻣﺤﻞ ﻟﻴﺪ داﺧﻠﻲ ﻣﻮرد ﻧﻈﺮ رﻓﺘـﻪ و‬

‫ﺳﭙﺲ ﺑﻪ ﻟﻴﺪ داﺧﻠﻲ ﻣﺘﺼﻞ ﻣﻲﺷﻮد‪ .‬در ﻧﻬﺎﻳﺖ ﺳﻴﻢ ﭼﻴﺪه ﺷﺪه و اﻳﻦ روﻧﺪ ﻫﻤﻴﻦ ﻃﻮر ﺗﻜـﺮار ﻣـﻲ ‪-‬‬

‫ﺷﻮد ﺗﺎ ﺑﻪ آﺧﺮﻳﻦ ﭘﺪ ﺑﺮﺳﻴﻢ‪ .‬اﻳﻦ ﻋﻤﻠﻴﺎت در ﻋﻴﻦ ﺳﺎدﮔﻲ ﺑﺴﻴﺎر ﺣﺴﺎس اﺳﺖ‪ ،‬ﭼﺮا ﻛﻪ ﺑﺎﻳـﺪ دﻗـﺖ‬

‫ﺑﺴﻴﺎر زﻳﺎدي ﺷﻮد ﻛﻪ اوﻻً اﺗﺼﺎلﻫﺎي دو ﻃﺮف ﻫﺮ ﺳﻴﻢ ﺑﺮﻗـﺮار ﺑـﻮده و ﺛﺎﻧﻴـﺎً ﻫـﺮ ﺳـﻴﻢ در ﻓﺎﺻـﻠﻪ‬

‫ﻣﻨﺎﺳﺒﻲ از ﺳﻴﻢ دﻳﮕﺮ ﻗﺮار داﺷﺘﻪ ﺑﺎﺷﺪ‪ .‬ﻫﻤﭽﻨﻴﻦ در ﻃﻮل ﻣﺴﻴﺮ ﻣﺎﺑﻴﻦ ﭘﺪ و ﻟﻴﺪ ‪ 2‬داﺧﻠﻲ ﻧﺒﺎﻳﺴـﺘﻲ‬

‫ﺗﺎب ﺧﻮردﮔﻲ داﺷﺘﻪ ﺑﺎﺷﻴﻢ‪ .‬ﻃﻮل ﺗﺎب ﻳﻚ ﺳﻴﻢ در ﻳﻚ ﺑﺴﺘﻪﺑﻨﺪي ﻋﺎدي ‪ 8‬ﺗﺎ ‪ 12‬ﻣﻴﻞ )‪ (mils‬ﻣﻲ ‪-‬‬

‫ﺑﺎﺷﺪ‪ ،‬در ﺣﺎﻟﻲ ﻛﻪ در ﺑﺴﺘﻪﺑﻨﺪيﻫﺎي ﺧﻴﻠﻲ ﻧﺎزك در ﺣﺪود ‪ 4‬ﺗﺎ ‪ 5‬ﻣﻴﻞ ﻫﺴﺖ‪ .‬ﺑﻪ ﻓﺎﺻﻠﻪي ﻣـﺎ ﺑـﻴﻦ‬

‫ﺳﻴﻢﻫﺎي ﻫﻤﺴﺎﻳﻪ درﺟﻪي ﺳﻴﻢ ﺑﻨﺪي ‪ 3‬ﻣﻲﮔﻮﻳﻨﺪ‪.‬‬

‫‪1 Pad‬‬
‫‪2 Pad And Lead‬‬
‫‪3 Pitch of the Bonding‬‬
‫‪466‬‬
‫اﺗﺼﺎل ﺳﻴﻤﻲ ﺗﻮﺳﻂ ﻃﻼ و ﻳﺎ آﻟﻮﻣﻴﻨﻴﻮم اﻧﺠﺎم ﻣﻲﺷﻮد‪ .‬ﻫﺮ دوي آﻧﻬﺎ ﻋﻼوه ﺑﺮ ﻫﺪاﻳﺖ ﺑﺴﻴﺎر ﺑﺎﻻ‪ ،‬ﺑـﻪ‬

‫اﻧﺪازهي ﻛﺎﻓﻲ ﭼﻜﺶ ﺧﻮارﻧﺪ ﺗﺎ در ﻃﻲ ﻣﺮاﺣﻞ ﺳﻴﻢ ﺑﻨﺪي از اﺳﺘﺤﻜﺎم ﻛـﺎﻓﻲ و ﻣﻄﻤـﺌﻦ ﺑﺮﺧـﻮردار‬

‫ﺑﻤﺎﻧﻨﺪ‪ .‬ﻫﺮ ﻛﺪام از آﻧﻬﺎ ﻣﺰاﻳﺎ و ﻣﻌﺎﻳﺒﻲ دارﻧﺪ و ﺑﺎ روشﻫﺎي ﻣﺨﺘﻠﻔﻲ ﻣﺘﺼﻞ ﻣﻲﺷﻮﻧﺪ‪.‬‬

‫• اﺗﺼﺎل ﺳﻴﻢ ﻃﻼ )‪:(Gold wire bonding‬‬

‫ﻃﻼ ﺑﻪ ﻋﻨﻮان ﻣﺎدهي ﺳﻴﻢ اﺗﺼﺎل از ﭼﻨﺪﻳﻦ ﻣﺰﻳﺖ ﺑﺮﺧﻮردار اﺳﺖ‪ .‬ﻃﻼ ﺷﻨﺎﺧﺘﻪ ﺷﺪه ﺗـﺮﻳﻦ ﻫـﺎدي‬

‫اﻟﻜﺘﺮﻳﻜﻲ در دﻣﺎي اﺗﺎق و ﻳﻚ ﻫﺎدي ﺣﺮارﺗﻲ ﻋﺎﻟﻲ ﻣﺤﺴﻮب ﻣﻲﺷﻮد‪ .‬ﻃﻼ در ﺑﺮاﺑﺮ اﻛﺴﻴﺪاﺳـﻴﻮن و‬

‫ﺧﻮردﮔﻲ ﻣﻘﺎوﻣﺖ ﻛﺮده و اﻳﻦ ﺑﻪ ﻣﻌﻨﺎي ﺗﻮاﻧﺎﻳﻲ آن در اﻳﺠﺎد ﻳﻚ ﭘﻴﻮﻧﺪ ﺑﺪون ﺧـﻮردﮔﻲ ﺑـﺎ ﭘـﺪﻫﺎي‬

‫آﻟﻮﻣﻴﻨﻴﻮم اﺳﺖ‪ .‬دو روش ﺑﺮاي اﺗﺼﺎل ﺳﻴﻢ ﻃﻼ وﺟﻮد دارد‪ .‬ﻛﻪ ﻣﺸﺎﺑﻪ ﻫﻢ ﻫﺴﺘﻨﺪ‪.‬‬

‫اﻟﻒ‪:(TC)Thermocompression :‬‬

‫اﻳﻦ روش ﺑﺎ ﻗﺮار ﮔﺮﻓﺘﻦ ﺑﺴﺘﻪ روي ﮔﻴﺮهي اﺗﺼﺎل و اﻳﺠﺎد ﺣﺮارت ﺗﺮاﺷﻪ و ﺑﺴﺘﻪ ﺗﺎ دﻣﺎي ﺑـﻴﻦ ‪300‬‬

‫ﺗﺎ ‪ 350‬درﺟﻪ ﺳﺎﻧﺘﻲﮔﺮاد آﻏﺎز ﻣﻲﺷﻮد‪ .‬ﺳﻴﻢﻫﺎ از داﺧﻞ ﻳﻚ ﻟﻮﻟﻪ ﻧﺎزك ﻛﻪ ﺑـﻪ ‪ capillary‬ﻳـﺎ ﻟﻮﻟـﻪ‬

‫ﻣﻮﺋﻴﻦ ﻣﻮﺳﻮم ﻫﺴﺘﻨﺪ‪ ،‬ﺑﻴﺮون ﻣﻲآﻳﻨﺪ‪ .‬ﺟﺮﻗﻪﻫﺎي اﻟﻜﺘﺮﻳﻜﻲ ﻧﺎﮔﻬﺎﻧﻲ و ﻳﺎ ﻳـﻚ ﺷـﻌﻠﻪي ﻫﻴـﺪروژن‬

‫ﻛﻮﭼﻚ ﻧﻮك ﺳﻴﻢ را ﺑﻪ ﺻﻮرت ﻳﻚ ﮔﻠﻮﻟﻪ ذوب ﻣﻲ ﻛﻨﺪ و آن را ﺑﺮ روي اوﻟﻴﻦ ﭘﺪ ﻗﺮار ﻣﻲدﻫﺪ‪ .‬ﻟﻮﻟﻪ ‪-‬‬

‫ي ﻣﻮﻳﻴﻦ ﺑﻪ ﺳﻤﺖ ﭘﺎﻳﻴﻦ ﺣﺮﻛﺖ ﻛﺮده و ﮔﻠﻮﻟﻪي ذوبﺷﺪه را ﺑﻪ ﻣﺮﻛﺰ ﭘﺪ ﻓﺸـﺎر ﻣـﻲدﻫـﺪ‪ .‬ﺑـﺮ اﺛـﺮ‬

‫ﺣﺮارت ‪ 1‬و ﻓﺸﺎر رو ﺑﻪ ﭘﺎﻳﻴﻦ)‪ (Compression‬ﻳﻚ ﭘﻴﻮﻧﺪ آﻟﻴﺎژي ﻗﻮي ﺑﻴﻦ دو ﻣﺎده اﻳﺠﺎد ﻣﻲﺷﻮد‪.‬‬

‫اﻳﻦ ﻧﻮع از ﭘﻴﻮﻧﺪ اﻏﻠﺐ اﺗﺼﺎل ﺗﻮﭘﻲ ‪ 2‬ﻧﺎﻣﻴﺪه ﻣﻲﺷﻮد‪ .‬ﺑﻌﺪ از اﺗﺼﺎل ﺑﻪ ﭘﺪ‪ ،‬ﺑﺎز ﻣﻘﺪاري ﺳﻴﻢ از ﻟﻮﻟﻪي‬

‫ﻣﻮﻳﻴﻦ ﺧﺎرج ﺷﺪه و ﺑﺮ روي ﻟﻴﺪ داﺧﻠﻲ ﻗﺮار ﻣﻲﮔﻴﺮد‪ .‬ﺑﺎز ﻫﻢ ﺑﺎ اﻋﻤﺎل ﻓﺸﺎر و ﺣﺮارت ﺳﻴﻢ ﻃﻼ روي‬

‫‪1 Thermal‬‬
‫‪2 Ball bonding‬‬
‫‪467‬‬
‫ﻟﻴﺪ داﺧﻠﻲ ذوب ﻣﻲﺷﻮد‪ .‬ﺷﻌﻠﻪ ﻳﺎ ﺟﺮﻗﻪ‪ ،‬ﺳﻴﻢ را ﻗﻄﻊ ﻛﺮده و ﮔﻠﻮﻟﻪ را ﺑﺮاي اﺗﺼﺎل ﭘﺪ ﺑﻌﺪي آﻣـﺎده‬

‫ﻣﻲﻛﻨﺪ‪ .‬اﻳﻦ روﻧﺪ ﺗﺎ زﻣﺎﻧﻲ ﻛﻪ ﻫﻤﻪي ﭘﺪﻫﺎ ﺑﻪ ﻟﻴﺪ داﺧﻠﻲ ﻣﺘﻨﺎﻇﺮ ﺑﺎ ﺧﻮد ﻣﺘﺼﻞ ﺷﻮﻧﺪ اداﻣﻪ ﭘﻴﺪا ﻣﻲ ‪-‬‬

‫ﻛﻨﺪ‪) .‬ﺷﻜﻞ‪(16- 9‬‬

‫ب‪: Thermosonic :‬‬

‫اﻳﻦ روش ﻧﻴﺰ ﻣﺮاﺣﻠﻲ ﻣﺸﺎﺑﻪ روش ﻗﺒﻞ دارد‪ .‬ﺑﺎ اﻳﻦ ﺗﻔﺎوت ﻛﻪ دﻣﺎ در اﻳﻨﺠـﺎ ﭘـﺎﻳﻴﻦ اﺳـﺖ و ﻋﻤـﻞ‬

‫ﭼﺴﺒﺎﻧﺪن ﺑﺎ ﭘﺎﻟﺲ اﻧﺮژي اوﻟﺘﺮاﺳﻮﻧﻴﻚ ‪ ،1‬ﻛﻪ از ﻃﺮﻳﻖ ﻟﻮﻟﻪ ﺑﻪ ﺳﻴﻢ ارﺳﺎل ﻣﻲﺷﻮد‪ ،‬اﻧﺠﺎم ﻣـﻲﺷـﻮد‪.‬‬

‫اﻳﻦ اﻧﺮژي اﺿﺎﻓﻲ‪ ،‬ﺣﺮارت و اﺻﻄﻜﺎك ﻻزم ﺑﺮاي اﻳﺠﺎد ﭘﻴﻮﻧﺪ آﻟﻴﺎژي ﻗﻮي را ﻓﺮاﻫﻢ ﻣﻲﻛﻨﺪ‪.‬‬

‫ﻋﻤﻠﻴﺎت ﺳﻴﻢ ﺑﻨﺪي ﺗﻮﺳﻂ دﺳﺘﮕﺎه ﻫﺎي ﺗﻤﺎم اﺗﻮﻣﺎﺗﻴﻚ‪ ،‬ﺑﺎ ﺑﻜﺎر ﮔﺮﻓﺘﻦ ﺗﻜﻨﻴﻚﻫـﺎي ﭘﻴﺸـﺮﻓﺘﻪ‪ ،‬ﺑـﺎ‬

‫ﺳﺮﻋﺖ ﭼﻨﺪﻳﻦ ﻫﺰار اﺗﺼﺎل در ﺳﺎﻋﺖ اﻧﺠﺎم ﻣﻲﺷﻮد‪ .‬اﻣﺎ دو ﻣﺸـﻜﻞ اﺳﺎﺳـﻲ در ﺳـﻴﻢ ﺑﻨـﺪي ﻃـﻼ‬

‫وﺟﻮد دارد‪ .‬اول اﻳﻨﻜﻪ ﻃﻼ ﮔﺮان اﺳﺖ و دوم اﻳﻨﻜﻪ آﻟﻴﺎژ ﻧﺎﺧﻮاﺳﺘﻪاي ﺑﻴﻦ ﻃﻼ و آﻟﻮﻣﻴﻨﻴﻮم ﺗﺸـﻜﻴﻞ‬

‫ﻣﻲﺷﻮد‪ .‬اﻳﻦ آﻟﻴﺎژ ﺗﻮاﻧﺎﻳﻲ ﻫﺪاﻳﺖ ﭘﻴﻮﻧﺪ را ﺷﺪﻳﺪاً ﻛﺎﻫﺶ ﻣﻲدﻫﺪ‪ .‬اﻳﻦ آﻟﻴﺎژ ﻛﻪ ارﻏﻮاﻧﻲ رﻧﮓ اﺳـﺖ‬

‫ﻃﺎﻋﻮن ارﻏﻮاﻧﻲ ‪ 2‬ﻧﺎﻣﻴﺪه ﻣﻲﺷﻮد‪) .‬ﺷﻜﻞ ‪.(8- 5‬‬

‫‪1 Ultrasonic‬‬
‫‪2 Perpule Plague‬‬
‫‪468‬‬
‫ﺷﻜﻞ ‪ .8- 5‬ﻣﺮاﺣﻞ ﺳﻴﻢﻛﺸﻲ ﺑﺮاي ﺳﻴﻢ ﻃﻼ ‪.‬‬

‫‪469‬‬
‫ﺷﻜﻞ ‪ .9- 5‬ﻧﻤﻮﻧﻪﻫﺎﻳﻲ از ﭘﻴﻮﻧﺪ ﺳﻴﻢ ﻃﻼ ‪.‬‬

‫• اﺗﺼﺎل ﺳﻴﻢ آﻟﻮﻣﻴﻨﻴﻮم )‪:(Aluminum Wire bonding‬‬

‫اﮔﺮ ﭼﻪ آﻟﻮﻣﻴﻨﻴﻮم ﺧﻮاص ﻫﺪاﻳﺘﻲ و ﺿﺪ ﺧﻮردﮔﻲاش ﺑﻪ اﻧﺪازهي ﻃﻼ ﻧﻴﺴﺖ‪ ،‬ﺑﺎ اﻳﻦ وﺟﻮد ﻫﻨﻮز ﺟﺰو‬

‫ﻣﻬﻤﺘﺮﻳﻦ ﻣﻮاد در ﺳﻴﻢ ﺑﻨﺪي ﻣﺤﺴﻮب ﻣﻲﺷﻮد‪ .‬ﻣﻬﻤﺘﺮﻳﻦ ﻣﺰﻳﺖ آﻟﻮﻣﻴﻨﻴﻮم‪ ،‬ﭘﺎﻳﻴﻦ ﺑﻮدن ﻗﻴﻤـﺖ آن‬

‫اﺳﺖ‪ .‬دوﻣﻴﻦ ﻣﺰﻳﺖ آن اﻳﺠﺎد ﻳﻚ ﺳﻴﺴﺘﻢ ﺗﻚ ﻓﻠﺰي ‪ 1‬ﺑﺎ ﭘﺪﻫﺎي آﻟﻮﻣﻴﻨﻴﻮﻣﻲ‪ ،‬ﻛﻪ ﺧﻮردﮔﻲ ﻛﻤﺘـﺮي‬

‫دارد‪ ،‬ﻣﻲ ﺑﺎﺷﺪ‪ .‬دﻳﮕﺮ اﻳﻨﻜﻪ ﭘﻴﻮﻧﺪ آﻟﻮﻣﻴﻨﻴﻮم در دﻣﺎي ذوب ﭘﺎﻳﻴﻦﺗﺮي ﻧﺴﺒﺖ ﺑﻪ ﭘﻴﻮﻧﺪ ﻃـﻼ ﺻـﻮرت‬

‫ﻣﻲﮔﻴﺮد‪ ،‬ﻛﻪ اﻳﻦ ﻣﻮﺟﺐ ﺳﺎزﮔﺎري آن ﺑﺎ ﭼﺴﺐﻫﺎي اﭘﻮﻛﺴﻲ ﻧﺎﺣﻴﻪي اﺗﺼﺎل داﻳﺲ ﻣﻲﺷﻮد‪.‬‬

‫ﻣﺮاﺣﻞ ﺳﻴﻢ ﺑﻨﺪي آﻟﻮﻣﻴﻨﻴﻮم ﺷﺒﻴﻪ ﺑﻪ ﺳﻴﻢ ﺑﻨﺪي ﻃﻼ ﻣﻲﺑﺎﺷﺪ‪ .‬وﻟﻲ ﺑﻬﺮ ﺣﺎل ﻧﺤﻮهي ﺗﺸﻜﻴﻞ ﭘﻴﻮﻧـﺪ‬

‫ﻣﺘﻔﺎوت اﺳﺖ‪ .‬در اﻳﻦ ﺣﺎﻟﺖ ﻫﻴﭻ ﮔﻠﻮﻟﻪاي ﺳﺎﺧﺘﻪ ﻧﻤﻲﺷﻮد‪ .‬ﺑﻪ ﺟﺎي اﻳﻦ ﻛـﺎر‪ ،‬ﺑﻌـﺪ از اﻳﻨﻜـﻪ ﺳـﻴﻢ‬

‫آﻟﻮﻣﻴﻨﻴﻮم روي ﭘﺪ ﻗﺮار ﮔﺮﻓﺖ‪ ،‬ﻳﻚ ﮔﻮه ‪ ،2‬ﻫﻤﺰﻣﺎن ﺑﺎ اﻋﻤﺎل اﻧﺮژي آﻟﺘﺮاﺳﻮﻧﻴﻚ ﺑﻪ ﮔﻮه‪ ،‬ﺳـﻴﻢ را ﺑـﻪ‬

‫ﭘﺪ ﻓﺸﺎر داده ﺗﺎ ﭘﻴﻮﻧﺪ ﺷﻜﻞ ﮔﻴﺮد )ﺷﻜﻞ ‪ .(18 - 9‬ﺑﻌﺪ از ﺷﻜﻞﮔﻴﺮي ﭘﻴﻮﻧﺪ‪ ،‬ﺳـﻴﻢ ﺑـﻪ ﻟﻴـﺪ داﺧﻠـﻲ‬

‫ﻛﺸﻴﺪه ﺷﺪه ﺗﺎ ﻳﻚ ﭘﻴﻮﻧﺪ ﮔﻮهاي ‪ 3‬دﻳﮕﺮ ﺑﻪ ﻛﻤﻚ آﻟﺘﺮاﺳﻮﻧﻴﻚ ﺷﻜﻞ ﮔﻴﺮد‪ .‬اﻳﻦ ﻧﻮع اﻳﺠـﺎد ﭘﻴﻮﻧـﺪ‪،‬‬

‫‪1 Monometal‬‬
‫‪2 Wedge‬‬
‫‪3 Wedge Bonding‬‬
‫‪470‬‬
‫ﭘﻴﻮﻧﺪ آﻟﺘﺮاﺳﻮﻧﻴﻚ و ﻳﺎ ﭘﻴﻮﻧﺪ ﮔﻮهاي ﻧﺎﻣﻴﺪه ﻣﻲﺷﻮد‪ .‬ﺑﻌﺪ از ﭘﻴﻮﻧﺪ ﻟﻴﺪ‪ ،‬ﺳﻴﻢ ﺑﺮﻳﺪه ﻣﻲﺷﻮد‪ .‬در اﻳـﻦ‬

‫ﻣﺮﺣﻠﻪ از ﻓﺮآﻳﻨﺪ‪ ،‬ﺗﻔﺎوت اﺻﻠﻲ در ﭘﻴﻮﻧﺪ دو ﻣﺎده ﻳﻌﻨﻲ ﻃﻼ و آﻟﻮﻣﻴﻨﻴﻮم‪ ،‬رخ ﻣﻲدﻫﺪ‪ .‬در ﭘﻴﻮﻧﺪ ﻃـﻼ‬

‫ﻟﻮﻟﻪ آزاداﻧﻪ از ﭘﺪ ﺑﻪ ﻟﻴﺪ داﺧﻠﻲ و دوﺑﺎره ﺑﻪ ﭘﺪ ﺑﻌﺪي ﺣﺮﻛﺖ ﻣﻲﻛﻨﺪ در ﺣـﺎﻟﻲ ﻛـﻪ ﺑﺴـﺘﻪ در ﺟـﺎي‬

‫ﺛﺎﺑﺘﻲ ﻗﺮار دارد‪ .‬در ﭘﻴﻮﻧﺪ ﺳﻴﻢ آﻟﻮﻣﻴﻨﻴﻮم ﺑﺴﺘﻪ ﺑﺎﻳﺴﺘﻲ ﺑﺮاي ﻫﺮ ﻣﺮﺣﻠﻪ از ﭘﻴﻮﻧﺪ ﺗﻐﻴﻴﺮ ﻣﻜﺎن ﻳﺎﺑـﺪ‪.‬‬

‫اﻳﻦ ﺗﻐﻴﻴﺮ ﻣﻜﺎن‪ ،‬ﺑﺮاي اﻳﻨﻜﻪ ﭘﺪ و ﻟﻴﺪ داﺧﻠﻲ در راﺳﺘﺎي ﺟﻬﺖ ﺣﺮﻛﺖ ﺳﻴﻢ و ﮔـﻮه در ﻳـﻚ ردﻳـﻒ‬

‫ﻗﺮار ﮔﻴﺮﻧﺪ‪ ،‬ﻻزم ﻣﻲﺑﺎﺷﺪ‪ .‬اﻳﻦ ﻧﻴﺎز ﺑﻪ ﺗﻐﻴﻴﺮ ﻣﻜﺎن‪ ،‬ﺑﺮاي ﻃﺮاﺣـﺎن ﻣﺎﺷـﻴﻦﻫـﺎي اﺗﻮﻣﺎﺗﻴـﻚ ﭘﻴﻮﻧـﺪ‬

‫آﻟﻮﻣﻴﻨﻴﻮم‪ ،‬ﻣﺸﻜﻞ اﻳﺠﺎد ﻣﻲﻛﻨﺪ‪ .‬ﺑﺎ وﺟﻮد اﻳﻦ اﻏﻠﺐ ﭘﻴﻮﻧﺪﻫﺎي آﻟﻮﻣﻴﻨﻴﻮم در ﻣﺎﺷﻴﻦﻫـﺎي ﺳـﺮﻋﺖ‬

‫ﺑﺎﻻ اﻧﺠﺎم ﻣﻲﺷﻮد‪.‬‬

‫)اﻟﻒ( )ب(‪.‬‬

‫ﺷﻜﻞ ‪ .10- 5‬اﻟﻒ ﻧﺤﻮهي اﻳﺠﺎد ﭘﻴﻮﻧﺪ آﻟﻮﻣﻴﻨﻴﻮم ب ﻳﻚ ﭘﻴﻮﻧﺪ آﻟﻮﻣﻴﻨﻴﻮم‪.‬‬

‫‪ - 2- 6- 5- 12‬ﺗﻜﻨﻮﻟﻮژي ‪:Bump/flip-chip bonding‬‬

‫‪wire bonding‬ﭼﻨﺪﻳﻦ ﻣﺸﻜﻞ اﺳﺎﺳﻲ دارد‪ :‬اول اﻳﻨﻜـﻪ ﻣﺘﻨـﺎﻇﺮ ﺑـﺎ ﻫـﺮ ﭘﻴﻮﻧـﺪ ﻳـﻚ ﻣﻘﺎوﻣـﺖ‬

‫اﻟﻜﺘﺮﻳﻜﻲ وﺟﻮد دارد‪ .‬دوم ﻣﻴﻨﻴﻤﻢ ارﺗﻔﺎﻋﻲ اﺳﺖ ﻛﻪ ﺑﻪ وﺳﻴﻠﻪي ﺣﻠﻘﻪﻫﺎي ﺳﻴﻢ اﻳﺠـﺎد ﻣـﻲﺷـﻮد‪.‬‬

‫ﺳﻮم اﺣﺘﻤﺎل ﺑﺮوز ﻣﺸﻜﻼت اﻟﻜﺘﺮﻳﻜﻲ و اﺗﺼﺎلﻛﻮﺗﺎهﺷﺪن‪ ،‬ﺑﻪ دﻟﻴﻞ ﻧﺰدﻳﻚ ﺷﺪن ﺳﻴﻢﻫﺎ ﺑﻪ ﻳﻜﺪﻳﮕﺮ‬

‫اﺳﺖ‪ .‬ﻋﻼوه ﺑﺮ اﻳﻦ در اﻳﻦ روش ﻧﻴﺎز ﺑﻪ ﻣﺮاﺣﻞ ﭘﻴﻮﻧﺪ ﺟﺪاﮔﺎﻧﻪ‪ ،‬ﻫﻢ در اﺗﺼﺎل ﭘﺪ و ﻫﻢ در اﺗﺼﺎل ﻟﻴﺪ‪،‬‬

‫‪471‬‬
‫وﺟﻮد دارد‪ .‬ﺷﺎﻳﺪ ﺑﺰرﮔﺘﺮﻳﻦ ﻣﺸﻜﻞ‪ ،‬اﻓﺰاﻳﺶ ﺗﻌﺪاد اﺗﺼـﺎﻻت ‪ 1‬ﻻزم ﺑـﺮاي ﻣـﺪارات ﺑﺰرﮔﺘـﺮ اﺳـﺖ‪.‬‬

‫ﻃﺮاﺣﺎن ﺑﺮاي ﻗﺮار دادن اﻳﻦ اﺗﺼﺎﻻت در اﻃﺮاف ﭼﻴﭗ ﺑﺎ ﻛﻤﺒﻮد ﻓﻀﺎ ﻣﻮاﺟﻪ ﻣﻲﺷﻮﻧﺪ‪.‬‬

‫اﻳﻦ ﻣﺸﻜﻼت ﺑﻪ وﺳﻴﻠﻪي ﺟﺎﻳﮕﺰﻳﻨﻲ ﺑﺮآﻣﺪﮔﻲ ﻓﻠﺰي ‪ 2‬روي ﻫﺮ ﻛﺪام از ﭘﺪﻫﺎ‪ ،‬ﺑﻪ ﺟـﺎي ﺳـﻴﻢ‪ ،‬ﺣـﻞ‬

‫ﻣﻲﺷﻮد‪ .‬اﻳﻦ ﺑﺮآﻣﺪﮔﻲﻫﺎ‪ ،‬ﺗﻮپ ‪ 3‬ﻫﻢ ﻧﺎﻣﻴﺪه ﻣﻲﺷﻮﻧﺪ‪ ،‬ﻫﻤﭽﻨﺎن ﻛﻪ ﻓﺮآﻳﻨـﺪﻫﺎي ﻣﺮﺑﻮﻃـﻪ ﻋـﻼوه ﺑـﺮ‬

‫)‪ ،(Bump/flip-chip bonding‬ﻓﺮآﻳﻨﺪ )‪ Ball grid arrays )BGA‬ﻫﻢ ﻧﺎﻣﻴﺪه ﻣﻲﺷﻮد‪ .‬اﻳﻦ روش‬

‫ﭘﻴﻮﻧﺪ ﺑﻪ ﭼﻴﭗ اﺟﺎزه ﻣﻲدﻫﺪ ﻋﻼوه ﺑﺮ ﻟﺒﻪﻫﺎي ﭼﻴﭗ‪ ،‬در ﻗﺴﻤﺖﻫﺎي ﻣﺮﻛﺰي ﭼﻴﭗ ﻫـﻢ ﭘـﺪ اﺗﺼـﺎل‬

‫داﺷﺘﻪ ﺑﺎﺷﻴﻢ‪) .‬ﺷﻜﻞ ‪.(11- 5‬‬

‫اﻳﻦ ﻧﺰدﻳﻚ ﺑﻮدن ﺗﻮپﻫﺎ ﺑﻪ ﻣﺪار‪ ،‬ﺑﺎﻋﺚ اﻓﺰاﻳﺶ ﺳﺮﻋﺖ ﭘﺮدازش ﺳﻴﮕﻨﺎل ﻣﻲﺷﻮد‪ .‬در اﻳـﻦ ﺣﺎﻟـﺖ‬
‫اﺗﺼﺎل ﺑﻪ ﺑﺴﺘﻪ ﺑﺎ آوﻳﺰان ﻛﺮدن ﭼﻴﭗ و ﻟﺤﻴﻢ ﻛﺮدن ﺗﻮپﻫﺎ ﺑﻪ ﻟﻴﺪ داﺧﻠﻲ ﻣﺘﻨﺎﻇﺮ‪ ،‬در ﺑﺴﺘﻪ و ﻳﺎ ﺑـﺮد‬
‫ﻣﺪار ﭼﺎﭘﻲ‪ ،‬اﻧﺠﺎم ﻣﻲﺷﻮد‪) .‬ﺷﻜﻞ ‪.(12- 5‬‬
‫اﻳﻦ ﻓﺮآﻳﻨﺪ ﭼﻴﭗ را روي ﺳﻄﺢ ﺑﺴﺘﻪ آوﻳﺰان ﻣﻲﻛﻨﺪ‪ .‬ﻛﺸﺶﻫﺎ و اﺳﺘﺮسﻫﺎي ﻓﻴﺰﻳﻜﻲ ﺗﻮﺳﻂ ﺗﻮپ ‪-‬‬
‫ﻫﺎي ﻟﺤﻴﻢ ﻧﺮم ﺟﺬب ﻣﻲﺷﻮد‪ .‬اﺳﺘﺮسﻫﺎي اﺿﺎﻓﻲ ﺑﺎ ﭘﺮ ﻛﺮدن ﻓﻀﺎي ﺧﺎﻟﻲ ﺗﻮﺳﻂ اﭘﻮﻛﺴـﻲ ﮔﺮﻓﺘـﻪ‬
‫ﻣﻲﺷﻮد‪.‬‬

‫ﺷﻜﻞ ‪ .11- 5‬ﺑﺮآﻣﺪﮔﻲﻫﺎي ﻗﺎﺑﻞ ﻟﺤ ﻴﻢ ﻛﺮدن )‪Reflowed Solder bump).‬‬

‫‪1 Pin Count‬‬


‫‪2 Bump‬‬
‫‪3 Ball‬‬
‫‪472‬‬
‫ﺷﻜﻞ ‪ .12- 5‬اﺗﺼﺎل ‪Flip-chip‬‬

‫در ﺷﻜﻞ )‪ (13- 5‬ﻣﻘﺎﻳﺴﻪ ﺑﻴﻦ ‪ 2‬روش ﻓﻮق ﻧﺸﺎن داده ﺷﺪه و در ﺷﻜﻞ )‪ (14- 5‬ﻳﻚ ﻧﻤﻮﻧﻪ واﻗﻌـﻲ از‬

‫اﺗﺼﺎﻻت ﺳﻴﻤﻲ ﻧﺸﺎن داده ﺷﺪه اﺳﺖ‪.‬‬

‫ﺷﻜﻞ ‪.13- 5‬‬

‫‪473‬‬
‫ﺷﻜﻞ ‪.14- 5‬‬

‫‪ - 3- 6- 5- 12‬ﺗﻜﻨﻮﻟﻮژي ) ‪:( Tape automated bonding‬‬

‫اﻳﻦ روش ﺳﻴﻢ ﺑﻨﺪي ﭼﻴﭗ زﻣﺎﻧﻲ ﻛﻪ ﻧﻴﺎز ﺑﻪ ﺑﺴﺘﻪﻫﺎي ﺑﻲﻧﻬﺎﻳﺖ ﻧﺎزك ﺑﺎﺷﺪ‪ ،‬ﻣﺎﻧﻨـﺪ رادﻳﻮﻫـﺎﻳﻲ ﺑـﻪ‬

‫اﻧﺪازهي ﻛﺎرت اﻋﺘﺒﺎري‪ ،‬ﻣﻮرد اﺳﺘﻔﺎده ﻗﺮار ﻣﻲﮔﻴﺮد‪ .‬ﻓﺮآﻳﻨﺪ ‪ TAB‬ﺑﺎ ﺷﻜﻞدﻫﻲ ﺳﻴﺴـﺘﻢ ﻟﻴـﺪ روي‬

‫ﻳﻚ ﻧﻮار ﻗﺎﺑﻞ اﻧﻌﻄﺎف آﻏﺎز ﻣﻲﺷﻮد‪ .‬روشﻫﺎي ﻣﺨﺘﻠﻔﻲ ﺑﺮاي ﺷﻜﻞ دادن ﺳﻴﺴﺘﻢ ﻟﻴـﺪ وﺟـﻮد دارد‪.‬‬

‫ﻓﻠﺰ ﺑﺮاي ﺳﻴﺴﺘﻢ ﺗﻮﺳﻂ ﺗﺒﺨﻴﺮ و ﻳﺎ اﺳﭙﺎﺗﺮﻳﻨﮓ روي ﻧﻮار ﻧﺸﺎﻧﺪه ﻣﻲﺷﻮﻧﺪ‪ .‬ﻫﻤﭽﻨﻴﻦ ﺑـﺮاي ﺷـﻜﻞ ‪-‬‬

‫دﻫﻲ ﺳﻴﺴﺘﻢ ﻟﻴﺪ از ﭼﺎپ ﻣﻜﺎﻧﻴﻜﻲ و ﺗﻜﻨﻴﻚﻫـﺎي اﻟﮕـﻮﺑﺮداري‪ ،‬ﻣﺸـﺎﺑﻪ ﻓﺮآﻳﻨـﺪ اﻟﮕـﻮﺑﺮداري در‬

‫ﻓﺎﺑﺮﻳﻜﻴﺸﻦ‪ ،‬ﻧﻴﺰ اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ .‬ﻧﺘﻴﺠﻪ ﻳﻚ ﻧﻮار ﭘﻴﻮﺳﺘﻪ ﺷﺎﻣﻞ ﺗﻌﺪاد زﻳﺎدي ﺳﻴﺴﺘﻢ ﻟﻴﺪ ﺟﺪاﮔﺎﻧـﻪ‬

‫ﻣﻲﺑﺎﺷﺪ‪.‬‬

‫ﺑﺮاي ﻋﻤﻠﻴﺎت ﭘﻴﻮﻧﺪ )ﺷﻜﻞ ‪ ،(15- 5‬ﺗﺮاﺷﻪ را روي ﻳﻚ ﮔﻴﺮه ﻗﺮار ﻣﻲدﻫﻨﺪ و ﻧـﻮار ﺑـﻪ وﺳـﻴﻠﻪ ﻳـﻚ‬

‫ﭼﺮخ دﻧﺪه ﺣﺮﻛﺖ ﻣﻲﻛﻨﺪ ﺗﺎ زﻣﺎﻧﻲ ﻛﻪ ﻳﻜﻲ از اﻳﻦ ﺳﻴﺴﺘﻢﻫﺎي ﻟﻴﺪ ﺑﻪ ﻃﻮر دﻗﻴﻖ روي ﺗﺮاﺷـﻪ ﻗـﺮار‬

‫ﮔﻴﺮد‪ .‬در اﻳﻦ ﺣﺎﻟﺖ ﻟﻴﺪﻫﺎي داﺧﻠﻲ ﺳﻴﺴﺘﻢ ﺑﺎﻳﺪ ﺑﺎﻻي ﭘﺪﻫﺎي اﺗﺼﺎل ﭼﻴﭗ ﻗﺮار ﺑﮕﻴﺮﻧﺪ‪ .‬اﺗﺼـﺎل ﺑـﺎ‬

‫اﺑﺰاري ﺑﻪ ﻧﺎم ‪ thermode‬ﻛﺎﻣﻞ ﻣﻲﺷﻮد‪ .‬اﻳﻦ اﺑﺰار داراي ﻳﻚ ﺳﻄﺢ اﻟﻤﺎس ﺗﺨﺖ ﺑـﻮده و داغ ﻣـﻲ ‪-‬‬

‫ﺑﺎﺷﺪ‪ .‬ﺗﺮﻣﻮد ﺑﺎ ﺣﺮﻛﺖ ﺑﻪ ﺳﻤﺖ ﭘﺎﻳﻴﻦ‪ ،‬در اﺑﺘﺪا ﺑﺎ ﻟﻴﺪﻫﺎي داﺧﻠﻲ ﺗﻤﺎس ﭘﻴﺪا ﻣﻲﻛﻨﺪ‪ .‬اﻳﻦ ﺣﺮﻛﺖ ﺑـﻪ‬

‫ﺳﻤﺖ ﭘﺎﻳﻴﻦ‪ ،‬ﺑﺎ ﻓﺸﺎر ﻛﺎﻓﻲ‪ ،‬اداﻣﻪ ﭘﻴﺪا ﻛﺮده و ﻟﻴﺪﻫﺎي داﺧﻠﻲ را ﺑﻪ ﭘﺪﻫﺎي اﺗﺼﺎل ﻓﺸـﺎر ﻣـﻲدﻫـﺪ‪.‬‬

‫ﻓﺸﺎر و ﺣﺮارت ﺗﻨﻈﻴﻢ ﺷﺪه‪ ،‬ﭘﻴﻮﻧﺪي ﻓﻴﺰﻳﻜﻲ و اﻟﻜﺘﺮﻳﻜﻲ را ﻣﺎﺑﻴﻦ اﻳﻦ دو اﻳﺠﺎد ﻣﻲﻛﻨﺪ‪ .‬ﭼﻴﭗﻫـﺎي‬
‫‪474‬‬
‫ﺑﺰرﮔﺘﺮ ﻧﻴﺎز ﺑﻪ ﺳﻄﺢ ‪ TAB‬ﺑﺰرﮔﺘﺮ دارﻧﺪ‪ .‬ﺑﺮاي اﻳﻨﮕﻮﻧﻪ ﭼﻴﭗﻫﺎ‪ ،‬روي ﺳﻄﺢ ‪ TAB‬ﺑﺎ اﻟﻤـﺎس ﺗﺮﻛﻴﺒـﻲ‬

‫ﭘﻮﺷﺎﻧﺪه ﻣﻲﺷﻮد‪.‬‬

‫از ﻣﺰاﻳﺎي ‪ TAB‬ﺳﺮﻋﺖ ﺑﺎﻻ‪ ،‬ﺑﻪ دﻟﻴﻞ اﻳﻨﻜﻪ اﺗﺼﺎﻻت ﺑﻪ ﭼﻴﭗ ﺑﺎ ﻳﻚ ﻋﻤﻞ اﻧﺠﺎم ﻣﻲﺷـﻮد‪ ،‬و ﺳـﻬﻮﻟﺖ‬

‫در اﺗﻮﻣﺎﺳﻴﻮن‪ ،‬ﺑﻪ دﻟﻴﻞ ﺳﻴﺴﺘﻢ ﻧﻮار و ﭼﺮخدﻧﺪه‪ ،‬ﻣﻲﺑﺎﺷﺪ‪.‬‬

‫ﺷﻜﻞ ‪ .15- 5‬اﺗﺼﺎل ‪Automated‬‬

‫‪ - 7- 5- 12‬ﺑﺎزرﺳﻲ ﻗﺒﻞ از ﭘﻮﺷﺶ ﺑﺴﺘﻪﺑﻨﺪي )‪:( preseal inspection‬‬

‫اﻳﻦ ﺑﺎزرﺳﻲ‪ ،‬ﺑﺎزرﺳﻲ ﻧﻮري ﺳﻮم ‪ (third optical inspection) 1‬ﻧﺎﻣﻴﺪه ﺷﺪه و ﺑﻌـﺪ از ﻣﺮﺣﻠـﻪي‬

‫اﺗﺼﺎل ﺳﻴﻢﻫﺎ‪ ،‬ﺑﻪ ﻣﻨﻈﻮر ﺑﺮرﺳﻲ ﻛﻴﻔﻲ ﻣﺮاﺣﻞ ﻗﺒﻞ‪ ،‬اﻧﺠﺎم ﻣﻲﮔﻴﺮد‪ .‬اﺗﺼﺎﻻت ﺑﺴﺘﻪﺑﻨﺪي ﺑـﻪ وﺳـﻴﻠﻪ‬

‫ﻧﻮر ﻛﻨﺘﺮل ﺷﺪه و ﺗﻤﺎﻣﻲ ﻓﺎﻛﺘﻮرﻫﺎي اﺻﻠﻲ ﻛﻪ در ﻳﻚ اﺗﺼﺎل ﻣﻬﻢ ﻫﺴﺘﻨﺪ‪ ،‬ﺑﺮرﺳﻲ ﻣﻲﮔﺮدﻧﺪ‪.‬‬

‫‪1 Third optical inspection‬‬


‫‪475‬‬
‫‪ - 8- 5- 12‬ﺗﻜﻨﻴ ﻚﻫﺎي ﭘﻮﺷﺶ ) ‪:(sealing techniques‬‬

‫ﭼﻴﭗﻫﺎي ﺳﻴﻢﻛﺸﻲ ﺷﺪه ﭘﺲ از ﺗﺴﺖﻫﺎي ﻧﻮري‪ ،‬آﻣﺎدهاﻧﺪ ﻛﻪ در داﺧﻞ ﻳﻚ ﺑﺪﻧﻪي ﻣﺤـﺎﻓﻆ ﻗـﺮار‬

‫ﮔﻴﺮﻧﺪ‪ .‬ﭼﻨﺪﻳﻦ روش ﺑﺮاي ﭘﻮﺷﺶ ﻣﻮرد اﺳﺘﻔﺎده ﻗـﺮار ﻣـﻲﮔﻴﺮﻧـﺪ‪ .‬اﻧﺘﺨـﺎب روش ﻣـﻮرد اﺳـﺘﻔﺎده‬

‫ﺑﺴﺘﮕﻲ ﺑﻪ ‪ hermatic‬ﻳﺎ ‪ nonhermatic‬ﺑﻮدن ﺑﺴﺘﻪ و اﻳﻨﻜﻪ ﭼﻪ ﻧﻮع ﺑﺴﺘﻪﺑﻨـﺪي ﻣـﻮرد اﺳـﺘﻔﺎده‬

‫ﻗﺮار ﻣﻲﮔﻴﺮد‪ ،‬دارد‪ .‬روشﻫﺎي ﻣﺨﺘﻠﻒ اﻳﻦ ﻓﺮآﻳﻨﺪ در ﺷﻜﻞ )‪ (16- 5‬آﻣﺪه اﺳﺖ‪.‬‬

‫ﺷﻜﻞ ‪ .16- 5‬روشﻫﺎي ﭘﻮﺷﺶ ﺑﺴﺘﻪﺑﻨﺪي‪.‬‬

‫‪ - 1- 8- 5- 12‬ﻗﻮﻃﻲ ﻓﻠﺰي )‪:(Metal can‬‬

‫اﮔﺮ ﺑﺴﺘﻪ ﻫﺎ ﻓﻠﺰي ﺑﺎﺷﺪ ﻳﻚ ﻛﻼﻫﻚ ﻓﻠﺰي ﺑﺮ روي ﺗﺮاﺷﻪ ﻗﺮار ﮔﺮﻓﺘﻪ و ﺑﻪ آن ﺟﻮش ﻣﻲﺷﻮد‪ ،‬ﺑﺴـﺘﻪ‬

‫ﺑﻨﺪي از اﻳﻦ ﻧﻮع ﻫﺮﻣﺎﺗﻴﻚ ‪ 1‬اﺳﺖ‪.‬‬

‫‪ - 2- 8- 5- 12‬ﺑﺴﺘﻪﺑﻨﺪيﻫﺎي از ﻗﺒﻞ ﺳﺎﺧﺘﻪﺷﺪه ) ‪:(premade package‬‬

‫ﺑﺴﺘﻪﻫﺎي ﺳﺮاﻣﻴﻜﻲ از ﻗﺒﻞ ﺳﺎﺧﺘﻪ ﺷﺪه ﺑﺎ ﻳﻜﻲ از دو روش‪ ،‬درﭘﻮش ﺳﺮاﻣﻴﻜﻲ ﻳﺎ ﻓﻠـﺰي‪ ،‬ﭘﻮﺷـﻴﺪه‬

‫ﻣﻲ ﺷﻮﻧﺪ )ﺷﻜﻞ ‪ .(17- 5‬ﺑﺴﺘﻪﻫﺎي ﺳﺎﺧﺘﻪ ﺷﺪه ﺑﺮاي درﭘﻮش ﻓﻠﺰي‪ ،‬ﻳـﻚ ﺣﻠﻘـﻪ ﻃﻼﻳـﻲ در ﺑـﺎﻻي‬

‫‪1 Hermatic‬‬
‫‪476‬‬
‫ﻧﺎﺣﻴﻪي اﺗﺼﺎل داﻳﺲ دارﻧﺪ ﻛﻪ ) ‪ ( Seal ring‬ﻧﺎﻣﻴﺪه ﻣﻲﺷﻮد‪ .‬ﺑﺎﻻي ﻧﺎﺣﻴﻪي ﺣﻠﻘﻪي ﻃﻼﻳـﻲ‪ ،‬ﻳـﻚ‬

‫ﺗﻜﻪ ﻟﺤﻴﻢ ﻃﻼ ‪ -‬ﻗﻠﻊ از ﻗﺒﻞ ﺳﺎﺧﺘﻪﺷﺪه‪ ،‬ﻗﺮار ﻣﻲﮔﻴﺮد‪ .‬درﭘـﻮش ﻓﻠـﺰي ﺑـﺮ روي ﻧﺎﺣﻴـﻪي ﺣﻠﻘـﻪي‬

‫ﻃﻼﻳﻲ ﻗﺮار ﮔﺮﻓﺘﻪ‪ ،‬آﻧﮕﺎه ﺑﺮ روي آن ﻣﺤﻜﻢ ﺷﺪه و در ﻳﻚ ﻛﻮره ﺳﻴﺎر ﺗﺤﺖ ﻓﺸﺎر ﻧﻴﺘﺮوژن ﺧـﺎﻟﺺ و‬

‫دﻣﺎي ‪ 320‬ﺗﺎ ‪ 360‬درﺟﻪي ﺳﺎﻧﺘﻲﮔﺮاد ﻗﺮار ﻣﻲﮔﻴﺮد‪ .‬ﺑﺎ ﻋﺒﻮر از داﺧﻞ ﻛﻮره‪ ،‬ﺑﺴـﺘﻪ و درﭘـﻮش ﺑﻬـﻢ‬

‫ﺟﻮش ﺧﻮرده و ﻳﻚ ﭘﻮﺷﺶ ﻫﺮﻣﺎﺗﻴﻚ را ﺗﺸﻜﻴﻞ ﻣﻲدﻫﻨﺪ‪.‬‬

‫ﻣﺸﺎﺑﻪ ﻫﻤﻴﻦ روش ﺑﺮاي درﭘﻮش ﺳﺮاﻣﻴﻜﻲ وﺟﻮد دارد‪ .‬درﭘﻮش ﺳﺮاﻣﻴﻜﻲ‪ ،‬ﻛﻪ ﻗﺮار اﺳﺖ ﺑﻪ ﺑﺪﻧـﻪي‬

‫اﺻﻠﻲ ﻣﺘﺼﻞ ﺷﻮد‪ ،‬ﺑﺎ ﺷﻴﺸﻪاي ﻛﻪ دﻣﺎي ذوب آن ﭘﺎﻳﻴﻦ اﺳﺖ روﻛﺶ ﻣﻲﺷﻮد‪ .‬ﭘﻮﺷﺶ ﻫﺮﻣﺎﺗﻴﻚ ﺑـﺎ‬

‫ﻋﺒﻮر ﺑﺴﺘﻪ از داﺧﻞ ﻛﻮره ﻛﺎﻣﻞ ﻣﻲﺷﻮد‪ .‬اﻳﻦ ﭘﻮﺷﺶ در دﻣﺎﻳﻲ ﺣﺪود ‪ 400‬درﺟـﻪي ﺳـﺎﻧﺘﻲﮔـﺮاد و‬

‫ﺗﺤﺖ ﻫﻮاي ﺧﺸﻚ و ﺧﺎﻟﺺ ﺻﻮرت ﻣﻲﮔﻴﺮد‪.‬‬

‫ﺷﻜﻞ ‪ .17- 5‬ﺑﺴﺘﻪﺑﻨﺪي ﺳﺮاﻣﻴﻜﻲ از ﻗﺒﻞ ﺳﺎﺧﺘﻪ ﺷﺪه )‪Premade package).‬‬

‫‪ - 3- 8- 5- 12‬ﺑﺴﺘﻪﺑﻨﺪيﻫﺎي ‪:CERDIP‬‬

‫ﺑﺴﺘﻪﺑﻨﺪيﻫﺎي ‪ CERDIP‬از ﻧﻮع ﻫﺮﻣﺎﺗﻴﻚ ‪ 1‬ﻣﻲﺑﺎﺷﻨﺪ‪ .‬اﻳﻦ ﭘﻮﺷﺶ‪ ،‬ﻣﺸﺎﺑﻪ ﺑﺴﺘﻪﻫﺎي ﺳـﺮاﻣﻴﻜﻲ ﺑـﺎ‬

‫درﭘﻮش ﺳﺮاﻣﻴﻜﻲ‪ ،‬ﺑﺎ ﺷﻴﺸﻪ اﻧﺠﺎم ﻣﻲﮔﻴﺮد‪ .‬در ﻣﻮرد ﺑﺴـﺘﻪﻫـﺎي ‪CERDIP‬ﺳﻴﺴـﺘﻢ ﻟﻴـﺪ داﺧﻠـﻲ‬

‫ﺗﻮﺳﻂ ﻻﻳﻪاي از ﺷﻴﺸﻪ ﭘﻮﺷﻴﺪه ﻣﻲﺷﻮد‪ .‬در ﺑﺎﻻي ﺑﺴﺘﻪ ﻳﻚ ﺣﻔﺮه وﺟـﻮد دارد )ﺷـﻜﻞ ‪ .(18- 5‬در‬

‫زﻳﺮ درﭘﻮش و ﺑﻴﺮون اﻳﻦ ﺣﻔﺮه‪ ،‬ﻻﻳﻪاي از ﺷﻴﺸﻪ ﺑﺎ دﻣﺎي ذوب ﭘﺎﻳﻴﻦ ﭘﻮﺷﺎﻧﺪه ﻣﻲﺷﻮد‪ .‬درﭘﻮش روي‬

‫‪1 Hermatic‬‬
‫‪477‬‬
‫ﺑﺪﻧﻪ ﻗﺮار داده ﺷﺪه و ﻣﺤﻜﻢ ﻣﻲﺷﻮد‪ .‬ﺳﭙﺲ ﺑﺴﺘﻪ ﺗﻮﺳﻂ ﻧﻘﺎﻟﻪﻫﺎﻳﻲ داﺧﻞ ﻛﻮره ﻣـﻲرود‪ .‬در داﺧـﻞ‬

‫ﻛﻮره‪ ،‬ﺷﻴﺸﻪ ذوب ﺷﺪه و دو ﻗﺴﻤﺖ ﺳﺮ و ﺑﺪﻧﻪ ﺑﺎ ﻫﻢ ﮔﺪاﺧﺘﻪ ﻣﻲﺷﻮﻧﺪ‪ .‬از اﻳﻦ روش ﺑـﺮاي ﺑﺴـﺘﻪ ‪-‬‬

‫ﻫﺎي ‪DIP‬و ‪FLAT‬اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ .‬ﻛﻪ ﺑﻪ ﻧﺎم ‪ CERDIP‬و ‪ CERFLAT‬ﻣﻌﺮوف ﻫﺴﺘﻨﺪ‪.‬‬

‫)اﻟﻒ( )ب(‪.‬‬

‫)ج(‪.‬‬

‫ﺷﻜﻞ ‪ .18- 5‬اﻟﻒ ﺑﺨﺶﻫﺎي ‪ CERDIP‬ب ﻳﻚ ﺑﺴﺘﻪي ‪ CERDIP‬ج ﻛﻮرهي ﭘﻮﺷﺶ‪.‬‬

‫‪ - 4- 8- 5- 12‬ﺑﺴﺘﻪﺑﻨﺪيﻫﺎي ﺑﺎ ﻗﺎﻟﺐ اﭘﻮﻛﺴﻲ ) ‪:(Molded epoxy enclosures‬‬

‫ﭼﻬﺎرﻣﻴﻦ روش ﻋﻤﺪه ﺑﺮاي ﺑﺴﺘﻪ ﺑﻨﺪي‪ ،‬ﻗﺎﻟﺐﮔﻴﺮي اﭘﻮﻛﺴﻲ‪ ،‬در ﺑﺴﺘﻪﻫـﺎي ﭘﻼﺳـﺘﻴﻜﻲ ﻣـﻲﺑﺎﺷـﺪ‬

‫)ﺷﻜﻞ ‪ .(19- 5‬اﻳﻦ ﻧﻮع ﭘﻮﺷﺶ‪ ،‬ﻛﻪ از داﻳﺲ در ﺑﺮاﺑﺮ آﻟﻮدﮔﻲ و رﻃﻮﺑﺖ ﺣﻔﺎﻇﺖ ﻣﻲﻛﻨﺪ‪ ،‬ﻫﺮﻣﺎﺗﻴـﻚ ‪1‬‬

‫ﻧﻴﺴﺖ‪ .‬اﻣﺎ ﺑﻪ ﻫﺮ ﺣﺎل ﺗﺤﻘﻴﻘﺎت ﻗﺎﺑﻞ ﺗﻮﺟﻬﻲ ﺑﺮ روي اﺑﺪاع ﻣﻮاد اﭘﻮﻛﺴﻲ ﺑﻬﺒﻮد ﻳﺎﻓﺘﻪ ﺟﻬـﺖ ﺑﺪﻧـﻪ ‪-‬‬

‫‪1 Hermatic‬‬
‫‪478‬‬
‫ﻫﺎي ﺑﻬﺘﺮ در ﺣﺎل اﻧﺠﺎم اﺳﺖ‪ .‬ﻣﻬﻤﺘﺮﻳﻦ ﻣﺰاﻳﺎي اﻳﻦ روش وزن ﻛﻤﺘﺮ‪ ،‬اﺳﺘﻔﺎده از ﻣﻮاد ارزانﺗﺮ و ﺑﺎﻻ‬

‫رﻓﺘﻦ راﻧﺪﻣﺎن ﺗﻮﻟﻴﺪ اﺳﺖ‪ .‬اﻳﻦ روش ﭘﻮﺷﺶ‪ ،‬ﻓﺮآﻳﻨﺪ ﻣﺘﻔﺎوﺗﻲ را دﻧﺒﺎل ﻣﻲﻛﻨﺪ‪ .‬داﻳﺲ ﺑﻪ ﻳﻚ ﻓـﺮﻳﻢ‬

‫ﻛﻪ ﺷﺎﻣﻞ ﺗﻌﺪادي ﺳﻴﺴﺘﻢ ﻟﻴﺪ اﺳﺖ‪ ،‬ﻣﺘﺼﻞ ﻣﻲﺷﻮد )ﺷﻜﻞ ‪ .(20- 5‬ﻓﺮﻳﻢﻫﺎ روي ﻳﻚ ﻗﺎﻟﺐ در داﺧﻞ‬

‫ﻣﺎﺷﻴﻦ ﻗﺎﻟﺐﮔﻴﺮي ﻗﺮار ﻣﻲﮔﻴﺮﻧﺪ‪ .‬ﻣﺎﺷﻴﻦ ﻗﺎﻟﺐﮔﻴﺮي ﺑﺎ ﮔﻠﻮﻟﻪﻫﺎﻳﻲ از اﭘﻮﻛﺴﻲ‪ ،‬ﻛﻪ ﻗﺒﻼً ﺗﻮﺳﻂ ﻫﻴﺘـﺮ‬

‫ﻓﺮﻛﺎﻧﺲ رادﻳﻮﻳﻲ ﻧﺮم ﺷﺪهاﻧﺪ‪ ،‬ﺗﻐﺬﻳﻪ ﻣﻲﺷﻮد‪ .‬در داﺧﻞ ﻣﺎﺷﻴﻦ‪ ،‬ﮔﻠﻮﻟﻪ ﺗﻮﺳﻂ ﻳﻚ ﭼﻜﺶ ﻣﺎﻳﻊ ﻣـﻲ ‪-‬‬

‫ﺷﻮد‪ .‬ﺳﭙﺲ اﻳﻦ ﻣﺎﻳﻊ در اﻃﺮاف داﻳﺴﻲ ﻛﻪ روي ﻓﺮﻳﻢ ﻗﺮار دارد‪ ،‬ﻗﺮار ﮔﺮﻓﺘﻪ و در اﻃﺮاف ﻫـﺮ ﻓـﺮﻳﻢ‬

‫ﻳﻚ ﺑﺴﺘﻪي ﺟﺪاﮔﺎﻧﻪ ﺑﻪ وﺟﻮد ﻣﻲآورد‪ .‬ﺑﻌﺪ از ﺷﻜﻞﮔﻴﺮي ﻗﺎﻟﺐ‪ ،‬ﻓﺮﻳﻢﻫﺎ ﺟـﺪا ﺷـﺪه و ﺑﺴـﺘﻪ ﺑـﺮاي‬

‫ﺑﻬﺒﻮد ﻧﻬﺎﻳﻲ در داﺧﻞ ﻳﻚ ﻛﻮره ﻗﺮار ﻣﻲﮔﻴﺮد‪.‬‬

‫ﺷﻜﻞ ‪ .19- 5‬ﺑﺴﺘﻪﺑﻨﺪي ‪Molded‬‬

‫ﺷﻜﻞ ‪ .20- 5‬ﻣﺎﺷﻴﻦ ﻗﺎﻟﺐﮔﻴﺮي و ﻗﺎﻟﺐﻫﺎي ﻣﺮﺑﻮﻃﻪ‪.‬‬

‫‪479‬‬
‫‪ - 9- 5- 12‬ﭘﻮﺷﺶ ﻟﻴﺪ ) ‪:( Lead plating‬‬

‫اﻏﻠﺐ ﻟﻴﺪﻫﺎي ﺑﺴﺘﻪ ﺑﺎ ﻗﻠﻊ و ﻳﺎ ﻃﻼ ﭘﻮﺷﻴﺪه ﻣﻲﺷﻮد‪ .‬اﻳﻦ ﻓﺮآﻳﻨﺪ ﭼﻨﺪﻳﻦ ﻫﺪف ﻣﻬﻢ را دﻧﺒـﺎل ﻣـﻲ ‪-‬‬

‫ﻛﻨﺪ‪ :‬اول اﻳﻨﻜﻪ ﻗﺎﺑﻠﻴﺖ ﻟﺤﻴﻢ ﺷﺪن ﻟﻴﺪﻫﺎ ﺑﻪ ﺑﺮد ﻣﺪار را اﻓﺰاﻳﺶ ﻣﻲدﻫﺪ و ﺑﻪ ﻫﻤﻴﻦ دﻟﻴـﻞ‪ ،‬اﻧﻌﻄـﺎف‬

‫ﭘﺬﻳﺮي و ﻧﺼﺐ ﺑﺮ روي ﺑﺮد آﺳﺎن ﻣﻲﺷﻮد‪ .‬ﻣﺰﻳﺖ دﻳﮕـﺮ اﻳـﻦ اﺳـﺖ ﻛـﻪ ﭘﺎﻳـﻪﻫـﺎ را از ﺧـﻮردﮔﻲ و‬

‫اﻛﺴﻴﺪاﺳﻴﻮن‪ ،‬ﺣﻔﺎﻇﺖ ﻣﻲﻛﻨﺪ‪ .‬ﻣﺰﻳﺖ ﺳﻮم ﺣﻔﺎﻇﺖ از ﻟﻴﺪﻫﺎ در ﺑﺮاﺑﺮ ﻋﻮاﻣﻞ ﺧﻮرﻧﺪه‪ ،‬ﻃـﻲ ﻣﺮاﺣـﻞ‬

‫ﺑﺴﺘﻪﺑﻨﺪي و ﻫﻤﭽﻨﻴﻦ ﻧﺼﺐ ﺑﺮد ﻣﺪار ﭼﺎﭘﻲ‪ ،‬ﻣﻲﺑﺎﺷﺪ‪ .‬ﻫﻤﭽﻨﻴﻦ ﭼﻨﻴﻦ ﭘﻮﺷﺶﻫﺎﻳﻲ ﻃﻮل ﻋﻤـﺮ ﻟﻴـﺪ‬

‫ﻫﺎ را اﻓﺰاﻳﺶ ﻣﻲدﻫﻨﺪ‪ .‬دو روش ﺑﺮاي اﻳﻦ ﻣﻨﻈﻮر وﺟﻮد دارد‪:‬‬

‫‪:Electrolytic plating - 10- 5- 12‬‬

‫ﭘﻮﺷﺶ ﺗﻮﺳﻂ ﻓﺮآﻳﻨﺪﻫﺎي اﻟﻜﺘﺮوﻟﻴﺘﻴﻜﻲ اﻧﺠﺎم ﻣﻲﺷﻮد‪ .‬ﺑﺴﺘﻪ روي ﻳﻚ ﭘﺎﻳﻪ ﻗﺮار ﮔﺮﻓﺘﻪ و ﻫﺮ ﻛﺪام‬

‫از ﻟﻴﺪﻫﺎ ﺑﻪ ﻳﻚ ﭘﺘﺎﻧﺴﻴﻞ ﻣﺘﺼﻞ ﻣﻲﺷﻮﻧﺪ‪ .‬ﺳﭙﺲ ﭘﺎﻳﻪ داﺧﻞ ﻳﻚ ﻇﺮف ﺣﺎوي ﻣﺤﻠﻮل ﻗﺮار ﻣﻲﮔﻴـﺮد‪.‬‬

‫ﺳﭙﺲ ﻳﻚ ﺟﺮﻳﺎن ﻛﻢ ﻣﺎﺑﻴﻦ ﺑﺴﺘﻪ و اﻟﻜﺘﺮود داﺧﻞ ﻇﺮف ﺑﺮﻗﺮار ﻣﻲﺷﻮد‪ .‬اﻳﻦ ﺟﺮﻳﺎن ﺑﺎﻋﺚ ﻧﺸﺴـﺘﻦ‬

‫ﻓﻠﺰ ﻣﺤﻠﻮل روي ﻟﻴﺪﻫﺎ ﻣﻲﺷﻮد‪ .‬ﺷﻜﻞ )‪.(21- 5‬‬

‫‪:Tin-lid solder - 11- 5- 12‬‬

‫در اﻳﻦ روش ﺑﺴﺘﻪﻫﺎ داﺧﻞ ﻇﺮف ﺣﺎوي ﻟﺤﻴﻢ ﻣﺎﻳﻊ ﻏﻮﻃﻪور ﻣﻲﺷﻮﻧﺪ و ﻳـﺎ اﻳﻨﻜـﻪ از ﺗﻜﻨﻴـﻚﻫـﺎي‬

‫ﻟﺤﻴﻢﻛﺎري ﻣﻮﺟﻲ )‪ (Wave soldering technique‬اﺳﺘﻔﺎده ﻣﻲﻛﻨﺪ‪ .‬ﻛـﻪ روش آﺧـﺮ ﺑـﻪ دﻟﻴـﻞ‬

‫ﻣﺰاﻳﺎﻳﻲ ﻛﻪ دارد‪ ،‬ﺷﺎﻣﻞ ﻛﻨﺘﺮل روي ﺿﺨﺎﻣﺖ ﻻﻳﻪ و ﻫﻤﭽﻨﻴﻦ در ﻣﻌﺮض ﻗﺮار ﮔﺮﻓﺘﻦ اﻧﺪك در ﺑﺮاﺑـﺮ‬

‫ﻣﺎﻳﻊ ﻟﺤﻴﻢ‪ ،‬ﺗﺮﺟﻴﺢ داده ﻣﻲﺷﻮد‪.‬‬

‫‪480‬‬
‫ﺷﻜﻞ ‪ .21- 5‬ﻣﺎﺷﻴﻦ ‪Electroplating‬‬

‫‪ - 12- 5- 12‬ﭼﻴﺪن ﻟﻴﺪﻫﺎ )‪:( Lead trimming‬‬

‫ﻳﻜﻲ از آﺧﺮﻳﻦ ﻣﺮاﺣﻞ ﺑﺴﺘﻪﺑﻨﺪي ﺑﺮﻳﺪن ﻣﻮاد اﺿﺎﻓﻲ از ﻟﻴﺪﻫﺎ اﺳـﺖ‪ .‬ﻟﻴـﺪﻫﺎي ﺧـﺎرﺟﻲ ‪ DIP‬ﻫـﺎ و‬

‫ﺑﺴﺘﻪﻫﺎي ﺗﺨﺖ داراي ﻳﻚ ‪ tie-bar‬ﻫﺴﺘﻨﺪ‪ .‬اﻳﻦ ﻣﻴﻠﻪ ‪ 1‬از ﺧﻢ ﺷﺪن ﻟﻴـﺪﻫﺎ در ﻃـﻮل ﻓﺮآﻳﻨـﺪﻫﺎي‬

‫ﺑﺴﺘﻪﺑﻨﺪي ﺟﻠﻮﮔﻴﺮي ﻣﻲﻛﻨﺪ‪ .‬در اﻧﺘﻬﺎي ﻣﺮاﺣﻞ‪ ،‬ﺑﺴﺘﻪ وارد ﻣﺎﺷﻴﻦ ﺑﺮش ‪ 2‬ﺳﺎده ﺷﺪه و اﻳﻦ ﻗﺴﻤﺖ‬

‫ﭼﻴﺪه ﺷﺪه و ﻟﻴﺪﻫﺎ در ﻃﻮلﻫﺎي ﻣﻨﺎﺳﺐ ﺑﺮﻳﺪه ﻣﻲﺷﻮﻧﺪ‪.‬‬

‫ﻓﺮﻳﻢﻫﺎي ﻟﻴﺪ ﺑﺴﺘﻪﻫﺎي ﭘﻼﺳﺘﻴﻜﻲ‪ ،‬ﻳﻚ ﻗﺴﻤﺖ اﺿﺎﻓﻪي دﻳﮕﺮ ﻧﻴﺰ دارﻧﺪ‪ .‬اﻳﻦ ﺑﺨﺶ اﺿﺎﻓﻪ ﻳﻚ ﭘـﻞ‬

‫ﻓﻠﺰي ﻧﺰدﻳﻚ ﺑﺪﻧﻪي ﺑﺴﺘﻪ ﺑﻮده و ﻣﺎﻧﻨﺪ ﺳﺪ ‪ 3‬ﻋﻤﻞ ﻛﺮده و ﻣﺎﻧﻊ از ﻧﻔﻮذ ﻣﻮاد ﻣـﺬاب ﭘﻼﺳـﺘﻴﻜﻲ ﺑـﻪ‬

‫داﺧﻞ ﭘﺎﻳﻪﻫﺎ ﺷﻮﻧﺪ‪ .‬اﻳﻦ ﻓﻠﺰات ﻧﻴﺰ در اﻧﺘﻬﺎ ﺑﺎ اﺑﺰار ﺑﺴﻴﺎر دﻗﻴﻘﻲ ﺑﺮﻳﺪه ﻣﻲﺷﻮﻧﺪ‪ .‬در ﭘﺎﻳﺎن ﻧﻴﺰ ﺑﺴـﺘﻪ‬

‫ﺑﻪ ﻧﻮع ﺑﺴﺘﻪ ﺑﻨﺪي ﭘﺎﻳﻪﻫﺎ ﻓﺮمدﻫﻲ ﺷﺪه و ﺑﻌﻀﺎً ﺧﻢ ﻣﻲﺷﻮﻧﺪ‪) .‬ﺷﻜﻞ ‪.(22- 5‬‬

‫‪1 Bar‬‬
‫‪2 Trimming Machine‬‬
‫‪3 Dam‬‬
‫‪481‬‬
‫ﺷﻜﻞ ‪Tie-bar & Lead frame dam .22- 5‬‬

‫‪: Deflashing - 13- 5- 12‬‬

‫در ﻓﺮآﻳﻨﺪ ﻣﺮﺑﻮط ﺑﻪ ﺑﺴﺘﻪﻫﺎي ﭘﻼﺳﺘﻴﻜﻲ ﻳﻚ ﻣﺮﺣﻠﻪي اﺿﺎﻓﻲ ﺑﻪ ﻧﺎم ‪ Deflashing‬وﺟﻮد دارد‪ ،‬ﻛـﻪ‬

‫ﻛﺎر آن ﺑﺮداﺷﺘﻦ ﻣﻮاد اﺿﺎﻓﻲ ﭘﻼﺳﺘﻴﻜﻲ از ﺳﻄﺢ ﺑﺴﺘﻪ ﻣﻲﺑﺎﺷﺪ‪ .‬اﻳﻦ ﻓﺮآﻳﻨﺪ ﻫﻢ ﺑﺎ ﺷﺴﺘﺸﻮي ﺑﺴﺘﻪ‬

‫در ﺣﻤﺎم ﻫﺎي ﺷﻴﻤﻴﺎﻳﻲ و ﻫﻢ ﺑﺎ ﻓﺮآﻳﻨﺪ ﺳﺎﻳﻴﺪن ﻓﻴﺰﻳﻜﻲ اﻧﺠﺎم ﻣﻲﺷﻮد‪ .‬ﻛﻪ اﻳﻦ ﻋﻤـﻞ ﻣﺸـﺎﺑﻪ ﻋﻤـﻞ‬

‫ﺳﻤﺒﺎده زﻧﻲ اﺳﺖ‪ .‬ﺷﻜﻞ )‪.(23- 5‬‬

‫ﺷﻜﻞ ‪ .23- 5‬ﻣﺎﺷﻴﻦﻫﺎي ‪Deflashing‬‬

‫‪482‬‬
‫‪ - 14- 5- 12‬ﻧﺸﺎﻧﻪﮔﺬاري ﺑﺴﺘﻪ )‪:(Package Marking‬‬

‫ﻳﻚ ﻗﻄﻌﻪ ﺑﺎﻳﺪ ﺑﺎ ﻳﻚ ﺳﺮي اﻃﻼﻋﺎت ﻛﻠﻴﺪي ﻛﺎﻣﻞ ﺷﻨﺎﺧﺘﻪ ﺷﻮد‪ .‬اﻃﻼﻋﺎﺗﻲ ﻛﻪ ﻣﻌﻤﻮﻻً روي ﻫﺮ ﻗﻄﻌﻪ‬

‫ﻛﺪ ﮔﺬاري ﻣﻲﮔﺮدد ﺷﺎﻣﻞ ﻧﻮع ﻣﺤﺼﻮل‪ ،‬ﻛﺎرﺧﺎﻧﻪ ﻣﺤﻞ ﺗﻮﻟﻴـﺪ‪ ،‬ﻛـﺪ ﻗﻄﻌـﻪ‪ ،‬ﻣﺸﺨﺼـﺎت ﻗﻄﻌـﻪ و ‪...‬‬

‫ﻣﻲﺑﺎﺷﺪ‪ ،‬روشﻫﺎي اﺻﻠﻲ ﺷﻤﺎرهﮔﺬاري ﺷﺎﻣﻞ ﭼﺎپ ﺟﻮﻫﺮي و ﺣﻜﺎﻛﻲ ﻟﻴﺰري اﺳﺖ‪.‬‬

‫ﺷﻤﺎره ﮔﺬاري ﺑﺎ ﺟﻮﻫﺮ ﻣﺰﻳﺖ ﻫﺎﻳﻲ دارد از ﺟﻤﻠﻪ اﻳﻨﻜﻪ ﺗﻘﺮﻳﺒﺎً ﺑﺮ روي ﺗﻤﺎﻣﻲ ﺑﺴـﺘﻪﻫـﺎ ﭼﺴـﺒﻨﺪﮔﻲ‬

‫ﺧﻮﺑﻲ دارد‪ .‬ﺟﻮﻫﺮ اﻧﺘﺨﺎب ﺷﺪه ﺑﺎﻳﺪ ﻃﻮري ﺑﺎﺷﺪ ﻛﻪ ﭘﺎﻳﺪار ﺑـﻮده و ﻋـﻼوه ﺑـﺮ آن در ﺑﺮاﺑـﺮ ﻋﻮاﻣـﻞ‬

‫ﻣﺤﻴﻄﻲ ﻣﻘﺎوم ﺑﺎﺷﺪ‪ .‬ﺑﻌﺪ از ﻧﻮﺷﺘﻦ ﺑﺎ ﺟﻮﻫﺮ ﺑﺎﻳﺪ آن را در ﻣﺤﻴﻄﻲ ﺧﺸﻚ ﻛـﺮد‪ ،‬از اﻳـﻦ رو آن را در‬

‫ﻛﻮرهي ﺧﺸﻚﻛﻨﻨﺪه‪ ،‬در دﻣﺎي اﺗﺎق و ﺑﺎ ﺟﺮﻳﺎن ﻫﻮا و ﻳﺎ ﺑﻪ وﺳﻴﻠﻪ ﻧﻮر ﻓﺮا ﺑﻨﻔﺶ ﺧﺸﻚ ﻣﻲﻛﻨﻨﺪ‪.‬‬

‫ﺣﻜﺎﻛﻲ ﺑﺎ ﻟﻴﺰر ﺑﺮاي ﺑﺴﺘﻪﻫﺎي ﭘﻼﺳﺘﻴﻜﻲ ﻣﻨﺎﺳﺐ اﺳﺖ‪ .‬اﺳﺘﻔﺎده از اﻳﻦ روش ﻋـﻼوه ﺑـﺮ ﻣﺎﻧـﺪﮔﺎري‬

‫زﻳﺎدﺗﺮ ﻧﺴﺒﺖ ﺑﻪ ﺟﻮﻫﺮ‪ ،‬ﺑﺎﻋﺚ ﻣﻲﺷﻮد ﻛﻪ ﺑﺘﻮاﻧﻴﻢ در ﺑﺴﺘﻪﻫﺎي ﺑﺎ زﻣﻴﻨﻪ ﺗﻴﺮه‪ ،‬ﻧﻮﺷـﺘﻪﻫـﺎي روﺷـﻨﻲ‬

‫داﺷﺘﻪ ﺑﺎﺷﻴﻢ‪ .‬ﻋﻼوه ﺑﺮ اﻳﻦ ﻧﺸﺎﻧﻪﮔﺬاري ﺑﺎ ﻟﻴﺰر ﺧﻴﻠﻲ ﺳﺮﻳﻊ اﺳﺖ و در ﺣﻴﻦ اﻳﻦ ﻋﻤـﻞ‪ ،‬آﻟـﻮدﮔﻲ و‬

‫ﻣﻮاد اﺿﺎﻓﻲ وارد ﺑﺴﺘﻪ ﻧﻤﻲﺷﻮد و ﻧﻴﺎزي ﺑﻪ ﻣﺮﺣﻠﻪ اﺿﺎﻓﻲ ﺟﻬﺖ ﺧﺸﻚ ﻛﺮدن ﻧﻴﺴﺖ‪ .‬اﻣﺎ ﻟﻴﺰر اﻳـﻦ‬

‫ﻣﺸﻜﻞ را دارد ﻛﻪ اﮔﺮ در ﺣﻴﻦ ﻧﺸﺎﻧﻪﮔﺬاري اﺷﺘﺒﺎﻫﻲ رخ دﻫﺪ و ﻳﺎ اﻳﻨﻜﻪ ﺑﺨـﻮاﻫﻴﻢ ﺷـﻤﺎرهﮔـﺬاري‬

‫اﺻﻼح ﮔﺮدد‪ ،‬ﺗﻐﻴﻴﺮات ﻣﺸﻜﻞ ﺧﻮاﻫﺪ ﺑﻮد‪ .‬ﺑﺪون ﺗﻮﺟﻪ ﺑﻪ روش ﺷﻤﺎرهﮔﺬاري‪ ،‬ﺗﻤﺎم ﺷﻤﺎرهﮔﺬاريﻫﺎ‪،‬‬

‫ﺧﺼﻮﺻﺎً در ﻣﺤﻴﻂﻫﺎي ﺧﺸﻦ‪ ،‬ﺑﺎﻳﺴﺘﻲ ﭘﺎﻳﺪار ﺑﻮده و ﻋﻼوه ﺑﺮ آن ﺧﻮاﻧﺎ ﺑﺎﺷﻨﺪ‪ .‬ﺷﻜﻞ )‪.(24- 5‬‬

‫ﺷﻜﻞ ‪ .24- 5‬اﻧﻮاع ﺟﻮﻫﺮﻫﺎ و ﻣﺎﺷﻴﻦ ﻟﻴﺰري‪.‬‬


‫‪483‬‬
‫‪ - 15- 5- 12‬ﺗﺴﺖ ﻧﻬﺎﻳﻲ )‪:(Final test‬‬

‫در اﻧﺘﻬﺎي ﻣﺮاﺣﻞ ﺑﺴﺘﻪﺑﻨﺪي‪ ،‬ﺑﺴﺘﻪي آﻣﺎده ﺷﺪه ﺗﺤﺖ ﻳﻚ ﺳﺮي ﺗﺴﺖﻫﺎي ﻣﺤﻴﻄﻲ‪ ،‬اﻟﻜﺘﺮﻳﻜـﻲ و‬

‫‪ ...‬ﻗﺮار ﻣﻲﮔﻴﺮد‪ .‬اﻳﻦ ﺗﺴﺖﻫﺎ ﺑﺴﺘﻪ ﺑﻪ ﻧﻮع ﺧﺮﻳﺪار و ﻧﻮع اﺳﺘﻔﺎده ﻣﺘﻔﺎوﺗﻨﺪ‪ .‬اﻳﻦ ﺗﺴـﺖﻫـﺎ ﻣﻤﻜـﻦ‬

‫اﺳﺖ روي ﻫﻤﻪي ﺑﺴﺘﻪﻫﺎ‪ ،‬ﺗﻌﺪاد زﻳﺎدي و ﻳﺎ اﻧﺪﻛﻲ از آﻧﻬﺎ اﻧﺠﺎم ﮔﻴﺮد‪ .‬از ﺟﻤﻠﻪ اﻳﻦ ﺗﺴﺖﻫﺎ ﻣﻲﺗﻮان‬

‫ﺑﻪ ﺗﺴﺖ ﺣﺮارت‪ ،‬ﺗﺴﺖ ﺷﺘﺎب و ﺳﺮﻋﺖ‪ ،‬ﺗﺴﺖ ﻧﺸﺘﻲ‪ ،‬ﺗﺴﺖ اﻟﻜﺘﺮﻳﻜﻲ و ﺗﺴﺖ ﺿﺮﺑﻪ اﺷﺎره ﻛﺮد‪.‬‬

‫ﻃﺮاﺣﻲﻫﺎي ﺑﺴﺘﻪﺑﻨﺪي ) ‪:(package design‬‬ ‫‪-6-12‬‬


‫در اﻳﻦ ﻗﺴﻤﺖ ﻳﻚ ﻧﮕﺎه ﻛﻠﻲ ﺑﻪ ﻛﻠﻴﻪ ﻃﺮحﻫﺎي ﺑﺴﺘﻪ ﺑﻨﺪي از ﻧﻈﺮ ﺷﻜﻞ ﻇﺎﻫﺮي ﻣﻲﻛﻨﻴﻢ‪.‬‬

‫‪ - 1- 6- 12‬ﭘﻮﺷﺶﻫﺎي ﻓﻠﺰي )‪:(Metal cans‬‬

‫ﻣﻌﻤﻮﻻً ﺑﺮاي ﻣﺪارات ﻣﺠﺰا و ﻣﺪارات ﻣﺠﺘﻤﻊ در اﻧﺪازهﻫـﺎي ﻛﻮﭼـﻚ اﺳـﺘﻔﺎده ﻣـﻲﺷـﻮد و از ﻧـﻮع‬

‫ﻫﺮﻣﺎﺗﻴﻚ اﺳﺖ‪) .‬ﺷﻜﻞ ‪.(1- 6‬‬

‫ﺷﻜﻞ ‪.1- 6‬‬

‫‪484‬‬
‫‪ Dual in-line package - 2- 6- 12‬ﻳﺎ ﺑﺴﺘﻪ ﺑﻨﺪيﻫﺎي دو ردﻳﻔﻲ )‪:(DIPS‬‬

‫اﻛﺜﺮ ﺧﺎﻧﻮاده ﻫﺎي ﺑﺴﺘﻪ ﺑﻨﺪي ﺑﺎ اﻳﻦ روش )‪ ،(DIPS‬اﻧﺠﺎم ﻣﻲﺷﻮد‪ .‬در اﻳـﻦ روش دو ردﻳـﻒ ﭘﺎﻳـﻪ از‬

‫ﺑﺴﺘﻪ ﺑﻨﺪي ﺧﺎرج ﻣﻲﺷﻮد‪.‬‬

‫روش ‪ DIPS‬ﺑﺎ ﺳﻪ ﺗﻜﻨﻴﻚ ﺳﺎﺧﺘﻪ ﻣﻲﺷﻮد ‪) :‬ﺷﻜﻞ ‪.(2- 6‬‬

‫اﻟﻒ ﺳﺮاﻣﻴﻚ ﭘﻴﺶ ﺳﺎﺧﺘﻪ‪.‬‬

‫ب ﺳﺮاﻣﻴﻜﻲ‪.‬‬

‫ج ﺑﺪﻧﻪ ﭘﻼﺳﺘﻴﻚ‪.‬‬

‫ﺷﻜﻞ ‪.2- 6‬‬

‫‪:Thin Packages - 3- 6- 12‬‬

‫اﻳﻦ روش در ﺟﺎﻫﺎﻳﻲ ﻛﻪ ارﺗﻔﺎع ﺑﺴﺘﻪ ﺑﻨﺪي ﻣﻮرد ﺗﻮﺟﻪ اﺳﺖ )ﻣﺎﻧﻨﺪ ﻫﻮاﭘﻴﻤﺎ‪ ،‬ﻣﺎﺷﻴﻦ ﺣﺴـﺎبﻫـﺎي‬

‫ورﻗﻪاي و ‪ (...‬ﻣﻮرد اﺳﺘﻔﺎده ﻗﺮار ﻣﻲﮔﻴﺮد‪) .‬ﺷﻜﻞ ‪(3- 6‬‬

‫‪485‬‬
‫ﺷﻜﻞ ‪.3- 6‬‬

‫‪ pin grid arrays - 4- 6- 12‬ﻳﺎ آراﻳﻪﻫﺎي ﭘﺎﻳﻪ ﻣﺸﺒﻚ‪:‬‬

‫در ﭼﻴﭗﻫﺎي ﺑﺰرﮔﺘﺮ ﺑﺎ ﭘﺎﻳﻪﻫﺎي ﺑﻴﺸﺘﺮ ﺗﺮﻛﻴﺐ ‪ DIP‬ﺑﺰرﮔﺘﺮ ﻣﻲﺷﻮد‪ .‬ﺑﺮاي رﻓﻊ اﻳﻦ ﻣﺸﻜﻞ ﻣـﺪارﻫﺎي‬

‫ﻣﺠﺘﻤﻊ را از ﭼﻬﺎر ﻃﺮف ﺧﺎرج ﻣﻲﻛﻨﻴﻢ‪ (pin grid arrays) .‬ﻳﻚ ﺑﺴﺘﻪ ﺑﻨﺪي ﺳـﺮاﻣﻴﻚ اﺳـﺖ ﻛـﻪ‬

‫ﺑﺮاي ﭼﻴﭗ ﻫﺎي ﺑﺰرگ ﻃﺮاﺣﻲ ﺷﺪه اﺳﺖ‪ .‬اﻳﻦ ﺑﺴﺘﻪﺑﻨﺪي ﻳﻚ ﭘﻮﺷﺶ ﻫﺮﻣﺎﺗﻴـﻚ اﻳﺠـﺎد ﻣـﻲﻛﻨـﺪ‪.‬‬

‫)ﺷﻜﻞ ‪.(4- 6‬‬

‫‪486‬‬
‫ﺷﻜﻞ ‪Pin grid array .4- 6‬‬

‫‪ Quad packages - 5- 6- 12‬ﻳﺎ ﺑﺴﺘﻪ ﺑﻨﺪي ﭼﻬﺎر ﮔﻮش‪:‬‬

‫ﺑﺴﺘﻪ ﺑﻨﺪي ﺑﺎ ﭘﻮﺷﺶ ﺳﺮاﻣﻴﻚ ﻧﺴﺒﺖ ﺑﻪ ﭘﻮﺷﺶ ‪ epoxy‬ﮔﺮان ﺗﻤﺎم ﻣﻲﺷﻮد؛ ﻟﺬا ﺑﺮاي ﭼﻴـﭗﻫـﺎي‬

‫ﺑﺰرگ از روش ﺑﺴﺘﻪ ﺑﻨﺪي ﭼﻬﺎر ﮔﻮش ﺑﺎ ﭘﻮﺷﺶ ‪ epoxy‬اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪) .‬ﺷﻜﻞ ‪.(5- 6‬‬

‫ﺷﻜﻞ ‪Quad packages .5- 6‬‬

‫‪487‬‬
‫‪:Surface – Mount Devices SMD - 6- 6- 12‬‬

‫دو روش ﺑﺮاي ﻗﺮار دادن ﺑﺴﺘﻪ ﺑﻨﺪي ﻧﻴﻤﻪ ﻫﺎدي روي ﺑـﺮد ﻣـﺪار ﭼـﺎﭘﻲ وﺟـﻮد دارد‪ .‬در روش اول‬

‫ﻟﻴﺪﻫﺎ درون ﺳﻮراخ ﻫﺎي ﺑﺮد ﻣﺪار ﭼﺎﭘﻲ ﻗﺮار ﻣﻲﮔﻴﺮد‪ ،‬و ﻟﺤﻴﻢ ﻛـﺎري ﻣـﻲﺷـﻮد‪ .‬در روش ﺟﺪﻳـﺪﺗﺮ‬

‫)‪ (SMD‬ﺑﺮاي ﺑﺴﺘﻪ ﺑﻨﺪي‪ ،‬ﻧﻴﻤﻪ ﻫﺎدي ﭘﺎﻳﻪ ﻫﺎي ﺗﺮاﺷﻪ ﺑﻪ ﺻﻮرت ﺣﺮف ‪ j‬ﺧﻢ ﺷﺪه و روي ﺳﻄﺢ ﻣﺪار‬

‫ﭼﺎﭘﻲ ﻗﺮار ﻣﻲﮔﻴﺮد‪ ،‬و ﻋﻤﻞ ﻟﺤﻴﻢ ﻛﺎري ﺑﺮ روي ﺑـﺮد ﻣـﺪار ﭼـﺎﭘﻲ اﻧﺠـﺎم ﻣـﻲﺷـﻮد؛ و ﻧﻴـﺎزي ﺑـﻪ‬

‫ﺳﻮراﺧﻜﺎري ﻧﻴﺴﺖ‪ .‬ﺑﻌﻀﻲ از ‪ SMD‬ﻫﺎ ﺑﻪ اﺗﺼﺎﻻت ﻓﻠﺰي روي ﺑﺪﻧﻪ‪ ،‬ﺑﺴﺘﻪ ﺑﻨﺪي ﺧﺘﻢ ﺷـﺪه و ﭘﺎﻳـﻪ‬

‫ﻓﻠﺰي ﺑﺮاي ﻟﺤﻴﻢ ﻛﺎري ﻧﺪارﻧﺪ‪ .‬آﻧﻬﺎ ﺑﻪ ﺑﺴﺘﻪ ﺑﻨﺪي ﺑﺪون ﭘﺎﻳﻪ ﻣﺸﻬﻮرﻧﺪ‪ .‬ﺑﺮاي اﺗﺼﺎل اﻳﻦ ﺗﺮاﺷﻪﻫﺎ ﺑﻪ‬

‫ﺑﺮد از واﺳﻄﻪﻫﺎﻳﻲ ﺗﺤﺖ ﻋﻨﻮان ‪ chip carrier‬اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪) .‬ﺷﻜﻞ ‪.(6-6‬‬

‫ﺷﻜﻞ ‪SMD .6- 6‬‬

‫ﺑﺎزار ﺑﺴﺘﻪﺑﻨﺪي )‪:(Market research‬‬ ‫‪-7-12‬‬


‫ﺑﺎزار ﺟﻬﺎﻧﻲ ﺑﺴﺘﻪﺑﻨﺪي از ﺳﺎل ‪ 2002‬ﺗﺎ ‪ 2006‬داراي رﺷﺪ ﺳﺎﻟﻴﺎﻧﻪ ‪ 7/9‬درﺻﺪ ﺑﻮده اﺳﺖ‪ .‬اﻧﺘﻈﺎر ﻣﻲرود اﻳﻦ‪.‬‬

‫ﺑﺎزار در ﺳﺎل ‪ 2007‬ﺑﻪ ‪ 20‬ﻣﻴﻠﻴﺎرد دﻻر ﺑﺮﺳﺪ‪.‬‬

‫‪488‬‬
‫ﺷﻜﻞ ‪ .1- 7‬ﻧﻤﻮدار ﺑﺎزار ﻣﺮﺑﻮط ﺑﻪ ﺑﺴﺘﻪﺑﻨﺪي‪.‬‬

‫‪BGA‬‬
‫ﻫﺎ در‬

‫ﺳﺎل‬

‫‪2003‬‬

‫ﺑﻴﺸﺘﺮﻳﻦ ﺑﺎزار را ﺑﻪ ﺧﻮد اﺧﺘﺼﺎص دادﻧﺪ ﺑﺎ ‪ 3/ 67‬ﺑﻴﻠﻴﻮن دﻻر و از ﺳﺎل ‪ 2002‬ﺗﺎ ‪ 2007‬ﺷﺎﻫﺪ رﺷﺪ‬

‫‪ 13/36‬درﺻﺪي آن ﺑﻮدﻳﻢ ‪.‬‬

‫ﺑﺴﺘﻪ ﺑﻨﺪيﻫﺎي ‪ SO‬دوﻣﻴﻦ ﺑﺎزار ﺗﺠﺎري را ﺑﺎ ‪ 3/ 56‬ﺑﻴﻠﻴﻮن دﻻر در ﺳﺎل ‪ 2003‬داﺷﺘﻨﺪ اﻣﺎ رﺷﺪ‬

‫ﺳﺎﻟﻴﺎﻧﻪ آن از ﺳﺎل ‪ 2002‬ﺗﺎ ‪ 2007‬ﭼﻴﺰي ﺣﺪود ‪ 3 /2‬درﺻﺪ ﺑﻮده اﺳﺖ ‪.‬‬

‫ﺑﺴﺘﻪﻫﺎي ‪QFB‬ﺟﺎﻳﮕﺎه ﺳﻮم را در ﺳﺎل ‪ 2003‬داﺷﺘﻨﺪ ﺑﺎ ‪ 2/ 88‬ﺑﻴﻠﻴﻮن دﻻر ﻛﻪ رﺷﺪ ﺳﺎﻟﻴﺎﻧﻪ آن‬

‫‪ 4/77‬درﺻﺪ از ﺳﺎل ‪ 2002‬ﺗﺎ ‪ 2007‬ﺑﻮد ‪.‬‬

‫ﺑﻴﺸﺘﺮﻳﻦ رﺷﺪ در ﻓﺎﺻﻠﻪ ﺳﺎﻟﻬﺎي ‪ 2002‬ﺗﺎ ‪ 2007‬ﻣﺮﺑﻮط ﺑﻪ ‪Chip-scale packages (CSPs).‬‬

‫ﺑﻮد ﺑﺎ رﺷﺪ ﺳﺎﻟﻴﺎﻧﻪ ‪ 17/58‬درﺻﺪ ‪.‬‬

‫اﻣﺎ ‪ DIP‬ﺑﺎ ﺳﺎﻟﻴﺎﻧﻪ ‪ 1/44‬درﺻﺪ در ﺑﺎزار ﺗﺠﺎري ﺑﻴﻦ ﺳﺎﻟﻬﺎي ‪ 2002‬ﺗﺎ ‪ 2007‬ﻛﺎﻫﺶ ﻳﺎﻓﺖ ‪.‬‬

‫ﺟﺪول زﻳﺮ ﺗﺨﻤﻴﻨﻲ از ﺳﺮﻣﺎﻳﻪ ﮔﺬاري روي ﺑﺤﺚ ﺑﺴﺘﻪ ﺑﻨﺪي در ﺳﺎل ‪ 2006‬و ﭘﻴﺶ ﺑﻴﻨﻲ ﺗﺎ ﺳﺎل‬

‫‪ 2010‬را ﻧﺸﺎن ﻣﻲدﻫﺪ ﻛﻪ اﻫﻤﻴﺖ اﻳﻦ ﺑﺤﺚ را ﺑﻪ ﺧﻮﺑﻲ ﻧﺸﺎن ﻣﻲدﻫﺪ‪.‬‬

‫‪489‬‬
‫‪Packaging‬در رﻳﺰ ﺳﻴﺴﺘﻢﻫﺎ ي ﻗﺎﺑﻞ ﻛﺎﺷﺖ در ﺑﺪن‬ ‫‪-8-12‬‬
‫)‪(Packaging in Implantable Biomedical Microsystems‬‬

‫آ‪ :‬روشﻫﺎي ﻣﻮرد اﺳﺘﻔﺎده در ‪ Packaging‬رﻳﺰ ﺳﻴﺴﺘﻢﻫﺎي ﻗﺎﺑﻞ ﻛﺎﺷﺖ ‪:‬‬

‫ﺑﻪ ﻃﻮر ﻛﻠﻲ دو روش ﺑﺮاي ‪ Packaging‬ﻣﻴﻜﺮوﺳﻴﺴﺘﻢﻫﺎي ﻗﺎﺑﻞ ﻛﺎﺷﺖ در ﺑﺪن ﭘﻴﺸﻨﻬﺎد ﺷﺪه‬

‫اﺳﺖ‪:‬‬

‫روش اول ﺑﻪ ﺻﻮرت ﺗﺸﻜﻴﻞ ﻳﻚ ﻣﺤﻔﻈﻪ ﻳﺎ ﻛﭙﺴﻮل اﺳﺖ ﻛﻪ ﻣﻲﺗﻮاﻧﺪ ﺑﻪ ﺑﺴﺘﺮ ﻗﻄﻌﻪ ﻣﺘﺼﻞ ﺷﻮد و‬

‫وﻇﻴﻔﻪي ﺟﺪاﺳﺎزي از ﻣﺤﻴﻂ اﻃﺮاف را ﺑﻪ اﻧﺠﺎم رﺳﺎﻧﺪ‪ .‬اﻳﻦ روش در ﺷﻜﻞ زﻳﺮ ﺑﻪ ﻧﻤﺎﻳﺶ در آﻣﺪه‬

‫اﺳﺖ ]‪:[3‬‬

‫‪490‬‬
‫ﺷﻜﻞ آ ‪Packaging .1-‬ﺑﺎ اﺳﺘﻔﺎده از ﻛﭙﺴﻮلﻫﺎي ‪.Bounded‬‬

‫روش دوم ﺑﻪ ﺻﻮرت اﺳﺘﻔﺎده از ﻳﻚ ﻣﺎدهي ﻻﻳﻪي ﻧﺎزك اﺳﺖ ﻛﻪ ﻧﻘﺎﻃﻲ را ﻛﻪ ﻻزم اﺳﺖ از آﻧﻬﺎ‬

‫ﻣﺤﺎﻓﻈﺖ ﺷﻮد‪ ،‬ﭘﻮﺷﺶ ﺧﻮاﻫﺪ داد و ﺑﺮاي ﻧﻘﺎﻃﻲ ﻛﻪ ﺑﻪ اﺗﺼﺎل ﺑﻪ ﻣﺤﻴﻂ اﻃﺮاف ﻧﻴﺎز دارﻧﺪ اﻣﻜﺎن‬

‫ﺗﺮاﻛﻨﺶ ﺑﺎ ﻣﺤﻴﻂ را ﻓﺮاﻫﻢ ﺧﻮاﻫﺪ ﻛﺮد‪ .‬در ﺷﻜﻞ زﻳﺮ اﻳﻦ روش ﺑﻪ ﻧﻤﺎﻳﺶ در آﻣﺪه اﺳﺖ ]‪:[3‬‬

‫ﺷﻜﻞ آ ‪ Packaging .2-‬ﺑﺎ اﺳﺘﻔﺎده از ﻻﻳﻪﻫﺎي ﻧﺎزك‪.‬‬

‫‪ Packaging‬ﺑﺎ اﺳﺘﻔﺎده از ﻛﭙﺴﻮلﻫﺎي ‪:Bounded‬‬

‫ﻣﻌﻤﻮلﺗﺮﻳﻦ و ﻋﻤﻮﻣﻲﺗﺮﻳﻦ راهﺣﻞ ﺑﺮاي ﺑﺴﺘﻪﺑﻨﺪي رﻳﺰ ﺳﻴﺴﺘﻢﻫﺎي ﻗﺎﺑﻞ ﻛﺎﺷﺖ ﻧﻴﺎزﻣﻨﺪ ﻳﻚ‬

‫ﻣﺤﻔﻈﻪ ﻳﺎ ﻛﭙﺴﻮل ﺑﺴﺘﻪ اﺳﺖ ﻛﻪ ﺑﺘﻮاﻧﺪ ﻣﺎﻧﻨﺪ ﺷﻜﻞ زﻳﺮ ﺑﻪ ﺑﺴﺘﺮ ﺷﺎﻣﻞ ﻗﻄﻌﺎت اﻟﻜﺘﺮوﻧﻴﻜﻲ ﻳﺎ‬

‫ﺳﻨﺴﻮرﻫﺎ ﻣﺘﺼﻞ ﺷﻮد ]‪.[4‬‬

‫‪491‬‬
‫ﺷﻜﻞ آ ‪ .3-‬اﺗﺼﺎل ﻛﭙﺴﻮل ﺑﻪ ﺑﺴﺘﺮ ﻳﻚ ﺳﻴﺴﺘﻢ ﻣﻴﻜﺮو اﻟﻜﺘﺮوﻧﻴﻜﻲ ‪.‬‬

‫اﺗﺼﺎل ﻛﭙﺴﻮل ﺑﺴﺘﻪ ﺑﻪ ﺑﺪﻧﻪ ﻣﻲﺗﻮاﻧﺪ ﺑﺎ ﺗﻜﻨﻴﻚﻫﺎي ﮔﻮﻧﺎﮔﻮﻧﻲ ﭘﻴﺎدهﺳﺎزي ﺷﻮد ﻛﻪ در ﻛﻪ دو ﻧﻤﻮﻧﻪ‬

‫از اﻳﻦ روشﻫﺎ در اﻳﻨﺠﺎ ﺑﺮرﺳﻲ ﺧﻮاﻫﻨﺪ ﺷﺪ‪ .‬ﺳﺎﻳﺮ ﻛﺎرﺑﺮدﻫﺎ ﻧﻴﺰ ﻣﻲﺗﻮاﻧﻨﺪ ﻣﻮرد اﺳﺘﻔﺎده ﻗﺮار ﮔﻴﺮﻧﺪ‬

‫اﻣﺎ دﻣﺎي ﻣﻮرد ﻧﻴﺎز ﺑﺮاي اﺗﺼﺎل ﻳﺎ ﻣﻮاد ﻣﻮرد اﺳﺘﻔﺎده ﻛﺎرﺑﺮد آﻧﻬﺎ را ﻣﺤﺪود ﻣﻲﻛﻨﻨﺪ ‪.‬‬

‫اﺗﺼﺎل آﻧﺪي ﻛﭙﺴﻮل ﺑﻪ ﺑﺪﻧﻪ ‪:‬‬

‫ﻳﻜﻲ از ﺗﻜﻨﻴﻚﻫﺎي ﺑﻪ ﻛﺎر ﮔﺮﻓﺘﻪ ﺷﺪه ﺑﺮاي اﺗﺼﺎل ﻣﺤﻔﻈﻪ ﺑﻪ ﺑﺪﻧﻪ‪ ،‬اﺗﺼﺎل از ﻃﺮﻳﻖ ﻓﺮآﻳﻨﺪي ﺑﻪ ﻧﺎم‬

‫اﺗﺼﺎل اﻟﻜﺘﺮوﺳﺘﺎﺗﻴﻚ ﻳﺎ آﻧﺪي اﺳﺖ‪ .‬ﺑﻪ ﻃﻮر ﻛﻠﻲ اﺗﺼﺎل آﻧﺪي ﻛﭙﺴﻮل ﺑﻪ ﺑﺪﻧﻪ را ﻣﻲﺗﻮان ﺑﻪ ﺻﻮرت‬

‫ﺑﻪﻛﺎرﮔﻴﺮي ﻳﻚ وﻟﺘﺎژ اﻟﻜﺘﺮوﺳﺘﺎﺗﻴﻜﻲ ﺑﺎﻻ و اﻋﻤﺎل ﺷﺮاﻳﻂ دﻣﺎﻳﻲ ﻣﻨﺎﺳﺐ ﺑﺮاي اﺗﺼﺎل دو ﺳﻄﺢ ﺑﻪ‬

‫ﻳﻜﺪﻳﮕﺮ ﺗﻌﺮﻳﻒ ﻛﺮد‪ .‬اﻋﻤﺎل وﻟﺘﺎژ ﺑﺎﻻ و ﻓﺮاﻫﻢ آوردن ﺷﺮاﻳﻂ دﻣﺎﻳﻲ ﻣﻨﺎﺳﺐ‪ ،‬ﺑﺎﻋﺚ اﻳﺠﺎد ﻳﻚ ﭘﻴﻮﻧﺪ‬

‫ﺷﻴﻤﻴﺎﻳﻲ ﻣﺴﺘﺤﻜﻢ ﺑﻴﻦ دو ﺳﻄﺢ و ﭘﻴﻮﻧﺪ ﺧﻮردن آﻧﻬﺎ ﺑﻪ ﻳﻜﺪﻳﮕﺮ ﺧﻮاﻫﺪ ﺷﺪ‪ .‬ﻳﻜﻲ از ﻣﻮﻓﻖﺗﺮﻳﻦ‬

‫ﻧﻤﻮﻧﻪﻫﺎي ﺑﻪﻛﺎرﮔﻴﺮي اﻳﻦ روش اﺗﺼﺎل ﻛﭙﺴﻮل ﺷﻴﺸﻪاي از ﻧﻮع ﭘﻴﺮﻛﺲ ‪ 7440‬ﺑﻪ ﺑﺴﺘﺮ ﺳﻴﻠﻴﻜﻮﻧﻲ‬

‫ﺑﺮاي ‪ Package‬ﻛﺮدن ﻳﻚ رﻳﺰ ﻣﺤﺮك اﺳﺖ ‪.‬‬

‫ﺑﺮاي اﻳﻦ ﻛﺎر اﺑﺘﺪا ﺳﺎﺧﺘﺎر ﻣﺘﺸﻜﻞ از ﺑﺴﺘﺮ ﺳﻴﻠﻴﻜﻮﻧﻲ و ﺷﻴﺸﻪي ﭘﻴﺮﻛﺲ را روي ﻳﻚ ﺻﻔﺤﻪي‬

‫ﻓﻠﺰي داغ ﻗﺮار ﻣﻲدﻫﻨﺪ‪ .‬اﻟﻜﺘﺮود ﻓﻠﺰي دﻳﮕﺮي ﻧﻴﺰ ﺑﺮ روي ﺷﻴﺸﻪ ﻗﺮار داده ﺧﻮاﻫﺪ ﺷﺪ ‪ .‬اﻋﻤﺎل‬

‫وﻟﺘﺎژ اﻟﻜﺘﺮوﺳﺘﺎﺗﻴﻜﻲ و ﺑﺎﻻ ﺑﺮدن دﻣﺎ ﺗﺎ دﻣﺎي ‪ 400‬درﺟﻪ ﺑﺎﻋﺚ ﺧﻮاﻫﺪ ﺷﺪ ﻳﻮنﻫﺎي ﺳﺪﻳﻢ ﻣﻮﺟﻮد‬
‫‪492‬‬
‫در ﺷﻴﺸﻪ از ﻣﺮز ﻣﺸﺘﺮك ﺑﻴﻦ ﺷﻴﺸﻪ و ﺳﻴﻠﻴﻜﻮن ﺑﻪ ﺳﻤﺖ اﻟﻜﺘﺮود ﺑﺎﻻﻳﻲ ﺣﺮﻛﺖ ﻛﻨﻨﺪ‪ ،‬ﺑﺎ اﻳﻦ ﻛﺎر‬

‫ﻳﻮنﻫﺎي اﻛﺴﻴﮋن ﻣﻮﺟﻮد در ﻣﺮز ﻣﺸﺘﺮك ﺑﺎ اﺗﻢﻫﺎي ﺳﻴﻠﻴﺴﻴﻮم واﻛﻨﺶ ﻧﺸﺎن داده و ﭘﻴﻮﻧﺪ‬

‫ﻣﺴﺘﺤﻜﻢ ‪ SiO 2‬را ﺑﻪ وﺟﻮد ﺧﻮاﻫﻨﺪ آورد ﻛﻪ ﺑﺎﻋﺚ اﻳﺠﺎد اﺗﺼﺎل ﺑﻴﻦ ﺷﻴﺸﻪ و ﺳﻴﻠﻴﻜﻮن ﺧﻮاﻫﺪ‬

‫ﺷﺪ‪ .‬در ﺷﻜﻞ زﻳﺮ ﻓﺮآﻳﻨﺪ ﻓﻮق ﺑﻪ ﻧﻤﺎﻳﺶ در آﻣﺪه اﺳﺖ ]‪: [6] ,[5‬‬

‫ﺷﻜﻞ آ ‪ .4-‬ﻣﻜﺎﻧﻴﺰم اﺗﺼﺎل و اﻳﺠﺎد ﭘﻴﻮﻧﺪ ﺷﻴﻤﻴﺎﻳﻲ ﺑﻴﻦ ﺷﻴﺸﻪ و ﺳﻴﻠﻴﻜﻮن‪.‬‬

‫ﺷﻜﻞ آ ‪ .5-‬ﻣﺤﻞ اﺗﺼﺎل ﺷﻴﺸﻪ و ﺳﻴﻠﻴﻜﻮن ‪.‬‬

‫‪493‬‬
‫ﻧﻤﻮﻧﻪاي از اﻳﻦ اﺗﺼﺎل ﺑﺮاي ﺳﺎﺧﺖ ﻳﻚ ‪ package‬ﻣﻨﺎﺳﺐ ﺑﺮاي ﻳﻚ رﻳ ﺰ ﻣﺤﺮك در ﺷﻜﻞ زﻳﺮ ﺑﻪ‬

‫ﻧﻤﺎﻳﺶ در آﻣﺪه اﺳﺖ ‪[5].‬‬

‫ﺷﻜﻞ آ ‪ .6-‬اﺗﺼﺎل ﺑﻴﻦ ﺷﻴﺸﻪ و ﺳﻴﻠﻴﻜﻮن ﺑﺮاي ‪ Packaging‬ﻳﻚ رﻳﺰ ﻣﺤﺮك در ﺳﻴﺴﺘﻢﻫﺎي ﻗﺎﺑﻞ‬

‫ﻛﺎﺷﺖ در ﺑﺪن‪.‬‬

‫در رﻳﺰ ﻣﺤﺮك ﻓﻮق ﻫﻤﺎﻧﮕﻮﻧﻪ ﻛﻪ در ﺷﻜﻞ ﻧﺸﺎن داده ﺷﺪه اﺳﺖ‪ package ،‬ﺑﻪ وﺳﻴﻠﻪي اﺗﺼﺎل‬

‫آﻧﺪي ﻳﻚ ﻣﺤﻔﻈﻪي ﺷﻴﺸﻪاي از ﺟﻨﺲ ‪ Pyrex #7740‬ﺑﻪ ﻳﻚ ﻻﻳﻪي ﭘﻠﻲ ﺳﻴﻠﻴﻜﻮن اﻳﺠﺎد ﺷﺪه‬

‫اﺳﺖ‪ .‬ﻫﻤﺎﻧﮕﻮﻧﻪ ﻛﻪ در ﺷﻜﻞ زﻳﺮ ﻧﺸﺎن داده ﺷﺪه اﺳﺖ‪ ،‬ﺑﺮاي اﻳﺠﺎد ﻳﻚ اﺗﺼﺎل آﻧﺪي ﻫﺮ دو ﺳﻄﺢ‬

‫ﺑﺎﻳﺪ ﻛﺎﻣ ﻼً ﺻﺎف ﺑﺎﺷﻨﺪ و اﺗﺼﺎﻻت ﻣﻮﺟﻮد ﺑﻴﻦ درون و ﺑﻴﺮون ‪package‬ﻧﻴﺰ ﺑﺎﻳﺪ ﺑﻪ ﻃﻮر ﻛﺎﻣﻞ‬

‫ﻣﺴﻄﺢ ﺑﺎﺷﻨﺪ‪ .‬ﺑﺪﻳﻦ ﻣﻨﻈﻮر از اﺗﺼﺎﻻت ‪ 1‬ﻣﻴﻜﺮوﻣﺘﺮي ﭘﻠﻲ ﺳﻴﻠ ﻴﻜﻮن ﺑﺎ آﻻﻳﺶ ﺑﺎﻻي ﻓﺴﻔﺮ اﺳﺘﻔﺎده‬

‫ﺷﺪه اﺳﺖ‪ .‬ﭘﺲ از اﻳﺠﺎد اﺗﺼﺎﻻت‪ ،‬ﻳﻚ ﻻﻳﻪي ﺿﺨﻴﻢ ‪ 2‬ﻣﻴﻜﺮوﻣﺘﺮي از ﺷﻴﺸﻪي‬

‫‪ ،(PSG ) ،phosphosilicate‬ﻻﻳﻪﻧﺸﺎﻧﻲ ﻣﻲﺷﻮد‪ PSG .‬ﺑﺎﻋﺚ ﺧﻮاﻫﺪ ﺷﺪ در ﺷﺮاﻳﻂ دﻣﺎﻳﻲ ﻣﻌﻴﻦ‪،‬‬

‫‪494‬‬
‫ﻳﻚ ﺳﻄﺢ ﻛﺎﻣﻼً ﺻﺎف اﻳﺠﺎد ﺷﻮد‪ .‬ﭘﺲ از اﻳﻦ‪ ،‬ﻳﻚ ﻻﻳﻪي ‪ SiO2 / Si3 N4 / SiO2‬ﺑﻪ ﺿﺨﺎﻣﺖ‬

‫‪ 3000 A / 1500 A / 3000 A‬ﻻﻳﻪ ﻧﺸﺎﻧﻲ ﻣﻲﺷﻮد ﺗﺎ ﻳﻚ ﺳﺪ ﻧﻔﻮذ ﺑﺮاي ﻣﺤﺎﻓﻈﺖ از اﺗﺼﺎﻻت اﻳﺠﺎد‬

‫ﻛﻨﺪ‪ .‬ﻻﻳﻪي ﻣﺤﺎﻓﻆ در اﻳﻨﺠﺎ ﻻﻳﻪي ‪ Si3 N4‬اﺳﺘﻮﻛﻴﻮﻣﺘﺮﻳﻚ اﺳﺖ اﻣﺎ ﭼﻮن اﺳﺘﺮس ﺑﺎﻻﻳﻲ دارد‬

‫)ﺑﺪﻳﻦ ﻣﻌﻨﻲ ﻛﻪ در ﻓﺮآﻳﻨﺪﻫﺎي ﮔﺮم و ﺳﺮد ﻛﺮدن ﻣﺘﻮاﻟﻲ ﺗﻨﺶﻫﺎي ﻣﺸﻜﻞﺳﺎزي در آن اﻳﺠﺎد ﻣﻲ ‪-‬‬

‫ﺷﻮد( ﻻزم اﺳﺖ از دو ﻻﻳﻪي ﺟﺒﺮان ﺳﺎز اﺳﺘﺮس اﺳﺘﻔﺎده ﺷﻮد‪ ،‬اﻳﻦ ﻻﻳﻪﻫﺎي ﺟﺒﺮان ﺳﺎز ﻻﻳﻪﻫﺎي‬

‫‪ SiO 2‬ﻫﺴﺘﻨﺪ‪ .‬ﮔﺎم ﺑﻌﺪي در ﺳﺎﺧﺖ اﻳﻦ ‪ ،package‬اﻳﺠﺎد ﻳﻚ ﻻﻳﻪي ﻣﻬﻢ و ﺿﺨﻴﻢ از ﭘﻠﻲ‬

‫ﺳﻴﻠﻴﻜﻮن ﺑﻪ ﺿﺨﺎﻣﺖ ‪ 0.9µm‬اﺳﺖ‪ .‬اﻳﻦ ﻻﻳﻪ ﺑﺎﻳﺪ زﺑﺮي ﺑﺴﻴﺎر ﻛﻤﻲ داﺷﺘﻪ ﺑﺎﺷﺪ ﺗﺎ ﺑﺎ ﻛﭙﺴﻮل‬

‫ﺷﻴﺸﻪاي ﻛﻪ ﺑﻪ آن ﻣﺘﺼﻞ ﻣﻲﺷﻮد اﺗﺼﺎل ﻛﺎﻣﻠﻲ را ﺑﺮﻗﺮار ﻛﻨﺪ‪ .‬در ﻧﻬﺎﻳﺖ ﻳﻚ ﻻﻳﻪي ﻓﻠﺰي روي ﭘﻠﻲ‬

‫ﺳﻴﻠﻴﻜﻮن ﻗﺮار ﻣﻲﮔﻴﺮد ﻛﻪ اﺗﺼﺎﻻت اﻟﻜﺘﺮﻳﻜﻲ را ﺑﺮﻗﺮار ﻣﻲﻛﻨﺪ‪ .‬اﻳﻦ ﻻﻳﻪي ﺑﺎﻻﻳﻲ ﭘﻠﻲ ﺳﻴﻠﻴﻜﻮن ﺑﻪ‬

‫ﻳﻚ ﻛﭙﺴﻮل ﺷﻴﺸﻪاي ﻣﺘﺼﻞ ﻣﻲﮔﺮدد‪ .‬ﺑﺮاي ﻳﻚ اﺗﺼﺎل ﺧﻮب ﺑﺎﻳﺪ ﻳﻚ وﻟﺘﺎژ ‪ 2000‬وﻟﺘﻲ در دﻣﺎي‬

‫‪ 360‬درﺟﻪ ﺑﻪ ﻛﺎر ﮔﺮﻓﺘﻪ ﺷﻮد‪ .‬ﺑﺴﺘﻪ ﺑﻪ ﻃﻮر ﻧﺎﻣﻲ ‪ 2‬ﻣﻴﻠﻴﻤﺘﺮ ﻋﺮض‪ 2 ،‬ﻣﻴﻠﻴﻤﺘﺮ ارﺗﻔﺎع و ﭼﻨﺪﻳﻦ‬

‫ﻣﻴﻠﻴﻤﺘﺮ ﻃﻮل دارد ]‪.[7‬‬

‫ﺷﻜﻞ آ ‪ .7-‬ﻻﻳﻪﻫﺎي ﻣﺨﺘﻠﻒ ﺑﺮاي اﺗﺼﺎل ﺷﻴﺸﻪ و ﺳﻴﻠﻴﻜﻮن‪.‬‬

‫‪495‬‬
‫ﺑﻪ ﻣﻨﻈﻮر ﺗﺎﻳﻴﺪ ﻳﻚ ‪ package‬ﺑﺮاي ﻛﺎرﺑﺮدي ﺧﺎص ﻻزم اﺳﺖ آزﻣﺎﻳﺸﺎﺗﻲ ﺷﺎﻣﻞ ﻣﻮارد زﻳﺮ روي آن‬

‫ﺻﻮرت ﮔﻴﺮد ‪.‬‬

‫‪ (١‬آزﻣﺎﻳﺸﺎت اوﻟﻴﻪ )‪ (accelerated tests‬ﺑﺮاي ﺗﺨﻤﻴﻦ ﻣﺘﻮﺳﻂ زﻣﺎن ﺧﻄﺎ )‪.(MTTF‬‬

‫‪ (٢‬ﻛﻨﺘﺮل ﻧﻤﻮﻧﻪ در ﺷﺮاﻳﻂ ﭘﺎﻳﺪار ﺑﺮاي ﺗﺎﻳﻴﺪ ﻧﺘﺎﻳﺞ ﺗﺨﻤﻴﻦ ‪.‬‬

‫‪ (٣‬آزﻣﺎﻳﺶ در ﻣﺤﻴﻂﻫﺎي ﻛﺎرﺑﺮدي از ﭘﻴﺶ ﺗﻌﻴﻴﻦ ﺷﺪه ﺑﺮاي آﺷﻜﺎر ﻛﺮدن ﻫﺮ ﮔﻮﻧﻪ ﺧﻄﺎي ﻏﻴﺮ‬

‫ﻣﻨﺘﻈﺮه‪.‬‬

‫اﻳﻦ ﻣﺮاﺣﻞ آزﻣﺎﻳﺶ در ﺷﻜﻞ زﻳﺮ ﻧﻤﺎﻳﺶ داده ﺷﺪه اﺳﺖ ‪:[8].‬‬

‫ﺷﻜﻞ آ ‪ .8-‬ﻣﺮاﺣﻞ ﻣﺨﺘﻠﻒ آزﻣﺎﻳﺶ ﻳﻚ ‪.Package‬‬

‫ﺑﺴﺘﻪ ﻓﻮق در ﺗﻤﺎم ﺷﺮاﻳﻂ ﺑﺎﻻ ﻣﻮرد ﺑﺮرﺳﻲ ﻗﺮار ﮔﺮﻓﺘﻪ اﺳﺖ‪ .‬ﻧﺘﺎﻳﺞ ﺣﺎﺻﻞ در ﺟﺪول زﻳﺮ ﺑﻪ ﻧﻤﺎﻳﺶ‬

‫در آﻣﺪه اﺳﺖ‪:‬‬

‫‪496‬‬
‫ﺟﺪول ‪ .1‬ﻧﺘﺎﻳﺞ آزﻣﺎﻳﺶﻫﺎي اﻧﺠﺎم ﺷﺪه ﺑﺮ روي ‪ Package‬ﺑﺪﺳﺖ آﻣﺪه از اﺗﺼﺎل ﺷﻴﺸﻪ و‬

‫ﺳﻴﻠﻴﻜﻮن ‪.‬‬

‫آزﻣﺎﻳﺸﺎت اوﻟﻴﻪ در آبﻧﻤﻚ ﻧﺸﺎن دادﻧﺪ ﻛﻪ ‪package‬ﻣﻲﺗﻮاﻧﺪ در ﺷﺮاﻳﻂ دﻣﺎي ﺑﺪن ﺑﺮاي ﺑﻴﺶ از‬

‫ﻳﻜﺼﺪ ﺳﺎل دوام ﺑﻴﺎورد ‪ .‬ﺗﻌﺪادي از ﻧﻤﻮﻧﻪﻫﺎ در دﻣﺎي اﺗﺎق در ﺑﺮاي ﻣﺪت ‪ 9‬ﺳﺎل در آبﻧﻤﻚ ﻗﺮار‬

‫ﮔﺮﻓﺘﻨﺪ و ﻫﻴﭻﮔﻮﻧﻪ اﺛﺮي از ﺗﺨﺮﻳﺐ در آﻧﻬﺎ ﻣﺸﺎﻫﺪه ﻧﺸﺪ و اﻳﻦ ﻧﺸﺎن ﻣﻲداد آزﻣﺎﻳﺸﺎت اوﻟﻴﻪ ﻣﻌﺘﺒﺮ‬

‫ﺑﻮدﻧﺪ‪ .‬ﻫﻢﭼﻨﻴﻦ‪ ،‬اﻳﻦ ‪ package‬ﺑﺮاي دورهﻫﺎي ﺣﺪاﻛﺜﺮ دو ﺳﺎﻟﻪ در ﭼﻨﺪ ﻧﻤﻮﻧﻪي ﺣﻴﻮاﻧﻲ از ﺟﻤﻠﻪ‬

‫ﻣﻮش ﺻﺤﺮاﻳﻲ‪ ،‬ﺧﻮك ﮔﻴﻨﻪ اي و ﺳﮓ ﻛﺎﺷﺘﻪ ﺷﺪ‪ .‬ﺷﻜﻞ زﻳﺮ ﻣﻨﺎﻃﻖ ﻣﺨﺘﻠﻒ ﻛﺎﺷﺖ اﻳﻦ ‪package‬‬

‫را در ﺧﻮﻛﭽﻪي ﮔﻴﻨﻪ اي ﻧﺸﺎن ﻣﻲدﻫﺪ ]‪:[8‬‬

‫ﺷﻜﻞ آ ‪ .9-‬ﻣﺤﻞﻫﺎي ﻛﺎﺷﺖ رﻳﺰ ﻣﺤﺮك در ﺑﺪن ﻳﻚ ﺧﻮﻛﭽﻪي ﮔﻴﻨﻪ اي‪.‬‬

‫‪497‬‬
‫ﺑﺮﺧﻲ از اﻳﻦ ‪ package‬ﻫﺎ ﺷﺎﻣﻞ ﺳﻨﺴﻮرﻫﺎي رﻃﻮﺑﺖ ﺑﻮدﻧﺪ ﻛﻪ ﻣﻲﺗﻮاﻧﺴﺘﻨﺪ داﺋﻤﺎً ﻣﺎﻧﻴﺘﻮر ﺷﻮﻧﺪ ﺗﺎ‬

‫ﻋﻤﻠﻜﺮد ‪package‬ﻣﻮرد ﺑﺮرﺳﻲ ﻗﺮار ﮔﻴﺮد‪ .‬ﺗﻤﺎم آزﻣﺎﻳﺸﺎت ﻧﺸﺎن دادﻧﺪ ﻛﻪ ‪ package‬ﻧﻪ ﺗﻨﻬﺎ‬

‫ﭘﺎﻳﺪار و داراي ﻋﻤﻠﻜﺮد ﻣﻄﻠﻮب ﺑﻮده و اﺟﺎزهي ﻧﻔﻮذ رﻃﻮﺑﺖ را ﺑﻪ ﻣﺤﻔﻈﻪي ﻛﻮﭼﻚ ﻧﻤﻲدﻫﺪ ﺑﻠﻜﻪ ﺑﺎ‬

‫ﺑﺎﻓﺖ زﻧﺪه ﺗﻄﺒﻴﻖ ﭘﺬﻳﺮ اﺳﺖ و ﻫﻴﭻﮔﻮﻧﻪ آﺳﻴﺒﻲ ﺑﻪ ﺑﺎﻓﺖ اﻃﺮاف ﺧﻮد وارد ﻧﻤﻲﻛﻨﺪ‪.‬‬

‫آزﻣﺎﻳﺶﻫﺎي اوﻟﻴﻪ در ﻣﺤﻴﻂﻫﺎي ﻣﺸﺎﺑﻪ ﺑﺎ ﻣﺤﻴﻂﻫﺎي ﺑﻴﻮﻟﻮژﻳﻜﻲ ﻧﺸﺎن ﻣﻲدﻫﻨﺪ ﻛﻪ ﺣﻞ ﺷﺪن و‬

‫ﺧﻮردﮔﻲ ﻻﻳﻪي ﭘﻠﻲ ﺳﻴﻠ ﻴﻜﻮن ﻣﻲﺗﻮاﻧﺪ ﺑﻪ از ﺑﻴﻦ رﻓﺘﻦ ‪ package‬ﺑﻴﻨﺠﺎﻣﺪ‪ .‬ﻧﻤﻮﻧﻪاي از اﻳﻦ‬

‫ﺧﻮردﮔﻲ در ﺷﻜﻞ زﻳﺮ ﺑﻪ ﻧﻤﺎﻳﺶ در آﻣﺪه اﺳﺖ ‪:‬‬

‫ﺷﻜﻞ آ ‪Package .10-‬ﺣﺎﺻﻞ از اﺗﺼﺎل ﺷﻴﺸﻪ و ﺳﻴﻠﻴﻜﻮن و ﺧﻮردﮔﻲ ﭘﺪﻳﺪ آﻣﺪه در آن ‪.‬‬

‫‪498‬‬
‫ﺑﻪ اﻳﻦ ﺗﺮﺗﻴﺐ ﺑﺎﻳﺪ راﻫﻜﺎري ﺑﺮاي ﺟﻠﻮﮔﻴﺮي از ﺧﻮردﮔﻲ ﭘﻠﻲ ﺳﻴﻠﻴﻜﻮن اﻧﺪﻳﺸﻴﺪه ﺷﻮد‪ .‬ﺑﺮاي ﻛﺎﻫﺶ‬

‫ﻣﻴﺰان زداﻳﺶ‪ ،‬ﻣﻲﺗﻮان از ﺑﻮر ﺑﻪ ﻋﻨﻮان آﻻﻳﻨﺪهي ﭘﺬﻳﺮﻧﺪه اﺳﺘﻔﺎده ﻛﺮد‪ .‬روش دﻳﮕﺮ ﺑﺮاي ﻛﺎﻫﺶ‬

‫اﻳﻦ زداﻳﺶ را ﻣﻲﺗﻮان اﺳﺘﻔﺎده از وﻟﺘﺎ ژ ﺧﺎرﺟﻲ ﻣﻮﺿﻌﻲ در ﺑﺮﺧﻲ ﻧﻘﺎط داﻧﺴﺖ‪ .‬اﻳﻦ روش ﺑﺮاي‬

‫اﻳﺠﺎد ﻣﺤﻔﻈﻪﻫﺎي ﻋﺎﻳﻖ ﺑﺮاي ﻣﻴﻜﺮو ﻣﺤﺮكﻫﺎي ﺑﺎ اﺗﺼﺎﻻﺗﻲ از ﺟﻨﺲ ﺧﻄﻮط ﭘﻠﻲ ﺳﻴﻠﻴﻜﻮن‬

‫اﺳﺘﻔﺎده ﺷﺪه اﺳﺖ‪.‬‬

‫اﺳﺘﻔﺎده از ﺷﻴﺸﻪ ﺑﻪ ﻋﻨﻮان ﻣﺤﻔﻈﻪ ﭼﻨﺪﻳﻦ ﻣﺰﻳﺖ دارد‪:‬‬

‫‪ 1‬ﺷﻴﺸﻪ ﺷﻔﺎف اﺳﺖ و ﺑﺮاي ﻧﻮر و ﺳﻴﮕﻨﺎلﻫﺎي ‪ RF‬ﻣﺸﻜﻠﻲ اﻳﺠﺎد ﻧﻤﻲﻛﻨﺪ‪ ،‬ﺑﻨﺎﺑﺮاﻳﻦ ﻣﻲﺗﻮاﻧﺪ در‬

‫ﻛﺎرﺑﺮدﻫﺎي ﻗﺎﺑﻞ ﻛﺎﺷﺖ ﻛﻪ ﺳﻴﮕﻨﺎل ﻓﺮﻛﺎﻧﺲ رادﻳﻮﻳﻲ ﺑﺎﻳﺪ ﺑﻪ ﻗﻄﻌﻪي زﻳﺮ ﻗﺸﺮ اﻧﺘﻘﺎل ﻳﺎﺑﺪ اﺳﺘﻔﺎده‬

‫ﺷﻮد‪.‬‬

‫‪ 2‬ﺷﻴﺸﻪي ﭘﻴﺮﻛﺲ ﺑﺎ ﺑﺎﻓﺖﻫﺎي زﻧﺪه اﻧﻄﺒﺎق ﭘﺬﻳﺮ اﺳﺖ و در ﻣﻘﺎﺑﻞ ﺑﺴﻴﺎري از ﻣﺤﻴﻂﻫﺎي ﺧﻮرﻧﺪهي‬

‫ﻣﻌﻤﻮﻟﻲ ﻣﺜﻞ آبﻧﻤﻚ و رﻃﻮﺑﺖ ﻣﻘﺎوم اﺳﺖ ‪ .‬ﺗﻜﻨﻮﻟﻮژي ﺳﺎﺧﺖ وﻳﻔﺮﻫﺎي ﺷﻴﺸﻪ ﻛﺎﻣ ﻼً ﭘﻴﺸﺮﻓﺘﻪ و‬

‫ﻗﻴﻤﺖ ﻣﻮاد اوﻟﻴﻪ ﻣﺮﺗﺒﻂ ﺑﻪ اﻧﺪازهي ﻛﺎﻓﻲ ﭘﺎﻳﻴﻦ اﺳﺖ ‪ .‬ﻫﻢاﻛﻨﻮن ﺗﺠﺮﺑﻪي ﺑﺴﻴﺎري در اﺳﺘﻔﺎده از اﻳﻦ‬

‫روش اﺗﺼﺎل ﺷﻴﺸﻪ و ﺳﻴﻠﻴﻜﻮن ﺑﺮاي ﺳﺎﺧﺖ ﺑﺴﻴﺎري از ﺳﺎﺧﺘﺎرﻫﺎي ﺳﻨﺴﻮر ﻣﺜﻞ ﺳﻨﺴﻮرﻫﺎي‬

‫ﻓﺸﺎر و ﺷﺘﺎب ﺳﻨﺞﻫﺎ وﺟﻮد دارد‪ .‬اﻳﻦ ﺗﻜﻨﻮﻟﻮژي در ﺳﺎﺧﺖ ‪ package‬ﻫﺎي ﻣﻴﻨﻴﺎﺗﻮري ﺑﺮاي ‪bio-‬‬

‫‪ MEMS‬ﻗﺎﺑﻞ ﻛﺎﺷﺖ اﺳﺘﻔﺎده ﺷﺪه اﺳﺖ‪.‬‬

‫‪ 3‬از ﺳﻮي دﻳﮕﺮ ﺿﺮﻳﺐ دﻣﺎﻳﻲ ﺷﻴﺸﻪ ﭘﻴﺮﻛﺲ و ﺳﻴﻠﻴﻜﻮن ﺗﻘﺮﻳﺒ ﺎً ﻳﻜﺴﺎن اﺳﺖ و اﺳﺘﻔﺎده از اﻳﻦ‬

‫ﻣﺎده ﺑﺮاي ﻣﺤﻔﻈﻪ‪ ،‬ﻣﺸﻜﻼت ﻛﻤﺘﺮي را در ﻣﻮرد اﺳﺘﺮسﻫﺎي ﭘﺪﻳﺪ آﻣﺪه در ﺷﺮاﻳﻂ دﻣﺎﻳﻲ ﻣﺘﻔﺎوت‬

‫در ﭘﻲ ﺧﻮاﻫﺪ داﺷﺖ‪.‬‬

‫اﺗﺼﺎل ﻛﭙﺴﻮل ﺑﻪ ﺑﺪﻧﻪ ﺑﺎ اﺳﺘﻔﺎده از ﻟﺤﻴﻢ‪:‬‬

‫ﻳﻜﻲ از روشﻫﺎﻳﻲ ﻛﻪ ﺑﺎرﻫﺎ ﺑﺮاي اﺗﺼﺎل ﻛﭙﺴﻮل ﺑﻪ ﺑﺪﻧﻪ ﻣﻮرد اﺳﺘﻔﺎده ﻗﺮار ﮔﺮﻓﺘﻪ اﺳﺖ روش‬

‫ﻣﺒﺘﻨﻲ ﺑﺮ اﺗﺼﺎل ﺟﻮش ﻳﺎ ‪ bonding eutectic‬اﺳﺖ‪ .‬در اﻳﻦ روش ﺳﺎده ﻟﺤﻴﻤﻲ از ﻣﺠﻤﻮﻋﻪ ﻣﻮادي‬
‫‪499‬‬
‫ﻣﻨﺎﺳﺐ ﻣﻲﺗﻮاﻧﺪ در ﻣﺤﻞ اﺗﺼﺎل ﺑﻴﻦ ‪ package‬و ﺑﺴﺘﺮ ﻗﻄﻌﻪ ﻗﺮار ﮔﻴﺮد ‪ .‬دو ﺑﺴﺘﺮ در ﻛﻨﺎر ﻳﻜﺪﻳﮕﺮ‬

‫ﻗﺮار ﻣﻲﮔﻴﺮﻧﺪ و دﻣﺎ ﺑﺎﻻ ﻣﻲرود ﺗﺎ ﻟﺤﻴﻢ ﺟﺎري ﻣﻲﺷﻮد و اﺗﺼﺎﻟﻲ ﺑﻴﻦ دو ﺑﺴﺘﺮ اﻳﺠﺎد ﻣﻲﻛﻨﺪ‪ .‬در اﻳﻦ‬

‫روش از ﻣﻮادي ﻛﻪ ﺑﻪ ﻋﻨﻮان ﻟﺤﻴﻢ اﺳﺘﺎﻧﺪارد در ﻛﺎرﺑﺮدﻫﺎي ﻣﻴﻜﺮواﻟﻜﺘﺮوﻧﻴﻚ اﺳﺘﻔﺎده ﻣﻲﺷﻮﻧﺪ ﺑﻪ‬

‫ﻋﻨﻮان ﻟﺤﻴﻢ اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ .‬ﺑﻪ ﻫﺮ ﺣﺎل‪ ،‬ﻧﻘﺺ ﺑﺴﻴﺎري از اﻳﻦ ﻣﻮاد ﺑﻪ اﻳﻦ دﻟﻴﻞ اﺳﺖ ﻛﻪ ﺣﺎوي‬

‫ﻧﺎﺧﺎﻟﺼﻲﻫﺎﻳﻲ ﻫﺴﺘﻨﺪ ﻛﻪ ‪out gassing‬ﻣﺸﺨﺺ دارﻧﺪ و ﺑﺎ ﺑﺎﻓﺖﻫﺎي زﻧﺪه ﺗﻄﺒﻴﻖﭘﺬﻳﺮ ﻧﻴﺴﺘﻨﺪ‪ .‬ﺑﻪ‬

‫ﺟﺎي ﻟﺤﻴﻢﻫﺎي اﺳﺘﺎﻧﺪارد ﻣﻲﺗﻮان از آﻟﻴﺎژﻫﺎﻳﻲ از ﻣﻮاد ﻣﺘﻔﺎوت ﺑﺮاي ﺗﺸﻜﻴﻞ ﻟﺤﻴ ﻢ ‪eutectic‬‬

‫اﺳﺘﻔﺎده ﻛﺮد‪ .‬ﻳﻜﻲ از ﻣﺠﻤﻮﻋﻪ ﻣﻮادي ﻛﻪ ﺑﺴﻴﺎر ﻣﻮرد اﺳﺘﻔﺎده ﻗﺮار ﮔﺮﻓﺘﻪ اﺳﺖ ﻟﺤﻴﻤﻲ از ﻃﻼ و‬

‫ﺳﻴﻠﻴﻜﻮن اﺳﺖ ‪ Eutectic .‬ﺳﻴﻠﻴﻜﻮن و ﻃﻼ ﻛﺎﻣﻼً ﻣﻨﺎﺳﺐ اﺳﺖ زﻳﺮا در دﻣﺎي ‪ 363‬درﺟﻪ ﺑﺎ ﻳﻚ‬

‫ﺟﺰء ﺳﻴﻠﻴﻜﻮن و ﭼﻬﺎر ﺟﺰء ﻃﻼ ﺗﺸﻜﻴﻞ ﻣﻲﺷﻮد‪ .‬اﻳﻦ ﻣﻮاد ﻣﻌﻤﻮﻻً در ﺳﺎﺧ ﺖ ‪ MEMS‬ﻣﻮرد اﺳﺘﻔﺎده‬

‫ﻗﺮار ﻣﻲﮔﻴﺮﻧﺪ و ﻫﻨﮕﺎﻣﻲﻛﻪ ﻟﺤﻴﻢ اﻳﺠﺎد ﺷﺪ ‪ out gassing‬ﻣﻄﺮح ﻧﺨﻮاﻫﺪ ﺑﻮد‪ .‬ﻋﻼوه ﺑﺮ اﻳﻦ دﻣﺎ‬

‫ﺑﺮاي ﺑﺴﻴﺎري از ﻛﺎرﺑﺮدﻫﺎ ﺑﻪ اﻧﺪازه ﻛﺎﻓﻲ ﭘﺎﻳﻴﻦ ﺧﻮاﻫﺪ ﺑﻮد‪ .‬اﻧﻮاع ﮔﻮﻧﺎﮔﻮﻧﻲ از ‪ eutectic‬ﻫﺎي ﻃﻼ و‬

‫ﺳﻴﻠﻴﻜﻮن ﺑﺮاي ‪ package‬ﻛﺮدن ﺳﻴﺴﺘﻢﻫﺎي ﻛﺎﻣﻞ ﺑﺮاي ﻛﺎرﺑﺮدﻫﺎي ﻗﺎﺑﻞ ﻛﺎﺷﺖ ‪cochlear‬ﻣﻮرد‬

‫اﺳﺘﻔﺎده ﻗﺮار ﮔﺮﻓﺘﻪاﻧﺪ‪ .‬اﺗﺼﺎل ﺑﻴﻦ ﻳﻚ ﻛﭙﺴﻮل ﺷﻴﺸﻪاي ﻛﻪ ‪package‬را ﺗﺸﻜﻴﻞ ﻣﻲدﻫﺪ و ﻳﻚ‬

‫وﻳﻔﺮ ﺳﻴﻠﻴﻜﻮن ﺷﺎﻣﻞ ﻫﻴﺒﺮﻳﺪي از اﺟﺰا ﻣﺜﻞ ﻣﺪارﻫﺎي ﻣﺠﺘﻤﻊ و ﻛﻮ ﻳﻞﻫﺎ و ﺧﺎزنﻫﺎ ﺑﺮﻗﺮار ﻣﻲﺷﻮد‪.‬‬

‫‪ Package‬ﺷﻴﺸﻪ داراي ﻳﻚ ﺣﻠﻘﻪ اﺗﺼﺎل اﺳﺖ و روي ﻳﻚ ﻗﻄﻌﻪ وﻳﻔﺮ ‪ silicon‬ﺑﺎ اﺗﺼﺎﻻت ) ‪feed‬‬

‫‪ (through‬ﻣﺠﺘﻤﻊ و ﻳﻚ ﺣﻠﻘﻪي اﺗﺼﺎل ﺳﻴﻠﻴﻜﻮن اﺳﺖ‪ .‬دﻣﺎ ﺗﺎ دﻣﺎﻫﺎي ﺑﺎﻻﺗﺮ از دﻣﺎي ‪eutectic‬‬

‫ﻃﻼ و ﺳﻴﻠﻴﻜﻮن اﻓﺰاﻳﺶ ﻣﻲﻳﺎﺑﺪ و ﻳﻚ وﻟﺘﺎژ ﺑﻪ ﻛﺎر ﮔﺮﻓﺘﻪ ﻣﻲﺷﻮد ﺗﺎ ﻳﻚ ﭘﻮﺷﺶ ﻋﺎﻳﻖ ﻳﻜﭙﺎرﭼﻪ و‬

‫ﺑﺪون درز ﭘﺪﻳﺪ آورد‪ eutectic .‬روي اﺗﺼﺎﻻت اﻳﺠﺎد ﺷﺪه روي ﺑﺴﺘﺮ ﺳﻴﻠﻴﻜﻮﻧﻲ ﺟﺮﻳﺎن ﻣﻲﻳﺎﺑﺪ‪.‬‬

‫ﺑﺴﺘﺮ ﺷﺎﻣﻞ ﻣﺤﻔﻈﻪاي اﺳﺖ ﻛﻪ ﻣﻴﻜﺮوﺳﻴﺴﺘﻢ ﻣﻲﺗﻮاﻧﺪ در آن ﺟﺎي ﮔﻴﺮد و از ﻧﻈﺮ اﻟﻜﺘﺮﻳﻜﻲ ﺑﻪ‬

‫وﺳﻴﻠﻪي ﺳﻴﻢﻛﺸﻲﻫﺎي اﺳﺘﺎﻧﺪارد ﺑﻪ ﺧﻄﻮط ﻣﺮﺑﻮﻃﻪ ﻣﺘﺼﻞ ﺷﻮد‪ .‬اﻳﻦ روش ﻧﻪ ﺗﻨﻬﺎ ﺑﺮاي ﺑﺴﺘﻪﺑﻨﺪي‬

‫‪500‬‬
‫ ﻛﺮدن ﺗﻤﺎم‬package ‫ﺳﻨﺴﻮرﻫﺎي رﻃﻮﺑﺖ و ﺧﻼء ﺑﻪ ﻛﺎر ﮔﺮﻓﺘﻪ ﺷﺪه اﺳﺖ ﺑﻠﻜﻪ ﺣﺘﻲ ﻗﺎدر ﺑﻪ‬

.[9] ‫ ﺑﻪ ﺷﻴﻮهي ﻧﺸﺎن داده ﺷﺪه در ﺷﻜﻞ زﻳﺮ اﺳ ﺖ‬cochlear ‫اﺟﺰاي ﻳﻚ ﺳﻴﺴﺘﻢ ﻗﺎﺑﻞ ﻛﺎﺷ ﺖ‬

.Packaged Cochlear implant ‫ﺗﺼﻮﻳﺮ ﻳﻚ‬.11- ‫ﺷﻜﻞ آ‬

:‫ﻣﺮاﺟﻊ‬

o www.EETimes.com
o www.Wikipedia.com
o www.SemiconFarEast.com
o www.answers.com
o www.public.itrs.net
o www.semi.org

[1]. Rao R. Tummala.” Fundamentals of Microsystems packaging”.


McGraw-Hill. 2004.

501
[2].www. shrdc.org

[٣]. Khalil Najafi. “Packaging of Implantable Microsystems”. IEEE


SENSORS 2007 Conference.

[٤]. Babak Ziaie.” Implantable Wireless Microsystems”.School of


Electrical and Computer Engineering, Purdue University, W. Lafayette,
IN 47907

[٥]. Brian H. Stark, Mehmet R. Dokmeci and khalil Najafi. “Improving


Corrosion-Resistance of Polysilicon Using Boron Doping and Self-
Induced Galvanic Bias” IEEE TRANSACTIONS ON ADVANCED
PACKAGING, VOL. 26, NO. 3, AUGUST 2003.
[٦]. W.Welch.”Wafer Bonding: Overview of Science & Technology”.
University of Michigan

[٧]. M. R. Dokmeci, J. A. Von Arx and K. Najafi. “Accelerated Testing Of


Anodically Bonded Glass-Silicon Packages In Salt Water”. Center for
Integrated Sensors and Circuits,University of Michigan, Ann Arbor, MI
48109, USA

[8]. Timothy J. Harpster, Stefan A. Nikles, Mehmet R. Dokmeci and

Khalil Najafi .” Long-Term Hermeticity and Biological Performance of


Anodically Bonded Glass-Silicon Implantable Packages”. IEEE
TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 5, NO.
3, SEPTEMBER 2005
502
[9].Y. Mei, G. R. Lahiji, and K. Najafi, “A Robust Gold-Silicon Eutectic

Wafer Bonding Technology for Vacuum Packaging,” Technical Digest,


Solid-State Sensor and Actuator Workshop, pp. 86-89, Hilton Head SC,
June2002 .

- Van Zant “ Microchip Fabriction _A Partical guide semiconductor processing,”


McGraw-Hill, 2004
- William J. Greig,“Integrated Circuit Packaging, Assembly and Interconnections”
Springer ,New-jersey ,USA,2007
.‫ ﻣﺤﻤﺪ ﺣﺴﻦ ﻛﺎﺷﻔﻲ‬،1386 ‫ ﺳﺎل‬،(Packaging) ‫ ﺟﺰوهي ﺑﺴﺘﻪﺑﻨﺪي‬-

503
‫ﻓﺼﻞ ‪ -13‬اﺗﺎق ﺗﻤﻴﺰ‬

‫ﻣﻘﺪﻣﻪ‬ ‫‪-1-13‬‬
‫ﺑﺮاي اﻧﺠﺎم ﻫﺮ ﻛﺎري ﻧﻴﺎز ﺑﻪ ﻣﺤﻴﻂ ﻣﻨﺎﺳﺐ آن دارﻳﻢ‪ ،‬از اﻳﻦ ﻧﻜﺘﻪ ﻧﺒﺎﻳﺪ ﻏﺎﻓﻞ ﺷﻮﻳﻢ ﻛﻪ‬

‫اﺻﻮﻻً ﻣﺎ ﺗﻮﻗﻌﻲ از ﻣﺤﻴﻂ ﻧﺪارﻳﻢ و ﻫﻤﻴﻨﻜﻪ ﺑﻪ ﻣﺎ ﺿﺮر و آﺳﻴﺐ ﻧﺮﺳﺎﻧﺪ ﺑﺮاي ﻣﺎ ﻛﺎﻓﻴﺴﺖ‪ ،‬ﺗﻤﺎم‬

‫ﻣﻄﺎﻟﺒ ﻲ ﻛﻪ ﺑﺮاي ﺗﻜﻨﻮﻟﻮژي ﻧﻴﻤﻪ ﻫﺎدي ﻫﺎ ﻣﻄﺮح ﻣﻲ ﮔﺮدد ﺑﺎ ﻳﻚ ﭘﻴﺶ ﻓﺮض اﺳﺎﺳﻲ اراﺋﻪ ﻣﻲ ﺷﻮﻧﺪ‬

‫و آن اﻳﻦ ﺣﻘﻴﻘﺖ اﺳﺖ ﻛﻪ ﺑﺮاي اﻧﺠﺎم ﻫﺮ ﻛﺎري ﻧﻴﺎز ﺑﻪ ﻣﺤﻴﻂ ﻣﻨﺎﺳﺐ آن دارﻳﻢ‪ .‬ﭘﺲ ﺑﺎﻳﺪ ﻋﻮاﻣﻞ ﻧﺎ‬

‫ﻣﻄﻠﻮب و ﻣﻀﺮ را ﺷﻨﺎﺧﺘﻪ و ﻛﻨﺘﺮل ﻧﻤﺎﻳﻴﻢ‪ .‬ﻣﺤﻴﻄﻲ ﻛﻪ در آن اﻳﻦ ﻋﻮاﻣﻞ ﻧﺎﻣﻄﻠﻮب ﻛﻨﺘﺮل ﺷﺪه‬

‫اﺳﺖ و در ﺣﺪ ﻗﺎﺑﻞ ﻗﺒﻮﻟﻲ ﻣﻲ ﺑﺎﺷﺪ‪ ،‬اﺗﺎق ﺗﻤﻴﺰ ﻧﺎم دارد‪.‬‬

‫ﻣﺎ در اﻳﻦ ﻓﺼﻞ اﺑﺘﺪا ﺑﺎ اﻧﻮاع آﻟﻮده ﻛﻨﻨﺪه ﻫﺎ و ﻣﻨﺎﺑﻊ اﻳﺠﺎد آﻟﻮدﮔﻲ آﺷﻨﺎ ﻣﻲ ﺷﻮﻳﻢ و ﺳﭙﺲ‬

‫ﺑﻪ ﻃﺮﻳﻘﻪ ﻛﻨﺘﺮل اﻳﻦ آﻟﻮدﮔﻲ در اﺗﺎق ﺗﻤﻴﺰ ﻣﻲ ﭘﺮدازﻳﻢ‪.‬‬

‫ﺗﻌﺮﻳﻒ‬ ‫‪-2-13‬‬
‫اﺗﺎق ﺗﻤﻴﺰ ﻣﺤﻴﻂ ﻛﺎري اﺳﺖ ﻛﻪ در آن ﻳﻜﺴﺮي از ﭘﺎرﻣﺘﺮﻫﺎ از ﺟﻤﻠﻪ ﻣﻴﺰان آﻟﻮدﮔﻲ‪ ،‬ﻛﻴﻔﻴﺖ ﻫﻮا‪،‬‬

‫دﻣﺎ‪ ،‬رﻃﻮﺑﺖ و درﺟﻪ ﺣﺮارت ﻛﺎﻣ ﻼً ﺗﻨﻈﻴﻢ ﺷﺪه اﻧﺪ و ﺗﺤﺖ ﻛﻨﺘﺮل ﻣﻲ ﺑﺎﺷﻨﺪ‪ .‬اﻣﺎ ﻣﻬﻤﺘﺮ از ﺗﻤﺎم‬

‫ﭘﺎراﻣﺘﺮ ﻫﺎي ﻣﻄﺮح ﺷﺪه ﻛﻨﺘﺮل ذرات ﻣﻌﻠﻖ ﻣﻮﺟﻮد در اﺗﺎق ﻣﻲﺑﺎﺷﺪ‪.‬‬

‫دﻟﻴﻞ ﺗﻮﺟﻪ ﺑﻪ اﻳﻦ ﭘﺎراﻣﺘﺮﻫﺎ و ﻛﻨﺘﺮل آن ﻫﺎ‪ ،‬ﺣﺴﺎﺳﻴﺖ ﺗﺠﻬﻴﺰات ﻣﻮرد اﺳﺘﻔﺎده در اﺗﺎق و‬

‫ﻓﺮاﻳﻨﺪﻫﺎﻳﻲ ﻛﻪ در اﻳﻦ اﺗﺎق اﻧﺠﺎم ﻣﻲ ﺷﻮﻧﺪ‪ ،‬ﻧﺴﺒﺖ ﺑﻪ آﻟﻮدﮔﻲ اﺳﺖ‪ .‬اﻟﺒﺘﻪ در ﻳﻚ اﺗﺎق ﺗﻤﻴﺰ ﺑﺎﻳﺪ‬

‫ﻣﻮارد دﻳﮕﺮي ﻧﻴﺰ ﻣﻮرد ﺗﻮﺟﻪ ﻗﺮار ﮔﻴﺮﻧﺪ از ﺟﻤﻠﻪ ﻧﻮﻳﺰ‪ ،‬ارﺗﻌﺎش‪ ،‬روﺷﻨﺎﻳﻲ و ‪.....‬‬

‫‪504‬‬
‫ﻛﺎرﺑﺮدﻫﺎي اﺗﺎق ﺗﻤﻴﺰ‬ ‫‪-3-13‬‬
‫از آﻧﺠﺎ ﻛﻪ داﺷﺘﻦ ﻳﻚ ﻣﺤﻴﻂ ﺗﻤﻴﺰ در ﺑﺴﻴﺎري از ﺻﻨﺎﻳﻊ ﺿﺮوري ﻣﻲ ﺑﺎﺷﺪ ﺑﻨﺎﺑﺮاﻳﻦ اﺗﺎق ﺗﻤﻴﺰ در‬

‫ﺻﻨﺎﻳﻊ ﻣﺨﺘﻠﻔﻲ ﻛﺎرﺑﺮد دارد ﻣﺜﻞ ﺻﻨﻌﺖ ﻧﻴﻤﻪ ﻫﺎدي‪ ،‬ﺻﻨﻌﺖ اﭘﺘﻴﻚ و ﻟﻴﺰر‪ ،‬ﺻﻨﺎﻳﻊ ﻫﻮا و ﻓﻀﺎ‪،‬‬

‫ﺻﻨﺎﻳﻊ داروﻳﻲ و ﺻﻨﺎﻳﻊ ﺗﻮﻟﻴﺪ ﻣﻮاد ﻏﺬاﻳﻲ ﺿﺪ ﻋﻔﻮﻧﻲ ﺷﺪه‪.‬‬

‫واﺿﺢ اﺳﺖ ﻛﻪ ﻫﺮ ﻳﻚ از اﻳﻦ ﺻﻨﺎﻳﻊ ﺑﻪ اﺗﺎق ﺗﻤﻴﺰي ﺑﺎ ﺳﻄﺢ ﺗﻤﻴﺰي ﻣﺘﻔﺎوت ﺑﺎ ﺻﻨﻌﺖ دﻳﮕﺮ‬

‫ﻧﻴﺎز دارﻧﺪ‪ .‬ﻣﺎ در ﺻﻨﻌﺖ ﻧﻴﻤﻪ ﻫﺎدي ﺑﻪ اﺗﺎق ﺗﻤﻴﺰ ﻫﺎﻳﻲ ﺑﺎ ﻛﻴﻔﻴﺖ ﺧﻴﻠﻲ ﺑﺎﻻ ﻧﻴﺎز دارﻳﻢ‪ .‬از اوﻟﻴﻦ‬

‫ﻣﺸﻜﻼت ﻣﻮﺟﻮد در ﺗﻮﻟﻴﺪ ‪IC‬ﻫﺎ آﻟﻮدﮔﻲ ﻣﻲﺑﺎﺷﺪ ﻛﻪ ﺑﺮاي رﻓﻊ اﻳﻦ ﻣﺸﻜﻞ از ﺗﻜﻨﻮﻟﻮژي اﺗﺎق ﺗﻤﻴﺰ‬

‫اﺳﺘﻔﺎده ﺷﺪه ﻛﻪ ﺗﻮاﻧﺴﺘﻪ اﺳﺖ ﻣﺴﺎﺋﻞ و ﻣﺸﻜﻼت ﻣﺮﺑﻮط ﺑﻪ ﺳﺎﺧﺖ ﻣﺪارات ﻣﺠﺘﻤﻊ در ﻣﻘﻴﺎس‬

‫ﺑﺰرگ ) ‪ (LSI‬را ﺣﻞ ﻧﻤﺎﻳﺪ‪ .‬ﻣﺴﻠﻤﺎً ﺑﺮاي رﺳﻴﺪن ﺑﻪ ﭘﻴﺸﺮﻓﺖ ﻫﺎي ﺑﻴﺸﺘﺮي در زﻣﻴﻨﻪ ﺻﻨﺎﻳﻊ ﻧﻴﻤﻪ‬

‫ﻫﺎدي ﺑﺎﻳﺪ ﺑﻪ اﺗﺎق ﻫﺎي ﺗﻤﻴﺰي ﺑﺎ ﻛﻴﻔﻴﺖ ﺑﺎﻻﺗ ﺮ دﺳﺖ ﭘﻴﺪا ﻛﻨﻴﻢ زﻳﺮا ﺑﺴﻴﺎري از ﻣﺸﻜﻼﺗﻲ ﻛﻪ در‬

‫ﮔﺬﺷﺘﻪ در ﺗﻮﻟﻴﺪ ‪ IC‬ﻫﺎ ﺑﺴﻴﺎر ﺟﺰﺋﻲ و ﻛﻢ اﻫﻤﻴﺖ ﺑﻮده اﻧﺪ اﻣﺮوزه ﺑﻪ ﻧﻘﺺ ﻫﺎﻳﻲ ﺗﺒﺪﻳﻞ ﺷﺪه ﻛﻪ‬

‫ﻋﻤﻠﻜﺮد ﻗﻄﻌﻪ را ﺷﺪﻳﺪاً ﺗﺤﺖ ﺗﺄﺛﻴﺮ ﻗﺮار ﻣﻲ دﻫﻨﺪ‪.‬‬

‫اﻧﻮاع آﻟﻮده ﻛﻨﻨﺪه ﻫﺎ‬ ‫‪-4-13‬‬


‫آﻟﻮده ﻛﻨﻨﺪه ﻫﺎ در ﺻﻨﻌﺖ ﻧﻴﻤﻪ ﻫﺎدي را ﻣﻲ ﺗﻮان ﺑﻪ ‪ 5‬دﺳﺘﻪ ﻛﻠﻲ ﺗﻘﺴﻴﻢ ﻧﻤﻮد ﻛﻪ ﻋﺒﺎرﺗﻨﺪ‬

‫از ‪ - 1 :‬ذرات‬

‫‪ - 2‬ﻳﻮن ﻫﺎي ﻓﻠﺰي‬

‫‪ - 3‬ﻣﻮاد ﺷﻴﻤﻴﺎﻳﻲ‬

‫‪ - 4‬ﺑﺎﻛﺘﺮي ﻫﺎ‬

‫‪- 5‬آﻟﻮده ﻛﻨﻨﺪه ﻫﺎي ﻣﻮﻟﻜﻮﻟﻲ ﻣﻮﺟﻮد در ﻫﻮا‬

‫‪505‬‬
‫‪ - 1- 4- 13‬ذرات‬

‫اﻳﻦ ﻣﻮرد در واﻗﻊ ﺷﺎﻣﻞ ﻫﻤﻪ اﻧﻮاع ذرات ﻣﻮﺟﻮد در ﻫﻮاي ﻣﻌﻤﻮﻟﻲ ﻣﺎﻧﻨﺪ ﮔﺮد و ﺧﺎك‪ ،‬دود و‬

‫‪ ...‬ﻣﻲ ﺑﺎﺷﺪ ﻛﻪ وﺳﺎﻳﻞ ﻧﻴﻤﻪ ﻫﺎدي و ﻣﺨﺼﻮﺻﺎً ﻣﺪارات ﻣﺠﺘﻤﻊ ﻣﺘﺮاﻛﻢ‪ ،‬ﺷﺪﻳﺪاً ﺑﻪ اﻳﻦ ﻧﻮع از آﻟﻮدﮔﻲ‬

‫ﺣﺴﺎس ﻫﺴﺘﻨﺪ ﻛﻪ اﻳﻦ ﺣﺴﺎﺳﻴﺖ ﺑﻪ دﻟﻴﻞ اﻧﺪازه ﻧﻤﺎي ﻛﻮﭼﻚ آن ﻫﺎ و ﻧﺎزﻛﻲ ﻻﻳﻪ ﻫﺎي ﻗﺮار داده‬

‫ﺷﺪه روي ﺳﻄﺢ وﻳﻔﺮ ﻣﻲ ﺑﺎﺷﺪ و ﻣﺴﻠﻤﺎً ﻫﺮ ﭼﻘﺪر اﻧﺪازه ﻧﻤﺎ و ﻓﻴﻠﻢ ﻫﺎ ﻛﻮﭼﻜﺘﺮ ﺷﻮﻧﺪ‪ ،‬ﺳﺎﻳﺰ ذرات‬

‫ﻣﺠﺎز ﻧﻴﺰ ﻛﻮﭼﻜﺘﺮ ﻣﻲ ﺷﻮد ﻛﻪ ﺑﺎﻳﺪ دﻗﻴﻘﺎً ﻛﻨﺘﺮل ﮔﺮدد‪.‬‬

‫ﻗﺎﻧﻮن ﺗﺠﺮﺑﻲ ﺑﻴﺎن ﺷﺪه اﻳﻦ ﻣﻲ ﺑﺎﺷﺪ ﻛﻪ ﺳﺎﻳﺰ ذره ﻣﺠﺎز ﺑﺎﻳﺪ ﺣﺪاﻗﻞ ده ﺑﺮاﺑﺮﻛﻮﭼﻜﺘﺮ از‬

‫ﺳﺎﻳﺰ ﻧﻤﺎي ﻣﻴﻨﻴﻤﻢ ﺑﺎﺷﺪ‪ .‬ﻣﺜﻼً ﻳﻚ ﻗﻄﻌﻪ ﺑﺎ اﻧﺪازه ﻧﻤﺎي ﺑﺮاﺑﺮ ‪ 3/0‬ﻣﻴﻜﺮون ﺑﻪ ذرات ﺑﺎ ﻗﻄ ﺮ ‪03/0‬‬

‫ﻣﻴﻜﺮون و ﺑﺎﻻﺗﺮ ﺣﺴﺎس ﻣﻲ ﺑﺎﺷﺪ ‪.‬‬

‫ازﻣﻴﺎن ﻫﻤﻪ ذراﺗﻲ ﻛﻪ ﻣﻤﻜﻦ اﺳﺖ ﺑﺮ روي ﺳﻄﺢ ﻳﻚ وﻳﻔﺮ ﻗﺮار ﺑﮕﻴﺮﻧﺪ ﺗﻨﻬﺎ ﺗﻌﺪادي از آﻧﻬﺎ‬

‫ﻋﻤﻠﻜﺮد ﻗﻄﻌﻪ را ﺷﺪﻳﺪاً ﺗﺤﺖ ﺗ ﺄﺛﻴﺮ ﻗﺮار ﻣﻲ دﻫﻨﺪ و ﺑﻘﻴﻪ‪ ،‬در ﻣﺤﻞ ﻫﺎﻳﻲ ﺑﺎ ﺣﺴﺎﺳﻴﺖ ﻛﻤﺘﺮ ﻗﺮار‬
‫‪506‬‬
‫ﻣﻲ ﮔﻴﺮﻧﺪ ﻛﻪ ﺻﺪﻣﻪ ﭼﻨﺪاﻧﻲ ﺑﻪ ﻋﻤﻠﻜﺮد ﻗﻄﻌﻪ ﻧﻤﻲ زﻧﻨﺪ‪ .‬ﺑﻪ ذراﺗﻲ ﻛﻪ در ﻳﻚ ﺑﺨﺶ ﺑﺤﺮاﻧﻲ ﻗﻄﻌﻪ‬

‫ﻗﺮار ﻣﻲ ﮔﻴﺮﻧﺪ و ﻋﻤﻠﻜﺮد ﻗﻄﻌﻪ را ﺧﺮاب ﻣﻲ ﻛﻨﻨﺪ‪ ،‬ﻧﻘﺺ ﻛﺸﻨﺪه ) ‪ (Killer defect‬ﮔﻔﺘﻪ ﻣﻲ ﺷﻮد‪.‬‬

‫ﺑﺮاي درك ﺑﻴﺸﺘﺮ اﻳﻦ ﻣﻮﺿﻮع ﻛﻪ ﭼﮕﻮﻧﻪ ذرات ﻣﻲ ﺗﻮاﻧﻨﺪ ﺑﻪ ﻗﻄﻌﻪ آﺳﻴﺐ ﺑﺮﺳﺎﻧﻨﺪ ﺑﻪ ﺷﻜﻞ‬

‫)‪ (1- 8‬ﺗﻮﺟﻪ ﻓﺮﻣﺎﻳﻴﺪ ﻛﻪ در آن ﻳﻚ ذره را ﻧﺸﺎن ﻣﻲ دﻫﺪ ﻛﻪ ﺑﻴﻦ دو ﺧﻂ ﻓﻠﺰي ﻗﺮار ﮔﺮﻓﺘﻪ اﺳﺖ و‬

‫ﻣﻲ ﺗﻮاﻧﺪ ﺑﺎﻋﺚ اﻳﺠﺎد اﺗﺼﺎل ﻛﻮﺗﺎه ﻧﺎ ﺧﻮاﺳﺘﻪ ﮔﺮدد و ﻧﻴﺰ در ﻫﻤﻴﻦ ﺷﻜﻞ ﻣﻲ ﺗﻮان ﻣﻘﺎﻳﺴﻪ اي ﺑﻴﻦ‬

‫اﻧﺪازه ﻧﺴﺒﻲ ذرات و اﺑﻌﺎد وﻳﻔﺮ اﻧﺠﺎم داد‪.‬‬

‫ﺷﻜﻞ ‪ : 1- 8‬ﺳﺎﻳﺰ ﻧﺴﺒﻲ ذرات و اﺑﻌﺎد وﻳﻔﺮ‬

‫‪ - 2- 4- 13‬ﻳﻮن ﻫﺎي ﻓﻠﺰي‬

‫ﻣﻲداﻧﻴﻢ ﻛﻪ ﻣﺎ در ﺳﺎﺧﺖ وﺳﺎﻳﻞ ﻧﻴﻤﻪ ﻫﺎدي ﻧﻴﺎز دارﻳﻢ ﻛﻪ در وﻳﻔﺮﻫﺎ وﻣﻨﺎﻃﻖ آﻻﻳﺶ ﺷﺪه‬

‫ﻧﻮع ‪ n‬و ‪ p‬ﻣﻘﺪار ﻣﻘﺎوﻣﺖ ﻛﻨﺘﺮل ﺷﺪه اي داﺷﺘﻪ ﺑﺎﺷﻴﻢ ﻛﻪ اﻳﻦ ﻣﻘﺎوﻣﺖ ﻣﺸﺨﺺ ﺑﺎ وارد ﻛﺮدن‬

‫ﻣﻘﺎدﻳﺮ ﻣﺸﺨﺼﻲ از آﻻﻳﻨﺪه ﻫﺎ در ﻧﺎﺣﻴﻪ ﻣﻮرد ﻧﻈﺮ ﺑﻪ دﺳﺖ ﻣﻲآﻳﺪ‪ .‬اﻣﺎ ﻣﺘ ﺄﺳﻔﺎﻧﻪ وﺟﻮد ﻣﻘﺪار ﻛﻤﻲ‬

‫‪507‬‬
‫از آﻟﻮده ﻛﻨﻨﺪهﻫﺎي ﻓﻌﺎل اﻟﻜﺘﺮﻳﻜﻲ در وﻳﻔﺮ‪ ،‬ﻣﺸﺨﺼﻪ ﻫﺎي اﻟﻜﺘﺮﻳﻜﻲ ﻗﻄﻌﻪ را ﻋﻮض ﻣﻲﻛﻨﺪ و‬

‫ﻗﺎﺑﻠﻴﺖ اﻃﻤﻴﻨﺎن و ﻋﻤﻠﻜﺮد ﻗﻄﻌﻪ را ﺗﺤﺖ ﺗ ﺄﺛﻴﺮ ﻗﺮار ﻣﻲدﻫﺪ‪.‬‬

‫آﻟﻮده ﻛﻨﻨﺪه ﻫﺎﻳﻲ ﻛﻪ اﻳﻦ ﻗﺒﻴﻞ از ﻣﺸﻜﻼت را ﻣﻮﺟﺐ ﻣﻲ ﺷﻮﻧﺪ ﺑﻪ ﻋﻨﻮان آﻟﻮده ﻛﻨﻨﺪه ﻫﺎي‬

‫ﻳﻮﻧﻲ ﻣﺘﺤﺮك ) ‪ (MICs: Mobile Ionic Contaminants‬ﺷﻨﺎﺧﺘﻪ ﻣﻲ ﺷﻮﻧﺪ‪ .‬اﻳﻦ آﻟﻮده ﻛﻨﻨﺪهﻫﺎ‬

‫اﺗﻢﻫﺎي ﻓﻠﺰاﺗﻲ ﻫﺴﺘﻨﺪ ﻛﻪ در ﻣﺎده ﺑﻪ ﻓﺮم ﻳﻮﻧﻲ وﺟﻮد دارﻧﺪ و ﻣﻌﻤﻮﻻً ﻫﻢ اﻳﻦ ﻳﻮن ﻫﺎي ﻓﻠﺰي در‬

‫ﻣﻮاد ﻧﻴﻤﻪﻫﺎدي‪ ،‬ﺧﻴﻠﻲ ﻣﺘﺤﺮك ﻣﻲﺑﺎﺷﻨﺪ و ﺑﻨﺎﺑﺮاﻳﻦ ﻣﻲ ﺗﻮاﻧﻨﺪ درون وﺳﻴﻠﻪ ﺣﺮﻛﺖ ﻛﺮده و ﺣﺘﻲ‬

‫ﭘﺲ از اﻧﺠﺎم ﺗﺴﺖ اﻟﻜﺘﺮﻳﻜﻲ و ﻓﺮﺳﺘﺎدن ﻗﻄﻌﻪ ﺑﻪ ﻣﻘﺼﺪ ﺑﺎﻋﺚ از ﻛﺎر اﻓﺘﺎدن وﺳﻴﻠﻪ ﮔﺮدﻧﺪ‪ .‬ﺳﺪﻳﻢ‬

‫ﻣﺘﺪاوﻟﺘﺮﻳﻦ آﻟﻮده ﻛﻨﻨﺪه ﻳﻮﻧﻲ ﻣﺘﺤﺮك اﺳﺖ ﻛﻪ در ﺑﻴﺸﺘﺮ ﻣﻮاد ﺷﻴﻤﻴﺎﻳﻲ ﭘﺎﻻﻳﺶ ﻧﺸﺪه ﻣﻮﺟﻮد ﻣﻲ‬

‫ﺑﺎﺷﺪ ﻛﻪ در ﺳﻴﻠﻴﻜﻦ ﻧﻴﺰ از ﺗﺤﺮك ﺑﺴﻴﺎر ﺑﺎﻻﻳﻲ ﺑﺮﺧﻮردار اﺳﺖ و ﺑﻨﺎﺑﺮاﻳﻦ ﻛﻨﺘﺮل آن در ﺗﻜﻨﻮﻟﻮژي‬

‫ﺳﻴﻠﻴﻜﻦ اﻫﻤﻴﺖ زﻳﺎدي دارد‪ .‬ﺑﺮاي ﻣﺜﺎل در ‪MOS‬ﻫﺎ اﻳﻦ ﻣﺴﺄﻟﻪ ﻣﻲﺗﻮاﻧﺪ ﻣﺸﻜﻼت زﻳﺎدي اﻳﺠﺎد‬

‫‪ 10‬اﺗﻢ ﺑﺮ‬ ‫‪10‬‬


‫ﻧﻤﺎﻳﺪ‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ ﺑﺮ روي ﻳﻚ وﻳﻔﺮ ﻣﻴﺰان آﻟﻮده ﻛﻨﻨﺪهﻫﺎي ﻳﻮﻧﻲ ﻣﺘﺤﺮك ﺑﺎﻳﺪ در رﻧﺞ‬

‫ﺳﺎﻧﺘﻴﻤﺘﺮ ﻣﺮﺑﻊ ﻳﺎ ﻛﻤﺘﺮ ﺑﺎﺷﺪ‪.‬‬

‫‪- 3- 4- 13‬ﻣﻮاد ﺷﻴﻤﻴﺎﻳﻲ‬

‫در ﺻﻮرﺗﻲ ﻛﻪ ﻣﻮاد ﺷﻴﻤﻴﺎﻳﻲ ﻳﺎ آب ﻣﻮﺟﻮد در ﻓﺮ آﻳﻨﺪ ﺑﺎ ﻣﻘﺎدﻳﺮ ﻛﻤﻲ از ﻣﻮاد ﺷﻴﻤﻴﺎﻳﻲ ﻧﺎ ﺧﻮاﺳﺘﻪ‬

‫آﻟﻮده ﺷﺪه ﺑﺎﺷﺪ‪ ،‬اﻳﻦ ﻣﺴﺌﻠﻪ ﻣﻨﺠﺮ ﺑﻪ اﻳﺠﺎد اﺛﺮات ﻧﺎ ﻣﻄﻠﻮﺑﻲ در ﺳﺎﺧﺖ ادوات ﻧﻴﻤﻪ ﻫﺎدي ﺧﻮاﻫﺪ‬

‫ﺷﺪ‪ .‬از ﺟﻤﻠﻪ اﻳﻦ اﺛﺮات ﻣﻲ ﺗﻮان ﺑﻪ ﭘﻴﺪاﻳﺶ زداﻳﺶ ﻫﺎي ﻧﺎ ﺧﻮاﺳﺘﻪ در ﺳﻄﺢ ﻧﻴﻤﻪ ﻫﺎدي‪ ،‬ﻫﻤﭽﻨﻴﻦ‬

‫ﺗﺮﻛﻴﺐ ﺷﺪن آن ﻫﺎ ﺑﺎ اﺗﻢ ﻫﺎي وﻳﻔﺮ و اﻳﺠﺎد ﺗﺮﻛﻴﺒﺎﺗﻲ ﻛﻪ ﺑﻪ آﺳﺎﻧﻲ از ﺳﻄﺢ وﻳﻔﺮ ﺟﺪا ﻧ ﻤﻲﺷﻮﻧﺪ‬

‫‪508‬‬
‫وﻣﻤﻜﻦ اﺳﺖ ﺑﺎﻋﺚ ﻓﺮاﻳﻨﺪ ﻫﺎي ﻏﻴﺮ ﻳﻜﻨﻮاﺧﺖ ﻧﻴﺰ ﺑﮕﺮدد‪ ،‬اﺷﺎره ﻛﺮد‪ .‬ﻛﻠﺮ ﻳﻜﻲ از اﻧﻮاع اﻳﻦ آﻟﻮده‬

‫ﻛﻨﻨﺪه ﻫﺎﺳﺖ ﻛﻪ ﺑﺎﻳﺪ ﺑﺎ دﻗﺖ در ﻣﻮاد ﺷﻴﻤﻴﺎﻳﻲ ﻓﺮاﻳﻨﺪ ﻛﻨﺘﺮل ﮔﺮدد‪.‬‬

‫‪ - 4- 4- 13‬ﺑﺎﻛﺘﺮي ﻫﺎ‬

‫ﺑﺎﻛﺘﺮي ﻫﺎ ﻣﻮﺟﻮدات زﻧﺪه اي ﻫﺴﺘﻨﺪ ﻛﻪ در ﺳﻴﺴﺘـﻢ ﻫﺎي آﺑﻲ و ﻧﻴﺰ روي ﺳﻄـﻮﺣﻲ ﻛـﻪ ﻣﺮﺗـﺒﺎً‬

‫ﺗﻤﻴـﺰ ﻧﻤﻲ ﺷﻮﻧﺪ رﺷﺪ ﻣﻲ ﻛﻨﻨﺪ ‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ اﻳﻨﻜﻪ در ﻓﺮاﻳﻨﺪ ﺳﺎﺧﺖ ادوات ﻧﻴﻤﻪ ﻫﺎدي از‬

‫ﺟﻤﻠﻪ ﻣﺮﺣﻠﻪ زداﻳﺶ‪ ،‬وﻳﻔﺮﻫﺎ ﻣﺪت زﻣﺎن زﻳﺎدي در ﻣﺤﻠﻮل ﻫﺎي آﺑﻲ ﻗﺮار ﻣﻲ ﮔﻴﺮﻧﺪ ﭘﺲ در اﻳﻦ‬

‫ﺻﻨﻌﺖ ﺑﺎﻳﺪ ﺑﻪ اﻳﻦ ﻧﻮع از آﻟﻮده ﻛﻨﻨﺪه ﻫﺎ ﺗﻮﺟﻪ ﺧﺎﺻﻲ ﮔﺮدد و ﺳﻄﺢ وﻳﻔﺮﻫﺎ ﺑﺎﻳﺪ ﺑﻌﺪ از اﻧﺠﺎم ﻫﺮ‬

‫ﻓﺮاﻳﻨﺪ آﺑﻲ ﻛﺎﻣ ﻼً ﺧﺸﻚ ﮔﺮدد‪.‬‬

‫ﺑﺎﻛﺘﺮي ﻫﺎ در ﻳﻚ ﻗﻄﻌﻪ ﻣﻲ ﺗﻮاﻧﻨﺪ ﺑﻪ ﻋﻨﻮان ﻳﻚ آﻟﻮدﮔﻲ ذره اي ﻋﻤﻞ ﻛﻨﻨﺪ و ﻳﺎ ﻣﻤﻜﻦ اﺳﺖ‬

‫ﻣﻮﺟﺐ ﻳﻮنﻫﺎي ﻓﻠﺰي ﻧﺎﺧﻮاﺳﺘﻪ در ﺳﻄﺢ وﺳﻴﻠﻪ ﮔﺮد ﻧﺪ‪.‬‬

‫‪ - 5- 4- 13‬آﻟﻮده ﻛﻨﻨﺪه ﻫﺎي ﻣﻮﻟﻜﻮﻟﻲ ﻣﻮﺟﻮد در ﻫﻮا‬

‫آﻟﻮده ﻛﻨﻨﺪه ﻫﺎ ي ﻣﻮ ﻟﻜﻮﻟﻲ ﻣﻮﺟﻮد در ﻫﻮا ﻣﻮﻟﻜﻮل ﻫﺎي ﻓﺮاري ﻫﺴﺘﻨﺪ ﻛﻪ از ﺗﺠﻬﻴﺰات ﻓﺮاﻳﻨﺪ و ﻳﺎ‬

‫ﺳﻴﺴﺘﻢ ﻫﺎي ﺗﻮزﻳﻊ ﻣﻮاد ﺷﻴﻤﻴﺎﻳﻲ ﻓﺮار ﻣﻲ ﻛﻨﻨﺪ و ﻳﺎ ﻣﻤﻜﻦ اﺳﺖ از ﻃﺮﻳﻖ ﻣﻮاد ﻣﻮرد اﺳﺘﻔﺎده در‬

‫ﻓﺮاﻳﻨﺪ و ﻳﺎ ﻛﺎرﻛﻨﺎن اﺗﺎق ﺗﻤﻴﺰ وارد ﻣﺤﻴﻂ ﺳﺎﺧﺖ وﻳﻔﺮ ﮔﺮدﻧﺪ‪ .‬اﻧﺘﻘﺎل وﻳﻔﺮ ﻫﺎ از ﻳﻚ دﺳﺘﮕﺎه ﻓﺮاﻳﻨﺪ‬

‫ﺑﻪ دﺳﺘﮕﺎه دﻳﮕﺮ ﻣﻲ ﺗﻮاﻧﺪ اﻳﻦ ﻣﻮﻟﻜﻮل ﻫﺎ را ﺑﻪ راﺣﺘﻲ ﺑﻪ دﺳﺘﮕﺎه ﺑﻌﺪي ﻣﻨﺘﻘﻞ ﻛﻨﺪ‪ .‬آﻟﻮده ﻛﻨﻨﺪه‬

‫ﻫﺎي ﻣﻮﻟﻜﻮﻟﻲ ﻣﻮﺟﻮد در ﻫﻮا ﻫﻤﻪ ﮔﺎزﻫﺎ‪ ،‬آﻻﻳﻨﺪه ﻫﺎ ) ‪ (dopants‬و ﻣﻮاد ﺷﻴﻤﻴﺎﻳﻲ اﺳﺘﻔﺎده ﺷﺪه در‬

‫ﻣﺤﻴﻂ ﺳﺎﺧﺖ وﻳﻔﺮ را ﺷﺎﻣﻞ ﻣﻲ ﺷﻮﻧﺪ‪ .‬اﻛﺴﻴﮋن‪ ،‬رﻃﻮﺑﺖ‪ ،‬اﺳﻴﺪﻫﺎ‪ ،‬ﺑﺎزﻫﺎ‪ ،‬ﻣﻮاد آﻟﻲ و‪ ...‬ﻣﻲ ﺗﻮاﻧﻨﺪ در‬

‫اﻳﻦ دﺳﺘﻪ ﻗﺮار ﮔﻴﺮﻧﺪ ‪.‬‬

‫‪509‬‬
‫ﺑﻴﺸﺘﺮﻳﻦ آﺳﻴ ﺒﻲ ﻛﻪ اﻳﻦ آﻟﻮده ﻛﻨﻨﺪه ﻫﺎ ﻣﻲ رﺳﺎﻧﻨﺪ در ﭘﺮوﺳﻪ ﻫﺎﻳﻲ ﻣﻲ ﺑﺎﺷﺪ ﻛﻪ واﻛﻨﺶ‬

‫ﻫﺎي ﺷﻴﻤﻴﺎﻳﻲ ﺣﺴﺎﺳﻲ را ﺷﺎﻣﻞ ﻣﻲﺷﻮﻧﺪ ﻣﺎﻧﻨﺪ ﻧﻮردﻫﻲ ﻓﺘﻮرزﻳﺴﺖ ﺑﻪ ﻣﻨﻈﻮر اﻟﮕﻮﺳﺎزي‪ .‬از‬

‫ﻣﺸﻜﻼت دﻳﮕﺮي ﻛﻪ اﻳﻦ آﻟﻮدهﻛﻨﻨﺪهﻫﺎ اﻳﺠﺎد ﻣﻲﻛﻨﻨﺪ ﻣﻲﺗﻮان ﺗﻐﻴﻴﺮ ﻧﺮخ زداﻳﺶ و ﻧﻴﺰ اﻳﺠﺎد‬

‫آﻻﻳﻨﺪهﻫﺎي ﻧﺎﺧﻮاﺳﺘﻪ را ﻧﺎم ﺑﺮد‪.‬‬

‫‪ - 6- 4- 13‬ﺟﺎذﺑﻪ‪:‬‬

‫ﻣﺴﺌﻠﻪ ﺟﺎذﺑﻪ ﺑﺴﻴﺎر ﺣﺎﺋﺰ اﻫﻤﻴﺖ اﺳﺖ‪ ،‬ﺣﺪاﻗﻞ ﺿﺮرش اﻳﻦاﺳﺖ ﻛﻪ ﻣﺎ ﻣﺠﺒﻮرﻳﻢ در ﻫﺮ ﭘﺮوﺳﻪ ذوب‬

‫از ‪ container‬اﺳﺘﻔﺎده ﻛﻨﻴﻢ ﻛﻪ ﺧﻮد از ﻣﻨﺎﺑﻊ آﻟﻮدﮔﻲ اﺳﺖ‪ ،‬ﺿﻤﻦ اﻳﻨﻜﻪ ﺟﺎذﺑﻪ در ﻋﺪم ﺗﻮزﻳﻊ‬

‫ﻳﻜﻨﻮاﺧﺖ دو ﻳﺎ ﭼﻨﺪ ﻣﺎده در ﻫﻢ‪ ،‬ﺑﺴﻴﺎر ﻣﻮﺛﺮ اﺳﺖ ‪.‬‬

‫‪510‬‬
‫‪ - 7- 4- 13‬ﻧﻮع ﻋﻤﻠﻴﺎﺗﻲ ﻛﻪ در اﺗﺎق اﻧﺠﺎم ﻣﻲﺷﻮد ‪:‬‬

‫ﺑﻌﻀﻲ ﻓﻌﺎﻟﻴﺖﻫﺎ ﻫﻤﭽﻮن ﻟﺤﻴﻢ ﻛﺎري و ﺟﻮﺷﻜﺎري وﺗﺮاﺷﻜﺎري‪ ... ،‬ﻣﻲﺑﺎﺷﺪ ﻛﻪ ﻳﻚ ﻣﻨﺒﻊ آﻟﻮدﮔﻲ‬

‫ﻣﺤﺴﻮب ﻣﻲ ﺷﻮد‪.‬‬

‫‪ - 8- 4- 13‬ﺟﺮﻗﻪ اﻟﻜﺘﺮﻳﻜﻲ‪:‬‬

‫ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ اﻳﻨﻜﻪ ﻫﺮ ﺟﺮﻗﻪ ﻣﺎﻧﻨﺪ ﻳﻚ اﻧﻔﺠﺎر ﻛﻮﭼﻚ روي ﺳﻄﺢ ﻋﻤﻞ ﻣﻲ ﻛﻨﺪ ﻻﻳﻪ ﻫﺎي اﻟﻜﺘﺮﻳﻜﻲ‪ ،‬ﻳﺎ‬

‫ﺗﺠﻬﻴﺰات ﺑﺎ وﻟﺘﺎژ ﺑﻴﺸﺘﺮ ﻛﻪ در آﻧﻬﺎ ﻗﻮس اﻟﻜﺘﺮﻳﻜﻲ ﻳﺎ ﺟﺮﻗﻪ وﺟﻮد دارد‪ ،‬در ﻣﺤﻴﻂ اﺗﺎق ﺗﻮﻟﻴﺪ‬

‫آﻟﻮدﮔﻲ ﻣﻲ ﻛﻨﻨﺪ‪.‬‬

‫‪ - 9- 4- 13‬ﻋﺪم ﻳﻜﻨﻮاﺧﺘﻲ دﻣﺎ‪:‬‬

‫در ﺑﺮﺧﻲ ﻓﺮآﻳﻨﺪﻫﺎ ﻣﺎﻧﻨﺪ ﻓﺘﻮﻟﻴﺘﻮﮔﺮاﻓﻲ ﺗﻮزﻳﻊ وﻳﻜﻨﻮاﺧﺘﻲ درﺟﻪ ﺣﺮارت در اﺗﺎق ﺗﻤﻴﺰ از اﻫﻤﻴﺖ‬

‫ﺑﺴﺰاﻳﻲ ﺑﺮﺧﻮردار اﺳﺖ‪.‬‬

‫ﻣﻨﺎﺑﻊ آﻟﻮدﮔﻲ‬ ‫‪-5-13‬‬


‫ﺗﻤﺎم ﭼﻴﺰﻫﺎﻳﻲ ﻛﻪ در ﻃﻮل ﻓﺮاﻳﻨﺪ ﺳﺎﺧﺖ در ﺗﻤﺎس ﺑﺎ ﻣﺤﺼﻮل ﻗﺮار ﻣﻲ ﮔﻴﺮد ﻳﻚ ﻣﻨﺒﻊ‬

‫ﺑﺎﻟﻘﻮه آﻟﻮدﮔﻲ ﻣﻲ ﺑﺎﺷﺪ‪ .‬ﻣﻨﺎﺑﻊ اﻳﺠﺎد آﻟﻮدﮔﻲ را ﻣﻲ ﺗﻮان ﺑﻪ دو دﺳﺘﻪ ﻛﻠﻲ ﺗﻘﺴﻴﻢ ﻧﻤﻮد ﻛﻪ ﻋﺒﺎرﺗﻨﺪ‬

‫از ﻣﻨﺎﺑﻊ داﺧﻠﻲ و ﻣﻨﺎﺑﻊ ﺧﺎرﺟﻲ ﻛﻪ در اﻳﻦ ﻗﺴﻤﺖ ﺑﻪ ﺗﻮﺿﻴﺢ ﻫﺮ ﻳﻚ از آن ﻣﻲ ﭘﺮدازﻳﻢ‪.‬‬

‫‪511‬‬
‫‪ - 1- 5- 13‬ﻣﻨﺎﺑﻊ داﺧﻠﻲ‬

‫اﻳﻦ دﺳﺘﻪ از ﻣﻨﺎﺑﻊ آﻟﻮدﮔﻲ را ﻣﻲ ﺗﻮان ﺑﻪ ﺳﻪ ﮔﺮوه ﺗﻘﺴﻴﻢ ﻧﻤﻮد ﻛﻪ ﻋﺒﺎرﺗﻨﺪ از ‪:‬‬

‫‪ - 1‬ﺗﺠﻬﻴﺰات ﻣﻮرد اﺳﺘﻔﺎده در ﻓﺮاﻳﻨﺪ‬

‫‪ - 2‬ﻣﻮاد ﻣﻮرد اﺳﺘﻔﺎده در ﻓﺮاﻳﻨﺪ‬

‫‪ - 3‬ﻛﺎرﻛﻨﺎن اﺗﺎق ﺗﻤﻴﺰ‪.‬‬

‫‪ - 1- 1- 5- 13‬ﺗﺠﻬﻴﺰات ﻣﻮرد اﺳﺘﻔﺎده در ﻓﺮاﻳﻨﺪ‬

‫در ﻇﺎﻫﺮ اﻣﺮ ﺗﺼﻮر ﻣﻲ ﺷﻮد ﻛﻪ دﺳﺘﮕﺎه ﻫﺎي ﺑﻲ ﺟﺎن ﻧﺒﺎﻳﺪ ﻣﻨﺸﺄ آﻟﻮدﮔﻲ ﺑﺎﺷﻨﺪ در ﺣﺎﻟﻲ‬

‫ﻛﻪ ﻳﻚ ﺗﺤﻘﻴﻖ در ﺳﺎل ‪ 1985‬ﻧﺸﺎن داد ﻛﻪ دﺳﺘﮕﺎه ﻫﺎ و اﺑﺰار ﻣﻮرد اﺳﺘﻔﺎده در ﻓﺮاﻳﻨﺪ ﻫﺎ ﻧﻴﺰ ﻣﻨﺎﺑﻊ‬

‫ﺑﺰرﮔﻲ از آﻟﻮدﮔﻲ ﻫﺴﺘﻨﺪ‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ ﻃﺮاﺣﻲ اﻳﻦ ﺳﻴﺴﺘﻢﻫﺎ و اﺳﺘﻔﺎده از ﻣﻮاد ﻣﻨﺎﺳﺐ در ﺳﺎﺧﺖ‬

‫آنﻫﺎ ﺑﺴﻴﺎر ﻣﻬﻢ اﺳﺖ ‪.‬‬

‫‪ - 2- 1- 5- 13‬ﻣﻮاد ﻣﻮرد اﺳﺘﻔﺎده در ﻓﺮاﻳﻨﺪ‬

‫ﻣﻮاد ﻣﻮرد اﺳﺘﻔﺎده در ﻓﺮاﻳﻨﺪ ﺷﺎﻣﻞ ﻣﻮارد زﻳﺮ ﻣﻲ ﺑﺎﺷﻨﺪ ‪:‬‬

‫‪ - 1‬ﮔﺎزﻫﺎي ﻓﺮاﻳﻨﺪ‪،‬‬

‫‪ - 2‬ﻣﻮاد ﺷﻴﻤﻴﺎﻳﻲ ﻓﺮاﻳﻨﺪ‬

‫‪ - 3‬آب ﻣﻮرد اﺳﺘﻔﺎده در ﻓﺮاﻳﻨﺪ‬

‫ﻛﻴﻔﻴﺖ ﻳﻚ ﮔﺎز ﺑﺎ درﺟﻪ ﺧﻠﻮص‪ ،‬ﻣﻘﺪار ﺑﺨﺎر آب‪ ،‬ذرات رﻳﺰ و ﻳﻮن ﻫﺎي ﻓﻠﺰي ﻣﻮﺟﻮد در آن‬

‫ﺑﻴﺎن ﻣﻲ ﺷﻮد‪ .‬ﻟﺬا ﻛﻴﻔﻴﺖ ﮔﺎزﻫﺎي ﻓﺮاﻳﻨﺪ از اﻫﻤﻴﺖ زﻳﺎدي ﺑﺮﺧﻮردار اﺳﺖ‪.‬‬

‫‪512‬‬
‫ﻣﻮاد ﺷﻴﻤﻴﺎﻳﻲ ﻓﺮاﻳﻨﺪ ﻧﻴﺰ ﺑﺎﻳﺪ داراي ﺑﻴﺸﺘﺮﻳﻦ ﺧﻠﻮص ﺑﺎﺷﻨﺪ و ﻇﺮوف ﺣﺎوي اﻳﻦ ﻣﻮاد ﻧﻴﺰ‬

‫ﻋﻼوه ﺑﺮ ﭘﺎﻛﻴﺰ ه ﺑﻮدن ﺑﺎﻳﺪ از ﺟﻨﺴﻲ ﺑﺎﺷﻨﺪ ﻛﻪ دراﻳﻦ ﻣﻮاد ﻏﻴﺮ ﻗﺎﺑﻞ ﺣﻞ ﺑﺎﺷﻨﺪ ‪.‬‬

‫آب ﻣﻌﻤﻮﻟﻲ ﺣﺎوي اﻣﻼح‪ ،‬ﻣﻮاد ﻣﻌﺪﻧﻲ ﻣﺤﻠﻮل‪ ،‬ذرات رﻳﺰ و ﺑﺎﻛﺘﺮي ﻫﺎ ﻣﻲ ﺑﺎﺷﺪ‪ .‬ﺑﻨﺎﺑﺮاﻳﻦ آب‬

‫ﻣﻮرد اﺳﺘﻔﺎده در ﻓﺮاﻳﻨﺪ ﻧﻴﺰ ﺑﺎﻳﺪ ﺗﺎ ﺣﺪ ﻗﺎﺑﻞ ﻗﺒﻮﻟﻲ ﺗﺼﻔﻴﻪ ﺷﺪه و ﭘﺎﻛﻴﺰه ﺑﺎﺷﺪ ‪.‬‬

‫‪ - 3- 1- 5- 13‬ﻛﺎرﻛﻨﺎن اﺗﺎق ﺗﻤﻴﺰ‬

‫ﻛﺎرﻛﻨﺎن اﺗﺎق ﺗﻤﻴﺰ در زﻣﺮه ﺑﺰرﮔﺘﺮﻳﻦ ﻣﻨﺎﺑﻊ آﻟﻮدﮔﻲ ﻫﺴﺘﻨﺪ‪ .‬ﻳﻚ اﭘﺮاﺗﻮر اﺗﺎق ﺗﻤﻴﺰ ﺣﺘﻲ در‬

‫ﺣﺎﻟﺖ ﻧﺸﺴﺘﻪ ﻣﻲ ﺗﻮاﻧﺪ ﺑﻴﻦ ‪ 100.000‬ﺗﺎ ‪ 1.000.000‬ذره در دﻗﻴﻘﻪ در ﻣﺤﻴﻂ اﻃﺮاف ﻣﻨﺘﺸﺮ ﻛﻨﺪ‬

‫ﻛﻪ اﻳﻦ رﻗﻢ ﻫﻨﮕﺎﻣﻲ ﻛﻪ ذره در ﺣﺎل ﺣﺮﻛﺖ اﺳﺖ ﺑﻪ ﺷﺪت اﻓﺰاﻳﺶ ﻣﻲ ﻳﺎﺑﺪ‪ .‬ﺟﺪول )‪ (1- 8‬درﺻﺪ‬

‫اﻓﺰاﻳﺶ ﭘﺮاﻛﻨﺪه ﺳﺎزي ذرات را ﻧﺴﺒﺖ ﺑﻪ ﺣﺎﻟﺖ ﺗﻨﻔﺲ ﻃﺒﻴﻌﻲ ﻧﺸﺎن ﻣﻲ دﻫﺪ‪ .‬اﻟﺒﺘﻪ ﻻزم ﺑﻪ ذﻛﺮ‬

‫اﺳﺖ ﻛﻪ اﻧﺴﺎن در ﺣﺎﻟﺖ ﺗﻨﻔﺲ ﻃﺒﻴﻌﻲ ﻧﻴﺰ ذراﺗﻲ را در ﻫﻮا ﻣﻨﺘﺸﺮ ﻣﻲ ﻛﻨﺪ و در اﻳﻦ ﺟﺪول اﻳﻦ‬

‫ﻣﻘﺪار ذره ﺑﻪ ﻋﻨﻮان ﻳﻚ ﻣﺮﺟﻊ ﺑﺮاي ﻣﻘﺎﻳﺴﻪ ﺣﺎﻟﺖ ﻫﺎي ﻣﺨﺘﻠﻒ در ﻧﻈﺮ ﮔﺮﻓﺘﻪ ﺷﺪه اﺳﺖ‪.‬‬

‫ذرات ﻣﻨﺘﺸﺮ ﺷﺪه ﺗﻮﺳﻂ ﻛﺎرﻛﻨﺎن اﺗﺎق ﺗﻤﻴﺰ ﺑﻪ ﻋﻠﺖ رﻳﺰش ﻣﻮ‪ ،‬ﭘﺮاﻛﻨﺪﮔﻲ ذرات ﭘﻮﺳﺖ‪،‬‬

‫ﺗﻌﺮﻳﻖ‪ ،‬ﻣﻮاد آراﻳﺸﻲ و اﺳﭙﺮي ﻫﺎي اﺳﺘﻔﺎده ﺷﺪه‪ ،‬رﻧﮓ ﻟﺒﺎس و ‪ ...‬ﻣﻲ ﺑﺎﺷﺪ ‪.‬‬

‫‪513‬‬
514
‫ﻗﻮاﻧﻴﻨﻲ ﻛﻪ ﺑﺎﻳﺪ در اﺗﺎق ﺗﻤﻴﺰ رﻋﺎﻳﺖ ﺷﻮد را در ﺟﺪاول زﻳﺮ ﺑﺎ ﻫﻢ ﻣﺸﺎﻫﺪه‬
‫ﻣﻲ ﻛﻨﻴﻢ‪:‬‬

‫‪515‬‬
‫‪ - 4- 1- 5- 13‬ﻛﻨﺘﺮل آﻟﻮدﮔﻲ داﺧﻠﻲ‬

‫ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ ﻣﻄﺎﻟﺒﻲ ﻛﻪ راﺟﻊ ﺑﻪ ﻣﻨﺎﺑﻊ داﺧﻠﻲ آﻟﻮدﮔﻲ ﮔﻔﺘﻪ ﺷﺪ ﺑﻨﺎﺑﺮاﻳﻦ ﺑﺎﻳﺪ روش ﻫﺎﻳﻲ را‬

‫ﻛﻪ ﻣﻲ ﺗﻮان ﺑﺮاي ﻛﻨﺘﺮل اﻳﻦ ﻧﻮع از آﻟﻮدﮔﻲ ﻫﺎ ﺑﻪ ﻛﺎر ﮔﺮﻓﺖ ﺑﻴﺎن ﻛﻨﻴﻢ ﻛﻪ در زﻳﺮ ﺗﻌﺪادي از آﻧﻬﺎ‬

‫آﻣﺪه اﺳﺖ ﻛﻪ ﻋﺒﺎرﺗﻨﺪ از‪:‬‬

‫‪ - 1‬دﻳﻮارﻫﺎ‪ ،‬ﻛﻒ اﺗﺎق‪ ،‬ﺳﻘﻒ‪ ،‬وﺳﺎﻳﻞ روﺷﻨﺎﻳﻲ )‪ ،(Lighting Fixtures‬درﻫﺎ و ﭘﻨﺠﺮه ﻫﺎ ﺑﺎﻳﺪ از‬

‫ﺟﻨﺴﻲ ﺑﺎﺷﻨﺪ ﻛﻪ ﻣﻄﺎﺑﻖ ﺑﺎ اﺳﺘﺎﻧﺪاردﻫﺎي اﺗﺎق ﺗﻤﻴﺰ ﺑﺎﺷﺪ و ﻫﻤﭽﻨﻴﻦ در اﻧﺘﺨﺎب ﺟﻨﺲ ﺗﺠﻬﻴﺰات و‬

‫وﺳﺎﻳﻠﻲ ﻛﻪ در اﺗﺎق ﺗﻤﻴﺰ اﺳﺘﻔﺎده ﻣﻲ ﺷﻮدﻧﺪ ﻧﻴﺰ ﺑﺎﻳﺪ دﻗﺖ ﻻزم ﻣﺒﺬول ﮔﺮدد ﺑﻪ ﻃﻮرﻳﻜﻪ ﺣﺘﻲ ﻣﺪاد‬

‫و ﻛﺎﻏﺬ ﻣﻮرد اﺳﺘﻔﺎده در اﻳﻦ اﺗﺎق‪ ،‬ﻣﺨﺼﻮص ﻣﻲ ﺑﺎﺷﻨﺪ ‪.‬‬

‫‪ - 2‬ﻣﻮاد و ﺗﺠﻬﻴﺰات ﺑﺎﻳﺪ ﻗﺒﻞ از ورود ﺑﻪ اﺗﺎق ﺗﻤﻴﺰ ﻛﺎﻣﻼً ﺗﻤﻴﺰ ﺷﻮﻧﺪ ‪.‬‬

‫‪ - 3‬ﻣﻲ ﺗﻮان از دوش ﻫﺎي ﻫﻮا ﺑﺮاي ﺟﺪا ﻛﺮدن آﻟﻮده ﻛﻨﻨﺪه ﻫﺎ ازروي ﻟﺒﺎس ﻛﺎرﻛﻨﺎن اﺗﺎق ﺗﻤﻴﺰ ﻗﺒﻞ‬

‫از ورود آﻧﻬﺎ ﺑﻪ اﺗﺎق اﺳﺘﻔﺎده ﻧﻤﻮد ‪.‬‬

‫‪ - 4‬اﺷﺨﺎص‪ ،‬ﻗﺒﻞ از وارد ﺷﺪن ﺑﻪ اﻳﻦ اﺗﺎق ﺑﺎﻳﺪ ﻟﺒﺎس ﻫﺎي ﻣﺨﺼﻮﺻﻲ ﺑﭙﻮﺷﻨﺪ‪ .‬ﭘﻮﺷﺶ ﻛﺎرﻛﻨﺎن‬

‫اﺗﺎق ﺗﻤﻴﺰ ﺷﺎﻣﻞ ﻣﻮارد زﻳﺮ ﻣﻲ ﺑﺎﺷﺪ ‪:‬‬

‫‪ -‬روي ﺳﺮ ﻳﻚ ﻛﻼه ﻗﺮار داده ﻣﻲ ﺷﻮد ﺗﺎ ﺗﻤﺎم ﻣﻮﻫﺎ را ﺑﭙﻮﺷﺎﻧﺪ‬

‫‪ -‬ﺻﻮرت ﺗﻮﺳﻂ ﻣﺎﺳﻚ ﭘﻮﺷﺎﻧﺪه ﻣﻲ ﺷﻮد ‪.‬‬

‫‪ -‬ﭼﺸﻢ ﻫﺎ ﻛﻪ آﻧﻬﺎ ﻧﻴﺰ ﻳﻜﻲ از ﻣﻨﺎﺑﻊ آﻟﻮدﮔﻲ ﻫﺴﺘﻨﺪ ﺗﻮﺳﻂ ﻋﻴﻨﻚ ﭘﻮﺷﺎﻧﺪه ﻣﻲ ﺷﻮﻧﺪ ‪.‬‬

‫‪ -‬ﺑﺮاي ﭘﻮﺷﺎﻧﺪن ﻛﻞ ﺳﺮ و ﺻﻮرت و ﮔﺮدن ﻛﻼه ﻫﺎي ﻣﺨﺼﻮﺻﻲ اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد ﻛﻪ ﺑﺮاي اﻳﻨﻜﻪ‬

‫ﺷﺨﺺ از ﻟﺤﺎظ ﺗﻨﻔﺲ ﻧﻴﺰ ﺑﺎ ﻣﺸﻜﻠﻲ ﻣﻮاﺟﻪ ﻧﺸﻮد اﻳﻦ ﻛﻼهﻫﺎ ﺑﻪ ﻳﻚ ﻣﻨﺒﻊ ﻫﻮا ﻫﻤﺮاه ﺑﺎ ﻳﻚ ﻓﻴﻠﺘﺮ‬

‫ﻣﺘﺼﻞ ﻣﻲ ﺑﺎﺷﻨﺪ ‪.‬‬

‫‪516‬‬
‫‪ -‬ﺑﺮاي ﭘﻮﺷﺎﻧﺪن ﻛﻞ ﺑﺪن از ﻟﺒﺎس ﻫﺎي ﻳﻚ ﺗﻜﻪ اي ﻛﻪ ﺑﻪ آﻧﻬﺎ " ‪ " bunny suit‬ﮔﻔﺘﻪ ﻣﻲ ﺷﻮد‬

‫اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد ﻛﻪ ﺟﻨﺲ اﻳﻦ ﭘﻮﺷﺶ ﻫﺎ ﻣﻌﻤﻮﻻً از ﭘﻠﻲ اﺳﺘﺮ ﻣﻲ ﺑﺎﺷﺪ و ﻫﻴﭻ ﺟﻴﺐ ﺧﺎرﺟﻲ‬

‫ﻧﺪارﻧﺪ‪.‬‬

‫‪ -‬ﺑﺮاي ﭘﻮﺷﺎﻧﺪن دﺳﺖ ﻫﺎ از دﺳﺘﻜﺶ ﻫﺎ ﻳﻲ ﺑﺎ ﺟﻨﺲ ﻣﺨﺼﻮص اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد ﺑﻪ ﻃﻮرﻳﻜﻪ ﺣﺲ‬

‫ﻻﻣﺴﻪ را ﻧﻴﺰ ﺗﻀﻌﻴﻒ ﻧﻜﻨﻨﺪ‪.‬‬

‫‪ -‬ﺑﺮاي ﭘﻮﺷﺎﻧﺪن ﭘﺎﻫﺎ ﻧﻴﺰ از ﻛﻔﺶ و روﻛﺶ ﻫﺎي ﻣﺨﺼﻮﺻﻲ اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‪.‬‬

‫ﭼﻨﺪ ﻧﻤﻮﻧﻪ از ﭘﻮﺷﺶ ﻫﺎ ي ﻣﻮرد اﺳﺘﻔﺎده در اﺗﺎق ﺗﻤﻴﺰ در ﺷﻜﻞ )‪ (2- 8‬آورده ﺷﺪه اﻧﺪ ‪.‬‬

‫ﺷﻜﻞ ‪ : 2- 8‬ﭼﻨﺪ ﻧﻤﻮﻧﻪ از ﭘﻮﺷﺶ ﻫﺎي ﻣﻮرد اﺳﺘﻔﺎده در اﺗﺎق ﺗﻤﻴﺰ‬


‫‪517‬‬
‫‪ - 5- 1- 5- 13‬ﻧﻈﺎﻓﺖ‬

‫ﻳﻜﻲ از ﻣﻮاردي ﻛﻪ در اﺗﺎق ﻫﺎي ﺗﻤﻴﺰ ﻫﻤﻮاره ﻣﻄﺮح ﻣﻲﺑﺎﺷﺪ ﺑﺤﺚ ﭘﺎﻛﻴﺰﮔﻲ اﺳﺖ ‪.‬‬

‫ﻣﻮاردي را ﻛﻪ ﺗﻤﻴﺰي اﺗﺎق ﺗﻤﻴﺰ ﺑﻪ آﻧﻬﺎ ﻣﺮﺗﺒﻂ ﻣﻲ ﺑﺎﺷﺪ را در ﺟﺪاول زﻳﺮ ﺑﺮرﺳﻲ ﻣﻲ ﻛﻨﻴﻢ ‪:‬‬

‫ﻻزم ﺑﻪ ذﻛﺮ اﺳﺖ ﻛﻪ ﻣﻬﺘﺮﻳﻦ اﻳﻦ ﻣﻮارد ﻣﺮﺑﻮط ﺑﻪ ﺷﺨﺼﻲ اﺳﺖ ﻛﻪ در اﺗﺎق ﺗﻤﻴﺰ ﻣﺸﻐﻮل ﺑﻪ ﻛﺎر‬

‫ﻣﻲﺑﺎﺷﺪ ‪.‬‬

‫‪518‬‬
519
‫‪ - 2- 5- 13‬ﻣﻨﺎﺑﻊ ﺧﺎرﺟﻲ‬

‫آﻟﻮدﮔﻲ ﺧﺎرﺟﻲ ﺑﻴﺸﺘﺮ از ﻃﺮﻳﻖ ﺳﻴﺴﺘﻢ ﺗﻬﻮﻳﻪ ﻫﻮا از ﻣﺤﻴﻂ ﺧﺎرج ‪ ،‬وارد اﺗﺎق ﺗﻤﻴﺰ ﻣﻲ ﮔﺮدد‪.‬‬

‫ﻫﻤﭽﻨﻴﻦ آﻟﻮدﮔﻲ ﺧﺎرﺟﻲ ﻣﻲ ﺗﻮاﻧﺪ از راه درﻫﺎ و ﭘﻨﺠﺮه ﻫﺎي ﺳﺎﺧﺘﻤﺎن و ﻧﻴﺰ ﻣﻨﺎﻓﺬ و ﺳﻮراخ ﻫﺎي‬

‫ﻣﻮﺟﻮد در دﻳﻮار ﻛﻪ ﺑﺮاي ﻋﺒﻮر ﻟﻮﻟﻪ و ﻛﺎﺑﻞ ﻫﺎ اﻳﺠﺎد ﺷﺪهاﻧﺪ‪ ،‬وارد اﺗﺎق ﺗﻤﻴﺰ ﺷﻮد‪ .‬ﻳﻚ ﻣﺸﻜﻞ‬

‫اﺻﻠﻲ ذرات ﻣﻮﺟﻮد در ﻫﻮا اﻳﻦ اﺳﺖ ﻛﻪ اﻳﻦ ذرات رﻳﺰ ﻛﻪ ﺑﻪ آن " ‪ "aerosol‬ﻧﻴﺰ ﮔﻔﺘﻪ ﻣﻲ ﺷﻮد در‬

‫ﻫﻮا ﻣﻌﻠﻖ ﻣﻲ ﺑﺎﺷﻨﺪ و ﻣﻲ ﺗﻮاﻧﻨﺪ ﻣﺪت زﻣﺎن ﻃﻮﻻﻧﻲ در ﻫﻮا ﺑﺎﻗﻲ ﺑﻤﺎﻧﻨﺪ ‪.‬‬

‫‪ - 1- 2- 5- 13‬ﻛﻨﺘﺮل آﻟﻮدﮔﻲ ﺧﺎرﺟﻲ‬

‫روش ﻫﺎﻳﻲ ﻛﻪ ﻣﻲ ﺗﻮان ﺑﺮاي ﻛﻨﺘﺮل آﻟﻮدﮔﻲ ﺧﺎرﺟﻲ ﺑﻪ ﻛﺎر ﺑﺮد ﻋﺒﺎرﺗﻨﺪ از ‪:‬‬

‫‪ - 1‬ﺗﻨﻈﻴﻢ دﻗﻴﻖ ﻓﺸﺎر ﻫﻮاي ﻣﺤﻴﻂ و ﺑﻪ ﻋﺒﺎرﺗﻲ ﺗﻨﻈﻴﻢ ﻓﺸﺎر ﻫﻮاي داﺧﻞ اﺗﺎق ﺑﻪ ﻣﻘﺪاري ﺑﻴﺸﺘﺮ از‬

‫ﻓﺸﺎر ﻫﻮاي ﻣﺤﻴﻂ ﺧﺎرج‬

‫‪ - 2‬درزﮔﻴﺮي ) ‪ (seal‬ﻣﻨﺎﻓﺬ ﻣﻮﺟﻮد در ﺳﺎﺧﺘﻤﺎن‬

‫‪- 3‬ﺣﺬف ﻧﻘﺎط ﻣﺮده ﻫﻮاﻳﻲ ) ‪(dead pockets‬‬

‫ﺑﺮاي اﻳﻦ ﻣﻨﻈﻮر ﺑﺎﻳﺪ وﺳﺎﻳﻞ و ﻟﻮازم اﺗﺎق ﻃﻮري ﺑﺎﺷﻨﺪ ﻛﻪ اوﻻ ﺧﻮد وﺳﺎﻳﻞ داراي ﻛﻤﺘﺮﻳﻦ ﻧﻘﺎط‬

‫ﻣﺮده ﺑﺎﺷﻨﺪ ﺛﺎﻧﻴﺎ ﭼﻴﺪﻣﺎن آﻧﻬﺎ ﺗﺎ ﺟﺎﻳﻲ ﻛﻪ اﻣﻜﺎن دارد ﻃﻮري ﺑﺎﺷﺪ ﻛﻪ ﺟﻠﻮي ﻫﻮا را ﻧﮕﻴﺮﻧﺪ‪ ،‬ﺑﻪ‬

‫ﻋﺒﺎرﺗﻲ ﻛﻲ ﻣﺴﻴﺮ ﻣﺸﺨﺺ از ورودي ﺑﻪ ﺧﺮوﺟﻲ وﺟﻮ داﺷﺘﻪ ﺑﺎﺷﺪ ‪.‬‬

‫‪ - 4‬ﻣﻮاد ﺑﻪ ﻛﺎر رﻓﺘﻪ در ﺳﺎﺧﺘﻤﺎن اﺗﺎق از ﻗﺒﻴﻞ ﻣﻮاد وﻣﺼﺎﻟﺢ ﺑﻪ ﻛﺎر رﻓﺘﻪ در ﺳﺎﺧﺖ دﻳﻮارﻫﺎ وﻛﻒ‬

‫ﺑﺎﻳﺪ ﺻﺎف ﺑﺎﺷﺪ و ﻗﺎﺑﻠﻴﺖ رﻓﻊ آﻟﻮدﮔﻲ را داﺷﺘﻪ ﺑﺎﺷﻨﺪ و ﺟﺎﻳﮕﺎﻫﻲ ﺑﺮاي ذﺧﻴﺮه ﮔﺮد وﻏﺒﺎر وآﻟﻮدﮔﻲ‬

‫ﻧﺒﺎﺷﺪ و و در ﻣﻘﺎﺑﻞ رﻃﻮﺑﺖ ﻣﻘﺎوم و ﻧﻔﻮذﻧﺎﭘﺬﻳﺮ ﺑﺎﺷﻨﺪ‪.‬‬

‫‪520‬‬
‫‪- 5‬ﻓﻴﻠﺘﺮ ﻛﺮدن ﻫﻮا ﺑﺎ راﻧﺪﻣﺎن ﺑﺎﻻ‪:‬‬

‫ﺣﺎل ﺑﻪ ﻃﺮﻳﻘﻪ ﻓﻴﻠﺘﺮ ﻛﺮدن ﻫﻮا ﻣﻲﭘﺮدازﻳﻢ‪ .‬ﺑﺮاي ﭘﺎﻛﺴﺎزي ﻫﻮا از ﻓﻴﻠﺘﺮﻫﺎي ﺗﺼﻔﻴﻪ ﻛﻨﻨﺪه اﺳﺘﻔﺎده‬

‫ﻣﻲﺷﻮد ﻛﻪ ﻓﻴﻠﺘﺮﻫﺎي ‪ HEPA‬و‪ ULPA‬ﺑﻴﺸﺘﺮﻳﻦ اﺳﺘﻔﺎده را در اﺗﺎق ﻫﺎي ﺗﻤﻴﺰ دارﻧﺪ‪.‬‬

‫‪HEPA: High-Efficiency Particulate Attenuation filter‬‬


‫‪ULPA: Ultra-Low-Particle Air filter‬‬
‫اﻳﻦ ﻓﻴﻠﺘﺮﻫﺎ اﻏﻠﺐ از ﺟﻨﺲ ﭘﺸﻢ ﺷﻴﺸﻪ )‪ (glass fiber‬ﺳﺎﺧﺘﻪ ﻣﻲ ﺷﻮﻧﺪ‪ .‬اﻟﺒﺘﻪ ﻓﻴﻠﺘﺮﻫﺎي ‪ULPA‬‬

‫ﻣﻤﻜﻦ اﺳﺖ از ﺗﻔﻠﻮن ) ‪ (PTFE‬ﻧﻴﺰ ﺳﺎﺧﺘﻪ ﺷﻮﻧﺪ زﻳﺮا ﭘﺸﻢ ﺷﻴﺸﻪ ﺗﻘﺮﻳﺒﺎً ‪ 10%‬ﺑﻮرن دارد ﻛﻪ در‬

‫ﺻﻮرت وﺟﻮد رﻃﻮﺑﺖ ﻳﺎ ﻫﻴﺪروژن ﻓﻠﻮراﻳﺪ) ‪ HF‬ﻣﻲ ﺗﻮاﻧﺪ آزاد ﺷﺪه و ﻣﻨﺘﺸﺮ ﺷﻮد و ﺑﻨﺎﺑﺮاﻳﻦ ﺑﻮرن‬

‫آزاد ﺷﺪه در ﻫﻮا ﻣﻲ ﺗﻮاﻧﺪ در ﻓﺮاﻳﻨﺪ ﺳﺎﺧﺖ وﻳﻔﺮ اﻳﺠﺎد ﻣﺸﻜﻞ ﻧﻤﺎﻳﺪ‪ .‬اﻳﻦ ﻓﻴﻠﺘﺮﻫﺎ ﺷﺎﻣﻞ ﺗﻌﺪاد‬

‫ﺧﻴﻠﻲ زﻳﺎدي ﺳﻮراخ ﺑﺴﻴﺎر رﻳﺰ ﻫﺴﺘﻨﺪ‪ .‬ﻃﺒﻴﻌﺖ اﻳﻦ ﻓﻴﻠﺘﺮﻫﺎ ﺑﻪ ﮔﻮﻧﻪاي اﺳﺖ ﻛﻪ ﻣﻲﺗﻮاﻧﻨﺪ ﺣﺠﻢ‬

‫زﻳﺎدي از ﻫﻮا را ﺑﺎ ﺳﺮﻋﺖ ﭘﺎﻳﻴﻦ از ﺧﻮد ﻋﺒﻮر دﻫﻨﺪ ‪ .‬ﺳﺮﻋﺖ ﭘﺎﻳﻴﻦ ﺟﺮﻳﺎن ﻫﻮا‪ ،‬ﺑﺴﻴﺎر ﻣﻬﻢ اﺳﺖ ﭼﺮا‬

‫ﻛﻪ اوﻻً ﻧﺒﺎﻳﺪ ﺑﺮاي ﻛﺎرﻛﻨﺎن ﻣﺰاﺣﻤﺖ اﻳﺠﺎد ﻛﻨﺪ و ﺛﺎﻧﻴﺎً در ﺑﺮﺧﻮرد ﺑﺎ دﻳﮕﺮ اﺟﺴﺎم‪ ،‬ﻧﺒﺎﻳﺪ ذرات روي‬

‫ﺳﻄﺢ ﺟﺴﻢ را در ﻣﺤﻴﻂ ﻣﻨﺘﺸﺮ ﺳﺎزد‪.‬‬

‫ﻋﻼوه ﺑﺮ ﺳﺮﻋﺖ ﻛﻢ‪ ،‬ﺟﺮﻳﺎن ﻫﻮا ﺑﻪ ﺻﻮرت ورﻗﻪاي در ﻣﺤﻴﻂ ﻣﻨﺘﺸﺮ ﻣﻲ ﺷﻮد و ﻫﺮ ﭼﻨﺪ دﻗﻴﻘﻪ ﻳﻚ‬

‫ﺑﺎر ﻫﻮاي اﺗﺎق ﺑﻪ ﻃﻮر ﻛﺎﻣﻞ ﺗﻬﻮﻳﻪ ﻣﻲﺷﻮد‪ .‬اﻳﻦ ﻓﻴﻠﺘﺮﻫﺎ از دو ﺑﺨﺶ دﻣﻨﺪه و ﻣﻜﻨﺪه ﺗﺸﻜﻴﻞ ﺷﺪه‬

‫اﻧﺪ و در ﺳﻘﻒ و ﻛﻒ و ﻳﺎ در ﻃﺮﻓﻴﻦ اﺗﺎق ﺗﻤﻴﺰ ﺗﻌﺒﻴﻪ ﻣﻲﺷﻮﻧﺪ‪ .‬ﻃﺮﻳﻘﻪ ﻓﻠﻴﺘﺮ ﻛﺮدن ﻫﻮا در ﺷﻜﻞ )‪- 8‬‬

‫‪ (3‬ﻧﺸﺎن داده ﺷﺪه اﺳﺖ ‪.‬‬

‫‪521‬‬
‫ﺷﻜﻞ ‪ : 3- 8‬ﻃﺮﻳﻘﻪ ﻓﻴﻠﺘﺮ ﻛﺮدن ﻫﻮا در ﻳﻚ اﺗﺎق ﺗﻤﻴﺰ‬

‫ﻓﻴﻠﺘﺮﻫﺎي ‪ HEPA‬و ‪ ULPA‬ﺑﺮاﺳﺎس ﻳﻚ اﺳﺘﺎﻧﺪارد اروﭘﺎﻳﻲ ﺑﻪ ﻧﺎم ‪ ،EN 1822‬ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ‬

‫درﺻﺪ ﻧﻔﻮد و ﻣﻴﺰان راﻧﺪﻣﺎﻧﺸﺎن ﺑﻪ ﭼﻨﺪ ﻛﻼس ﺗﻘﺴﻴﻢ ﺑﻨﺪي ﻣﻲ ﺷﻮﻧﺪ‪ .‬اﻳﻦ ﺗﻘﺴﻴﻢ ﺑﻨﺪي درﺟﺪول‬

‫)‪ (2- 8‬ﻧﺸﺎن داده ﺷﺪه اﺳﺖ ‪.‬‬

‫‪522‬‬
‫ﺟﺪول ‪ : 2- 8‬ﺗﻘﺴﻴﻢ ﺑﻨﺪي ﻓﻴﻠﺘﺮﻫﺎي ‪ HEPA‬و ‪ ULPA‬ﺑﺮاﺳﺎس اﺳﺘﺎﻧﺪارد ‪H: HEPA , ) EN 1822‬‬

‫‪( U: ULPA‬‬

‫ﻧﻜﺘﻪ دﻳﮕﺮي ﻛﻪ در اﻳﻦ ﻓﻴﺘﺮﻫﺎ ﺑﺎﻳﺪ ﻣﻮرد ﺗﻮﺟﻪ ﻗﺮارﮔﻴﺮد‪ ،‬ﺣﺪاﻗﻞ اﻧﺪازه ذراﺗﻲ اﺳﺖ ﻛﻪ‬

‫ﺗﻮﺳﻂ ﻓﻴﻠﺘﺮ ازﻫﻮا ﺟﺪا ﻣﻲﺷﻮﻧﺪ‪ .‬اﻳﻦ ﻣﻘﺪار در ﻓﻴﻠﺘﺮﻫﺎي ‪ HEPA‬ﺣﺪود ‪ 0.3‬ﻣﻴﻜﺮون و در‬

‫ﻓﻴﻠﺘﺮﻫﺎي‪ ULPA‬در ﺣﺪود ‪ 0.12‬ﻣﻴﻜﺮون ﻣﻲ ﺑﺎﺷﺪ ‪ .‬در ﺷﻜﻞ )‪ (4- 8‬ﭼﻨﺪ ﻧﻤﻮﻧﻪ از اﻳﻦ ﻓﻴﻠﺘﺮﻫﺎ ﻧﺸﺎن‬

‫داده ﺷﺪه اﺳﺖ‪.‬‬

‫ﺷﻜﻞ ‪ : 4- 8‬ﭼﻨﺪ ﻧﻤﻮﻧﻪ ﻓﻴﻠﺘﺮ ﻣﻮرد اﺳﺘﻔﺎده در اﺗﺎق ﺗﻤﻴﺰ‬

‫‪523‬‬
‫اﺳﺘﺎﻧﺪاردﻫﺎي اﺗﺎق ﺗﻤﻴﺰ‬ ‫‪-6-13‬‬
‫اوﻟﻴﻦ اﺳﺘﺎﻧﺪاردﻫﺎي اﺗﺎق ﺗﻤﻴﺰ ﺗﻮﺳﻂ ﻧﻴﺮوي ﻫﻮاﻳﻲ آﻣﺮﻳﻜﺎ در ﻣﺎرس ‪ 1961‬ﻧﻮﺷﺘﻪ ﺷﺪه‬

‫ﺷﻨﺎﺧﺘﻪ ﻣﻲ ﺷﻮد‪ .‬و ﺗﻴﻢ‬ ‫اﺳﺖ و ﺑﻪ ﻋﻨﻮان ‪Technical Manual (T.O) 00-25-203‬‬

‫‪ Corporation Sandia‬ﻛﻪ ﺟﺮﻳﺎن ﻣﺴﺘﻘﻴﻢ را اﺧﺘﺮاع ﻛﺮد ﺗﻮاﻧﺴﺖ ﺑﺎ ﺣﻤﺎﻳﺖ ﻧﻴﺮوﻫﺎي دوﻟﺘﻲ و‬

‫ﺻﻨﻌﺘﻲ و ارﺗﺶ آﻣﺮﻳﻜﺎ و ﻫﻤﻜﺎري ﻛﻤﺴﻴﻮن اﻧﺮژي اﺗﻤﻲ و ﻧﺎﺳﺎ اوﻟﻴﻦ اﺳﺘﺎﻧﺪارد ‪ Federal 209‬را‬

‫ﺑﻨﻮﻳﺴﺪ‪.‬‬

‫ﺗﺎ ﻛﻨﻮن ﻣﻮارد ﻣﻀﺮ و اﺧﻼل ﮔﺮ را ﺷﻨﺎﺧﺘﻴﻢ وﻟﻲ ﻧﺒﺎﻳﺪ ﻓﺮاﻣﻮش ﻛﻨﻴﻢ ﻛﻪ ﺣﺬف ﻛﺎﻣﻞ اﻳﻦ‬

‫ﻣﻮارد ﻧﻪ ﻻزم اﺳﺖ و ﻧﻪ اﻣﻜﺎﻧﭙﺬﻳﺮ و ﻫﻤﻴﻨﻜ ﻪ ﻋﻮاﻣﻞ ﻣﻀﺮ در ﺣﺪ ﻗﺎﺑﻞ ﻗﺒﻮل و ﻛﻨﺘﺮل ﺷﺪه اي ﺑﺎﺷﻨﺪ‬

‫ﺑﺮاي ﻣﺎ ﻛﺎﻓﻴﺴﺖ‪ .‬ﺑﻪ ﻫﻤﻴﻦ ﻣﻨﻈﻮرﻛﻼس ﺑﻨﺪي و اﺳﺘﺎﻧﺪاردﻫﺎي ﻣﺨﺘﻠﻔﻲ ﺑﺮاي اﺗﺎق ﺗﻤﻴﺰ اراﺋﻪ ﺷﺪه‬

‫اﺳﺖ ﻛﻪ ﺑﻌﻀﻲ از آﻧﻬﺎ ﻋﺒﺎرﺗﻨﺪ از ‪،"Federal Standard 209E" ،Federal Standard 209D" ":‬‬

‫‪ ""ISO 14644‬و "‪."British Standard 5295‬‬

‫ﺟﺪول )‪ (3- 8‬اﺳﺘﺎﻧﺪارد ﻓﺪرال ‪ 209D‬را ﻧﺸﺎن ﻣﻲ دﻫﺪ‪ .‬اﻳﻦ اﺳﺘﺎﻧﺪارد ﺑﺮ ﺣﺴﺐ ﺗﻌﺪاد‬

‫ذرات ﺑﺰرﮔﺘﺮ از ‪ 5/0‬ﻣﻴﻜﺮون در ﻳﻚ ﻓﻮت ﻣﻜﻌﺐ ﻫﻮا‪ ،‬اﺗﺎق ﻫﺎي ﺗﻤﻴﺰ را دﺳﺘﻪ ﺑﻨﺪي ﻣﻲ ﻛﻨﺪ و ﺑﻪ‬

‫ﻫﺮ دﺳﺘﻪ ﻳﻚ ﺷﻤﺎره ﻛﻼس ) ‪ (Class number‬ﻧﺴﺒﺖ ﻣﻲ دﻫﺪ‪ .‬در ﻫﺮ ﻛﻼس ‪ ،‬ﺗﻌﺪاد ذرات ﺑﺎ ﺳﺎﻳﺰ‬

‫ﻣﻌﻴﻦ ﻛﻪ ﻣﺠﺎز اﺳﺖ در ﻳﻚ ﻓﻮت ﻣﻜﻌﺐ از ﻫﻮا وﺟﻮد داﺷﺘﻪ ﺑﺎﺷﺪ‪ ،‬ﻣﺸﺨﺺ ﺷﺪه اﺳﺖ‪ .‬ﺑﺮاي ﻣﺜﺎل‬

‫ﻫﻤﺎﻧﻄﻮر ﻛﻪ در ﺟﺪول دﻳﺪه ﻣﻲ ﺷﻮد در ﻛﻼس ‪ ،10‬ﺗﻌﺪاد ذرات ﺑﺎ ﺳﺎﻳﺰ ‪ 0.5‬ﻣﻴﻜﺮون ﻳﺎ ﺑﺰرﮔﺘﺮ ﻛﻪ‬

‫ﻣﺠﺎز اﺳﺖ در ﻳﻚ ﻓﻮت ﻣﻜﻌﺐ از ﻫﻮا وﺟﻮد داﺷﺘﻪ ﺑﺎﺷﺪ‪ ،‬ده ذره ﻣﻲ ﺑﺎﺷﺪ و ﻳﺎ در ﻫﻤﻴﻦ ﻛﻼس‪،‬‬

‫ﻣﺠﺎز ﻧﻴﺴﺘﻴﻢ ﻛﻪ ذرات ﺑﺎ ﺳﺎﻳﺰ ‪ 5‬ﻣﻴﻜﺮون ﻳﺎ ﺑﺰرﮔﺘﺮ از آن داﺷﺘﻪ ﺑﺎﺷﻴﻢ ‪.‬‬

‫‪Class number‬ﻫﺎ در اﺳﺘﺎﻧﺪارد ‪ Federal 209D‬ﻋﺒﺎرﺗﻨﺪ از ﻛﻼس ‪،1000 ،10000 ، 100000‬‬

‫‪ 10 ،100‬و‪. 1‬‬

‫‪524‬‬
‫اﻣﺎ ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ ﭘﻴﺸﺮﻓﺖ ﺻﻨﻌﺖ ﻧﻴﻤﻪ ﻫﺎدي و ﻛﻮﭼﻜﺘﺮ ﺷﺪن اﺑﻌﺎد ﻧﻴﺎز ﺑﻪ ﻣﺤﻴﻄﻬﺎي ﺗﻤﻴﺰﺗﺮي‬

‫ﻣﻲﺑﺎﺷﺪ‪ ،‬از اﻳﻨﺮو اﺳﺘﺎﻧﺪاردﻫﺎي ﺟﺪﻳﺪﺗﺮي ﺑﺮاي ﺑﻴﺎن ﺷﺪت ﺗﻤﻴﺰي اﺗﺎﻗﻬﺎي ﺗﻤﻴﺰ ﻣﻄﺮح ﺷﺪهاﻧﺪ‬

‫ﻛﻪ اﺳﺘﺎﻧﺪارد ‪ ISO 14644‬از اﻳﻦ ﺟﻤﻠﻪ اﺳﺖ‪.‬‬

‫ارﻗﺎم اﺳﺘﺎﻧﺪاردﻫﺎي اﺗﺎق ﺗﻤﻴﺰ در ﺳﻴﺴﺘﻢ ‪ standard federal‬را ﻣﻲ ﺗﻮان از راﺑﻄﻪ زﻳﺮ‬

‫ﺑﺪﺳﺖ آورد ‪:‬‬

‫‪ NC‬ﺗﻌﺪاد ذرات ﻣﻌﻠﻖ و ‪ d‬ﻗﻄﺮ در ﻓﻮت ﻣﻜﻌﺐ ﻳﺎ ﺑﻪ ﻋﺒﺎرﺗﻲ درﺟﻪ ﺗﻤﻴﺰي اﺗﺎق اﺳﺖ و ‪d‬‬

‫ﻗﻄﺮ ذراﺗﻲ اﺳﺖ ﻛﻪ ﺗﻌﺪاد آﻧﻬﺎ ﻣﻮرد ﻧﻈﺮ اﺳﺖ ‪.‬‬

‫و ﺑﺮاي ﺳﻴﺴﺘﻢ ‪ SI‬از راﺑﻄﻪ زﻳﺮ ﺑﺪﺳﺖ آورد ‪:‬‬

‫‪ m‬ﺗﻌﺪاد ذرات ﻣﻌﻠﻖ و ‪ d‬ﻗﻄﺮ در ﻓﻮت ﻣﻜﻌﺐ ﻳﺎ ﺑﻪ ﻋﺒﺎرﺗﻲ درﺟﻪ ﺗﻤﻴﺰي اﺗﺎق اﺳﺖ و ‪ d‬ﻗﻄﺮ ذراﺗﻲ‬

‫اﺳﺖ ﻛﻪ ﺗﻌﺪاد آﻧﻬﺎ ﻣﻮرد ﻧﻈﺮ اﺳﺖ ‪.‬‬

‫در ذﻳﻞ ﭼﻨﺪﻳﻦ اﺳﺘﺎﻧﺪارد ﻣﺨﺘﻠﻒ آورده ﺷﺪه و ﺑﺎﻫﻢ ﻣﻘﺎﻳﺴﻪ ﺷﺪهاﻧﺪ‪:‬‬

‫‪Table 1 Federal Standard 209D Class Limits‬‬

‫‪525‬‬
‫اوﻟﻴﻦ اﺳﺘﺎﻧﺪارد ﻓﺪرال در ‪ 1963‬ﻣﻨﺘﺸﺮ ﺷﺪ ‪ .‬در ‪ 1966‬اﻳﻦ اﺳﺘﺎﻧﺪارد ﺑﺎ ﺗﺼﺤﻴﺤﺎﺗﻲ ﺑﻪ ﻧﺎم‬

‫‪ Federal Standard 209A‬ﻣﻨﺘﺸﺮ ﮔﺮدﻳﺪ‪ 209D .‬در ﺳﺎل ‪ 1988‬ﻣﻨﺘﺸﺮ ﺷﺪ وآﺧﺮﻳﻦ ﻧﺴﺨﻪ اﻳﻦ‬

‫اﺳﺘﺎﻧﺪارد در ﺳﺎل ‪ 1992‬آﺧﺮﻳﻦ ﻧﺴﺨﻪي آن ﺑﺎ ﭘﺬﻳﺮش اﺻﻼﺣﺎت ﻣﺘﺮﻳﻚ ﺑﻪ ﻧﺎم ‪ 209E‬ﻣﻨﺘﺸﺮ ﺷﺪ‪.‬‬

‫ﻫﻤﺎﻧﻄﻮر ﻛﻪ دﻳﺪﻳﻢ ﺣﺠﻢ ﻫﻮا در اﺳﺘﺎﻧﺪارد ‪ 209D‬ﺑﺎ واﺣﺪ ﻓﻮت ﻣﻜﻌﺐ ﺑﻴﺎن ﺷﺪه اﺳﺖ ﻛﻪ‬

‫ﻣﺘﺮﻳﻚ ﻧﻤﻲ ﺑﺎﺷﺪ‪ .‬ﺑﻪ ﻫﻤﻴﻦ دﻟﻴﻞ اﺳﺘﺎﻧﺪارد ‪ 209E‬ﺑﻴﺎن ﺷﺪه اﺳﺖ ﻛﻪ درآن ﻋﻼوه ﺑﺮ ﺑﻴﺎن ﺗﻌﺪاد‬

‫ذرات در ﻳﻚ ﻓﻮت ﻣﻜﻌﺐ از ﻫﻮا‪ ،‬ﺗﻌﺪاد ذرات ﻣﺠﺎز در ﻳﻚ ﻣﺘﺮ ﻣﻜﻌﺐ از ﻫﻮا ﻧﻴﺰ آورده ﺷﺪه اﺳﺖ‪.‬‬

‫ﺟﺪول )‪ (4- 8‬اﺳﺘﺎﻧﺪارد ﻓﺪرال ‪ 209E‬را ﻧﺸﺎن ﻣﻲ دﻫﺪ ‪.‬‬

‫‪13-6-1-1- Table 2 Federal Standard 209E Classes‬‬

‫‪Class Name‬‬ ‫‪Class Limits‬‬

‫‪0.1um‬‬ ‫‪0.2um‬‬ ‫‪0.3um‬‬ ‫‪0.5um‬‬ ‫‪5um‬‬


‫‪Volume Units‬‬ ‫‪Volume Units‬‬ ‫‪Volume Units‬‬ ‫‪Volume Units‬‬ ‫‪Volume Units‬‬

‫‪SI‬‬ ‫‪Englis‬‬ ‫)‪(m^3‬‬ ‫^‪(ft‬‬ ‫)‪(m^3) (ft^3‬‬ ‫)‪(m^3‬‬ ‫)‪(ft^3‬‬ ‫)‪(m^3‬‬ ‫)‪(ft^3‬‬ ‫)‪(m^3‬‬ ‫)‪(ft^3‬‬
‫‪h‬‬ ‫)‪3‬‬
‫‪M1‬‬ ‫‪350 9.91‬‬ ‫‪75.7‬‬ ‫‪2.14‬‬ ‫‪30.9‬‬ ‫‪0.875‬‬ ‫‪10.0‬‬ ‫‪0.283‬‬ ‫‪--‬‬ ‫‪--‬‬

‫‪M 1/5‬‬ ‫‪1‬‬ ‫‪1 240‬‬ ‫‪35.0‬‬ ‫‪265‬‬ ‫‪7.50‬‬ ‫‪106‬‬ ‫‪3.00‬‬ ‫‪35.3‬‬ ‫‪1.00‬‬ ‫‪--‬‬ ‫‪--‬‬

‫‪M2‬‬ ‫‪3 500‬‬ ‫‪99.1‬‬ ‫‪757‬‬ ‫‪21.4‬‬ ‫‪309‬‬ ‫‪8.75‬‬ ‫‪100‬‬ ‫‪2.83‬‬ ‫‪--‬‬ ‫‪--‬‬

‫‪M 2/5‬‬ ‫‪10‬‬ ‫‪12 400‬‬ ‫‪350‬‬ ‫‪2 650‬‬ ‫‪75.0‬‬ ‫‪1 060‬‬ ‫‪30.0‬‬ ‫‪353‬‬ ‫‪10.0‬‬ ‫‪--‬‬ ‫‪--‬‬
‫‪M3‬‬ ‫‪35 000‬‬ ‫‪991‬‬ ‫‪7 570‬‬ ‫‪214‬‬ ‫‪3 090‬‬ ‫‪8.75‬‬ ‫‪1 000‬‬ ‫‪28.3‬‬ ‫‪--‬‬ ‫‪--‬‬
‫‪M 3/5‬‬ ‫‪100‬‬ ‫‪--‬‬ ‫‪--‬‬ ‫‪26‬‬ ‫‪750‬‬ ‫‪10600‬‬ ‫‪300‬‬ ‫‪3 530‬‬ ‫‪100‬‬ ‫‪--‬‬ ‫‪--‬‬
‫‪500‬‬
‫‪M4‬‬ ‫‪--‬‬ ‫‪--‬‬ ‫‪75700‬‬ ‫‪2140‬‬ ‫‪30900‬‬ ‫‪875‬‬ ‫‪10 000‬‬ ‫‪283‬‬ ‫‪--‬‬ ‫‪--‬‬
‫‪M 4/5‬‬ ‫‪1 000‬‬ ‫‪--‬‬ ‫‪--‬‬ ‫‪--‬‬ ‫‪--‬‬ ‫‪--‬‬ ‫‪--‬‬ ‫‪35 300‬‬ ‫‪1 000‬‬ ‫‪247‬‬ ‫‪7.00‬‬
‫‪M5‬‬ ‫‪--‬‬ ‫‪--‬‬ ‫‪--‬‬ ‫‪--‬‬ ‫‪--‬‬ ‫‪--‬‬ ‫‪100 000‬‬ ‫‪2 830‬‬ ‫‪618‬‬ ‫‪17.5‬‬
‫‪M 5/5‬‬ ‫‪10000‬‬ ‫‪--‬‬ ‫‪--‬‬ ‫‪--‬‬ ‫‪--‬‬ ‫‪--‬‬ ‫‪--‬‬ ‫‪353 000‬‬ ‫‪10 000‬‬ ‫‪2 470‬‬ ‫‪70.0‬‬

‫‪M6‬‬ ‫‪--‬‬ ‫‪--‬‬ ‫‪--‬‬ ‫‪--‬‬ ‫‪--‬‬ ‫‪--‬‬ ‫‪1 000 000‬‬ ‫‪28 300‬‬ ‫‪6 180‬‬ ‫‪175‬‬

‫‪526‬‬
‫‪M 6/5‬‬ ‫‪10000‬‬ ‫‪--‬‬ ‫‪--‬‬ ‫‪--‬‬ ‫‪--‬‬ ‫‪--‬‬ ‫‪--‬‬ ‫‪3 350 000‬‬ ‫‪100000‬‬ ‫‪24700‬‬ ‫‪700‬‬
‫‪0‬‬
‫‪M7‬‬ ‫‪--‬‬ ‫‪--‬‬ ‫‪--‬‬ ‫‪--‬‬ ‫‪--‬‬ ‫‪--‬‬ ‫‪10000000 283000‬‬ ‫‪61800‬‬ ‫‪1750‬‬

‫اﺳﺘﺎﻧﺪارد دﻳﮕﺮي ﻛﻪ ﺑﺮاي اﺗﺎق ﻫﺎي ﺗﻤﻴﺰ ﺑﻪ ﻛﺎر ﻣﻲ رود اﺳﺘﺎﻧﺪارد ‪ ISO 14644‬ﻣﻲ‬

‫ﺑﺎﺷﺪاﻳﻦ اﺳﺘﺎﻧﺪارد در ﺳﺎل ‪ 1999‬اﻳﻦ اﺳﺘﺎﻧﺪارد ﻣﻨﺘﺸﺮ ﺷﺪ و ﻫﻢ اﻛﻨﻮن ﺗﻮﺳﻂ اﺗﺤﺎدﻳﻪ اروﭘﺎ‬

‫ﭘﺬﻳﺮﻓﺘﻪ ﺷﺪه اﺳﺖ ‪ .‬ﻛﻪ از ﻟﺤﺎظ ﻛﻠﻴﺎت ﻣﺸﺎﺑﻪ اﺳﺘﺎﻧﺪاردﻫﺎي ﻓﺪرال ﻣﻲ ﺑﺎﺷﺪ و در اﻳﻨﺠﺎ ﻧﻴﺰ واﺣﺪ‬

‫ﺑﻴﺎن ﺣﺠﻢ ﻫﻮا‪ ،‬ﻣﺘﺮ ﻣﻜﻌﺐ ﻣﻲ ﺑﺎﺷﺪ‪ .‬اﻳﻦ اﺳﺘﺎﻧﺪارد ﮔﺴﺘﺮه ﻣﺘﻨﻮﻋﻲ از ﭘﺎراﻣﺘﺮﻫﺎي ﻣﻬﻢ اﺗﺎق ﺗﻤﻴﺰ‬

‫ﻣﺎﻧﻨﺪ ﻃﺮاﺣﻲ‪ ،‬ﺗﺴﺖ‪ ،‬اﺟﺮا و آﻟﻮدﮔﻲ ﻫﺎي ﻣﻴﻜﺮوﺑﻲ را در ﺑﺮ ﻣﻲ ﮔﻴﺮد‪ .‬در اﻳﻦ اﺳﺘﺎﻧﺪارد ذرات ﺑﻪ‬

‫ﺟﺎي ﻗﻄﺮ ‪ 0.5‬ﻣﻴﻜﺮون‪ ،‬ﻗﻄﺮ ‪ 0.1‬ﻣﻴﻜﺮون در ﻣﺘﺮ ﻣﻜﻌﺐ ﻣﺒﻨﺎ ﻗﺮار ﮔﺮﻓﺘﻪ اﺳﺖ‪.‬‬

‫‪527‬‬
13-6-1-2- Table 3 ISO14644 Standard Classes

ISO CLASS LIMITS (particles/m3)


3
classification Maximum concentration limits (particles/m of air) for particles equal to and
larger
number
0.1 um 0.2 um 0.3 0.5 um 1 um 5 um
(N)
um
ISO Class 1 10 2
ISO Class 2 100 24 10 4
ISO Class 3 1000 237 102 35 8
ISO Class 4 10000 2370 1020 352 83
ISO Class 5 100000 23700 10200 3520 832 29
ISO Class 6 1000000 237000 10200 35200 8320 293
0
ISO Class 7 352000 83200 2930
ISO Class 8 3520000 832000 29300
ISO Class 9 35200000 8320000 293000

13-6-1-3- Table 4 BS 5295 Environmental cleanliness classes

Maximum permitted number of particles per Maxi Minimum pressure


m^3 (equal to, or greater than, stated size) mu Between Between

m classified classified
Class of 0.3 um 0.5 um 5 10 um 25 um
floor areas and area and
environm um
area unclassifie adjacent
ental
C 100 35 0 NS NS 10 d areas
15 areas 10
of
D 1 000 350 0 NS NS 10 15 10
E 10 000 3 500 0 NS NS 10 15 10
F NS 3 500 0 NS NS 25 15 10
G 100000 35 000 200 0 NS 25 15 10

H NS 35 000 200 0 NS 25 15 10

528
J NS 350 2 450 0 25 15 10
000 000
K NS 3 500 20 4 500 500 50 15 10
000 000
L NS NS 200 45 00 5 000 50 10 10
000
M NS NS NS 450 000 50 000 50 10 NA

13-6-1-4- Table 5: A comparison of international standards


Country U.S.A U.S.A Britain Australia France Germany ISO
and . . BS AS 1386 AFNOR VD I.2083 standard
standard 209D 209E 5295 X44101
Date of 1988 1992 1989 1989 1972 1990 1997
current onwards
issue
- 0

1 M1.5 C 0.035 - 1 3

10 M2.5 D 0.35 - 2 4

100 M3.5 E or F 3.5 4 000 3 5

1 000 M4.5 G or H 35 - 4 6

10 M5.5 J 350 400 000 5 7


000
100 M6.5 K 3500 4 000 6 8
000 000

13-6-1-5- Table 6- Selected airborne particulate cleanliness classes for


cleanrooms

529
‫‪Particle Federal Standard Particle Counts/m3‬‬ ‫‪New ISO 14644-1 Class‬‬
‫‪Counts/ft3‬‬ ‫‪209 E Class‬‬ ‫)‪(>0.5um‬‬
‫)‪(>0.5um‬‬
‫‪75000‬‬ ‫‪Class 100000‬‬ ‫‪2640000‬‬ ‫‪ISO Class 8‬‬
‫‪1500‬‬ ‫‪Class 10000‬‬ ‫‪52800‬‬ ‫‪ISO Class 7‬‬
‫‪675‬‬ ‫‪Class 1000‬‬ ‫‪23800‬‬ ‫‪ISO Class 6‬‬
‫‪25‬‬ ‫‪Class 100‬‬ ‫‪880‬‬ ‫‪ISO Class 5‬‬
‫‪7‬‬ ‫‪Class 10‬‬ ‫‪246‬‬ ‫‪ISO Class 4‬‬
‫‪1‬‬ ‫‪Class 1‬‬ ‫‪35‬‬ ‫‪ISO Class 3‬‬

‫ﺑﺮاي اﻳﻨﻜﻪ ﺑﺘﻮاﻧﻴﻢ راﺣﺘﺘﺮ اﻳﻦ اﺳﺘﺎﻧﺪاردﻫﺎ را ﻣﻘﺎﻳﺴﻪ ﻧﻤﺎﻳﻴﻢ‪ ،‬در ﺟﺪول )‪ (6- 8‬دو اﺳﺘﺎﻧﺪارد‬
‫ﻓﺪرال ‪ 209E‬و ‪ ISO 14644‬ﺑﺎ ﻫﻢ ﻧﺸﺎن داده ﺷﺪه اﻧﺪ‪.‬‬

‫در ﺟﺪول )‪ (7- 8‬ﻧﻴﺰ ﻣﻘﺎﻳﺴﻪ اي ﺑﻴﻦ ﻫﺮ ﺳﻪ اﺳﺘﺎﻧﺪارد ذﻛﺮﺷﺪه اﻧﺠﺎم ﺷﺪه اﺳﺖ‪ .‬ﻫﻤﺎﻧﻄﻮر‬

‫ﻛﻪ دﻳﺪه ﻣﻲ ﺷﻮد ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ اﻳﻨﻜﻪ ﺗﻔﺎوت ﻫﺎﻳﻲ ﺑﻴﻦ ﺳﺎﻳﺰ ﺗﻌﺮﻳﻒ ﺷﺪه ذرات در اﺳﺘﺎﻧﺪارد ‪ ISO‬و‬

‫ﻓﺪرال وﺟﻮد دارد‪ ،‬اﻣﻜﺎن ﻣﻘﺎﻳﺴﻪ دﻗﻴﻖ اﻳﻦ اﺳﺘﺎﻧﺪاردﻫﺎ وﺟﻮد ﻧﺪارد‪ .‬اﻣﺎ در اﻳﻦ ﺟﺪول ﻣﻘﺎﻳﺴﻪ اي‬

‫ﺗﻘﺮﻳﺒﻲ ﺑﻴﻦ آن ﻫﺎ ﺻﻮرت ﮔﺮﻓﺘﻪ اﺳﺖ ‪.‬‬

‫‪530‬‬
‫‪EMBED‬‬ ‫‪PBrush‬‬

‫ﺟﺪول ‪ : 6- 8‬ﻧﻤﺎﻳﺶ دو اﺳﺘﺎﻧﺪارد ﻓﺪرال ‪ 209E‬و ‪ISO 14644‬‬

‫ﻣﻘﺎﻳﺴﻪ اي ﺑﻴﻦ ﺳﻪ اﺳﺘﺎﻧﺪارد اﺗﺎق ﺗﻤﻴﺰ‬

‫اﺳﺘﺎﻧﺪارد دﻳﮕﺮ ﻣﻮرد اﺳﺘﻔﺎده در اﺗﺎق ﻫﺎي ﺗﻤﻴﺰ اﺳﺘﺎﻧﺪارد ‪ British‬ﻣﻲ ﺑﺎﺷﺪ ﻛﻪ اﻳﻦ‬

‫اﺳﺘﺎﻧﺪارد ﻋﻼوه ﺑﺮ اﻳﻨﻜﻪ ﻣﺎﻧﻨﺪ اﺳﺘﺎﻧﺪارد ﻫﺎي ﻗﺒﻞ ﺗﻌﺪاد ذرات ﻣﺠﺎز در واﺣﺪ ﺣﺠﻢ را ﻣﺸﺨﺺ ﻣﻲ‬

‫ﻛﻨﺪ‪ ،‬ﻣﻴﻨﻴﻤﻢ اﺧﺘﻼف ﻓﺸﺎر ﻫﻮاﻳﻲ ﻛﻪ ﺑﺎﻳﺪ ﺑﻴﻦ ﻓﻀﺎي داﺧﻞ اﺗﺎق ﺗﻤﻴﺰ و ﻣﺤﻴﻂ اﻃﺮاف آن وﺟﻮد‬

‫‪531‬‬
‫داﺷﺘﻪ ﺑﺎﺷﺪ را ﻧﻴﺰ ﺗﻌﻴﻴﻦ ﻣﻲ ﻛﻨﺪ‪ .‬ﻻزم ﺑﻪ ذﻛﺮ اﺳﺖ ﻛﻪ در اﻳﻦ اﺳﺘﺎﻧﺪارد ﻧﻴﺰ واﺣﺪ ﺑﻴﺎن ﺣﺠﻢ ﻫﻮا‬

‫ﻣﺘﺮ ﻣﻜﻌﺐ ﻣﻲ ﺑﺎﺷﺪ ‪ .‬ﺟﺪول )‪ (8- 8‬اﻳﻦ اﺳﺘﺎﻧﺪارد را ﻧﺸﺎن ﻣﻲ دﻫﺪ‪.‬‬

‫ﺟﺪول ‪" British standard 5295" : 8- 8‬‬


‫‪532‬‬
‫ﺗﻜﻨﻴﻚﻫﺎي ﻛﻨﺘﺮل آﻟﻮدﮔﻲ در ﻳﻚ اﺗﺎق ﺗﻤﻴﺰ‬ ‫‪-7-13‬‬
‫ﺑﺮاي ﻛﻨﺘﺮل ﻣﻴﺰان آﻟﻮدﮔﻲ در داﺧﻞ ﻳﻚ اﺗﺎق ﺗﻤﻴﺰ و ﻛﺎﻫﺶ آن ﺗﺎ ﺣﺪ ﻣﻤﻜﻦ‪ ،‬راﻫﻜﺎرﻫﺎي ﻣﺨﺘﻠﻔﻲ‬
‫وﺟﻮد دارد ﻛﻪ اﺻﻠﻲﺗﺮﻳﻦ آنﻫﺎ ﻛﻪ ﻣﻌﻤﻮﻻً در اﺗﺎقﻫﺎي ﺗﻤﻴﺰ ﺑﻪ ﻛﺎر ﮔﺮﻓﺘﻪ ﻣﻲﺷﻮد در اﻳﻦ ﺑﺨﺶ‬
‫آورده ﺷﺪهاﻧﺪ‪.‬‬

‫‪ - 1- 7- 13‬اﻳﺴﺘﮕﺎه ﻛﺎري ) ‪(Work Station‬‬

‫از آﻧﺠﺎ ﻛﻪ ﻫﺮﻓﺮاﻳﻨﺪي ﺑﻪ ﻧﺤﻮي اﻳﺠﺎد آﻟﻮدﮔﻲ ﻣﻲﻛﻨﺪ و در ﺻﻮرﺗﻲ ﻛﻪ اﻳﻦ آﻟﻮدﮔﻲ ﻫﺎ ﺑﺎ ﻫﻢ ﺗﺮﻛﻴﺐ‬
‫ﺷﻮﻧﺪ و در ﻛﻨﺎر ﻫﻢ ﻗﺮار ﺑﮕﻴﺮﻧﺪ ﻣﺎ را دﭼﺎر ﻣﺸﻜﻼت ﺑﺰرﮔﻲ ﻣﻲ ﻛﻨﻨﺪ‪ ،‬ﺑﻨﺎﺑﺮ اﻳﻦ اﺗﺎق ﺗﻤﻴﺰ را ﺑﻪ‬
‫ﺻﻮرت اﺗﺎق ﻫﺎي ﻣﺠﺰا ﻃﺮاﺣﻲ ﻣﻲ ﻛﻨﻨﺪ ﻛﻪ از ﻃﺮﻳﻖ ﻳﻜﺴﺮي راه رو ﻫﺎ ﺑﻪ ﻫﻢ ﻣﺘﺼﻞ ﻣﻲﺷﻮﻧﺪ و ﺑﺎ‬
‫اﻳﻦ ﻛﺎر آﻟﻮدﮔﻲ را ﻛﻢ ﻛﺮده و در ﻫﺮ اﺗﺎق ﭘﺮوﺳﻪ ﻫﺎي ﺟﺪاﮔﺎﻧﻪ اي اﻧﺠﺎم ﻣﻴﺸﻮد‪ .‬ﻫﻤﭽﻨﻴﻦ ﺑﺎ اﻳﻦ‬
‫ﻛﺎر ﺣﺪاﻛﺜﺮ ﻳﻚ ﻳﺎ دو ﻧﻔﺮ ﻣﻲ ﺗﻮاﻧﻨﺪ در اﻳﻦ اﺗﺎق ﻫﺎ ﻣﺸﻐﻮل ﺑﻪ ﻛﺎر ﺑﺎﺷﻨﺪ‪ ،‬و اﻳﻦ ﻣﺴﺌﻠﻪ ﻧﻴﺰ ﺑﻪ ﻧﻮﺑﻪ‬
‫ﺧﻮد ﺑﺎﻋﺚ ﻛﺎﻫﺶ ﻣﻴﺰان آﻟﻮدﮔﻲ ﺧﻮاﻫﺪ ﺷﺪ‪ .‬اﻟﺒﺘﻪ اﻳﻦ ﻃﺮح ﻧﻘﺎط ﺿﻌﻔﻲ ﻫﻢ دارد‪ ،‬ﺑﻪ ﻋﻨﻮان ﻣﺜﺎل‬
‫در ﺻﻮرﺗﻲ ﻛﻪ ﭼﻨﺪ ﻣﺮﺣﻠﻪ از ﭘﺮوﺳﻪ ﻫﺎي اﻧﺠﺎم ﺷﺪه ﺗﻐﻴﻴﺮ ﻳﺎﺑﻨﺪ ﻣﻨﺠﺮ ﺑﻪ ﺻﺮف ﻫﺰﻳﻨﻪ زﻳﺎدي ﺑﺮاي‬
‫اﻳﺠﺎد اﻳﻦ ﻣﺮاﺣﻞ ﺧﻮاﻫﺪ ﺷﺪ‪ .‬ﻳﻚ اﻳﺴﺘﮕﺎه ﻛﺎري در واﻗﻊ ﻣﺤﻴﻄﻲ اﺳﺖ ﻛﻪ ﺑﺮاي ﻳﻚ ﻓﺮآﻳﻨﺪ ﺧﺎص‪،‬‬
‫ﻃﺮاﺣﻲ و در ﻧﻈﺮ ﮔﺮﻓﺘﻪ ﺷﺪه اﺳﺖ‪.‬‬

‫‪533‬‬
‫ﺷﻜﻞ ‪ 8‬ـ‪ : 5‬ﻳﻚ ﻧﻤﻮﻧﻪ اﻳﺴﺘﮕﺎه ﻛﺎري‬

‫‪534‬‬
‫‪ - 2- 7- 13‬ﭘﺎرﺗﺸﻴﻦﺑﻨﺪي ﻣﺤﻴﻂ اﺗﺎق ﺗﻤﻴﺰ )‪(Tunneling Design‬‬

‫از آﻧﺠﺎ ﻛﻪ ﻳﻜﻲ از ﻣﻨﺎﺑﻊ آﻟﻮدﮔﻲ‪ ،‬ﻛﺎرﻛﻨﺎن اﺗﺎق ﺗﻤﻴﺰ ﻫﺴﺘﻨﺪ ﺑﻨﺎﺑﺮاﻳﻦ ﺑﺮاي ﺟﻠﻮﮔﻴﺮي از‬
‫اﻧﺘﻘﺎل آﻟﻮدﮔﻲ ﺗﻮﺳﻂ ﻛﺎرﻛﻨﺎن در ﭘﺮوﺳﻪﻫﺎي ﻣﺨﺘﻠﻒ ﻣﻲﺗﻮان ﻣﺤﻴﻂ اﺗﺎق ﺗﻤﻴﺰ را ﺗﻮﺳﻂ‬
‫ﺟﺪارهﻫﺎﻳﻲ ﻛﻪ ﻣﻌﻤﻮﻻً ﺷﻔﺎف ﻫﺴﺘﻨﺪ‪ ،‬ﺗﻘﺴﻴﻢﺑﻨﺪي ﻧﻤﻮد ﺑﻪ اﻳﻦ ﺗﺮﺗﻴﺐ از ﭘﺮاﻛﻨﺪﮔﻲ آﻟﻮدﮔﻲ ﺑﻪ ﻛﻞ‬
‫اﺗﺎق ﺗﻤﻴﺰ ﺟﻠﻮﮔﻴﺮي ﻣﻲﺷﻮد‪ .‬در ﺷﻜﻞ )‪8‬ـ‪ (6‬ﻳﻚ ﻧﻤﻮﻧﻪ ﭘﺎرﺗﻴﺸﻦﺑﻨﺪي اﺗﺎق ﺗﻤﻴﺰ دﻳﺪه ﻣﻲﺷﻮد ‪.‬‬

‫ﺷﻜﻞ ‪8‬ـ‪ : 6‬ﻳﻚ ﻧﻤﻮﻧﻪ ﭘﺎرﺗﻴﺸﻦﺑﻨﺪي اﺗﺎق ﺗﻤﻴﺰ‬

‫‪- 3- 7- 13‬اﺳﺘﻔﺎده از ‪ Mini Environment‬و ‪Micro Environment‬‬

‫ﺣﺴﺎﺳﻴﺖ ﺑﻌﻀﻲ از ﭘﺮوﺳﻪﻫﺎ ﺑﻪ ﻗﺪري اﺳﺖ ﻛﻪ ﺑﺎﻳﺪ در ﻣﺤﻴﻄﻲ ﺗﻤﻴﺰﺗﺮ از ﺧﻮد اﺗﺎق ﺗﻤﻴﺰ‬
‫اﻧﺠﺎم ﺷﻮﻧﺪ و ﺑﻪ اﻳﻦ ﻣﺤﻴﻂ ﺗﻤﻴﺰﺗﺮ از اﺗﺎق ﺗﻤﻴﺰ ‪ Mini Environment‬ﮔﻔﺘﻪ ﻣﻲﺷﻮد ﻛﻪ ﻛﻨﺘﺮل‬
‫آﻟﻮدﮔﻲ در آن ﺧﻴﻠﻲ دﻗﻴﻖﺗﺮ ﺻﻮرت ﻣﻲﮔﻴﺮد و ﺑﻨﺎﺑﺮاﻳﻦ اﻧﺘﻘﺎل وﻳﻔﺮﻫﺎ از ﺑﺨﺶﻫﺎي دﻳﮕﺮ ﺑﻪ اﻳﻦ‬

‫‪535‬‬
‫ﻗﺴﻤﺖ ﻧﻴﺰ ﺑﺎﻳﺪ در ﻳﻚ ﻣﺤﻴﻂ ﺧﻴﻠﻲ ﺗﻤﻴﺰ اﻧﺠﺎم ﮔﻴﺮد‪ .‬ﻳﻌﻨﻲ ﺑﺮاي اﻧﺘﻘﺎل وﻳﻔﺮﻫﺎ ﺑﻪ اﻳﻦ ﻣﺤﻴﻂ‬
‫وﻳﻔﺮﻫﺎ در داﺧﻞ ﻣﺤﻔﻈﻪﻫﺎي ﻣﺨﺼﻮﺻﻲ ﻗﺮار داده ﻣﻲﺷﻮﻧﺪ ﻛﻪ از ﺳﻄﺢ ﺗﻤﻴﺰي ﺑﺴﻴﺎر ﺑﺎﻻﻳﻲ‬
‫ﺑﺮﺧﻮردارﻧﺪ و ﺑﻪ آﻧﻬﺎ ‪ Micro Environment‬ﮔﻔﺘﻪ ﻣﻲ ﺷﻮد ﻛﻪ در داﺧﻞ اﻳﻦ ﻣﺤﻔﻈﻪﻫﺎ ﻳﺎ ﺑﺎﻳﺪ ﺧﻸ‬
‫اﻳﺠﺎد ﻛﻨﻴﻢ و ﻳﺎ ﺑﺎﻳﺪ اﺗﻤﺴﻔﺮ داﺧﻞ آن ﻫﺎ ﮔﺎزﻫﺎي ﻧﺠﻴﺐ ﺑﺎﺷﻨﺪ‪ .‬ﺷﻜﻞ )‪8‬ـ‪ (7‬ﻧﺤﻮه اﻧﺘﻘﺎل وﻳﻔﺮﻫﺎ از‬
‫ﻳﻚ‬ ‫داﺧﻞ‬
‫‪ Micro Environment‬را ﺑﻪ ﻣﺤﻴﻂ اﻧﺠﺎم ﻓﺮاﻳﻨﺪ ﻣﻮرد ﻧﻈﺮ ﻳﻌﻨﻲ ‪ Mini Environment‬ﻧﺸﺎن ﻣﻲدﻫﺪ‪.‬‬
‫ﻫﻤﺎﻧﻄﻮر ﻛﻪ دﻳﺪه ﻣﻲﺷﻮد ﻫﻨﮕﺎﻣﻲ ﻛﻪ ﻣﺤﻔﻈﻪ‪ ،‬ﻛﺎﻣﻼً در ورودي ‪ Mini Environment‬ﻗﺮار ﻣﻲﮔﻴﺮد‬
‫درب ﻫﺮ دو ﻣﺤﻴﻂ ﺑﺎز ﺷﺪه و اﻧﺒﺮك ﻣﻮﺟﻮد در ‪ ،Mini Environment‬وﻳﻔﺮﻫﺎ را از داﺧﻞ ﻣﺤﻔﻈﻪ ﺑﻪ‬
‫اﻳﻦ ﻣﺤﻴﻂ ﻣﻨﺘﻘﻞ ﻣﻲ ﻛﻨﺪ ﻳﻌﻨﻲ اﻳﻦ ﻛﺎر ﺑﻪ ﺻﻮرت اﺗﻮﻣﺎﺗﻴﻚ اﻧﺠﺎم ﻣﻲ ﺷﻮد ‪.‬‬

‫ﺷﻜﻞ ‪8‬ـ‪ : 7‬ﻧﺤﻮه اﻧﺘﻘﺎل وﻳﻔﺮﻫﺎ از داﺧﻞ ﻳﻚ ‪ Micro Environment‬ﺑﻪ ﻳﻚ ‪Mini‬‬

‫‪Environment‬‬
‫ﺷﻜﻞ‪8‬ـ‪ 8‬ﻧﻴﺰ ﻧﻤﻮﻧﻪ دﻳﮕﺮي از اﻧﺘﻘﺎل وﻳﻔﺮﻫﺎ ﺑﻪ ‪ Mini Environment‬را ﻧﺸﺎن ﻣﻲدﻫﺪ‪.‬‬

‫‪536‬‬
‫ﺷﻜﻞ ‪8‬ـ‪ : 8‬ﻧﻤﻮﻧﻪ اي از اﻧﺘﻘﺎل وﻳﻔﺮﻫﺎ ﺑﻪ ‪Mini Environment‬‬

‫‪ - 4- 7- 13‬ﻛﻔﻲﻫﺎي ﭼﺴﺒﻨﺪه‬

‫آﻟﻮدهﺗﺮﻳﻦ ﻧﺎﺣﻴﻪ اﺗﺎق ﺗﻤﻴﺰ ﻛﻒ آن اﺳﺖ و ﺑﺴﻴﺎري از آﻟﻮدﮔﻲﻫﺎ ﻣﻤﻜﻦ اﺳﺖ از ﻃﺮﻳﻖ‬
‫ﻛﻔﺶﻫﺎ وارد ﺷﻮﻧﺪ ‪ .‬ﺑﺮاي ﻛﺎﻫﺶ ورود اﻳﻦ آﻟﻮدﮔﻲﻫﺎ‪ ،‬در ﻣﺪﺧﻞ اﺗﺎق ﺗﻤﻴﺰ از ﻛﻔﻲﻫﺎي ﻣﺨﺼﻮﺻﻲ‬
‫اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد ﻛﻪ داراي ﺳﻄﺢ ﭼﺴﺒﻨﺪهاي ﻫﺴﺘﻨﺪ و ذرات را از ﻛﻒ ﻛﻔﺶﻫﺎ ﺑﻪ ﺧﻮد ﺟﺬب‬
‫ﻣﻲﻛﻨﻨﺪ‪ .‬ﻻزم ﺑﻪ ذﻛﺮ اﺳﺖ ﻛﻪ ﻣﻌﻤﻮﻻً اﻳﻦ ﻛﻔﻲﻫﺎ از ﭼﻨﺪﻳﻦ ﻻﻳﻪ ﺗﺸﻜﻴﻞ ﻣﻲﺷﻮﻧﺪ ﻛﻪ ﻫﺮ ﺑﺎر ﺑﻌﺪ از‬
‫آﻟﻮده ﺷﺪن ﻻﻳﻪ روﻳﻲ‪ ،‬اﻳﻦ ﻻﻳﻪ ﺑﺮداﺷﺘﻪ ﺷﺪه و ﻻﻳﻪ زﻳﺮي در ﺳﻄﺢ ﻛﻔﻲ ﻗﺮار ﻣﻲﮔﻴﺮد‪ .‬در ﺷﻜﻞ‬
‫)‪ 8‬ـ‪ (9‬ﻧﻤﻮﻧﻪﻫﺎﻳﻲ از اﻳﻦ ﻛﻔﻲﻫﺎ آورده ﺷﺪهاﻧﺪ‪.‬‬

‫‪537‬‬
‫ﺷﻜﻞ ‪8‬ـ‪ : 9‬ﻧﻤﻮﻧﻪﻫﺎﻳﻲ از ﻛﻔﻲﻫﺎي اﺗﺎق ﺗﻤﻴﺰ‬

‫‪ - 5- 7- 13‬رﺧﺘﻜﻦ‬

‫ﻳﻜﻲ از ﺑﺨﺸﻬﺎي اﺻﻠﻲ ﻳﻚ اﺗﺎق ﺗﻤﻴﺰ رﺧﺘﻜﻦ آن ﻣﻲ ﺑﺎﺷﺪ‪ ،‬و ﻓﻀﺎﻳﻲ اﺳﺖ ﻛﻪ ﺑﻴﻦ ﺑﺨﺶ‬
‫اﺻﻠﻲ اﺗﺎق ﺗﻤﻴﺰ و ﻣﺤﻴﻂ ﺑﻴﺮون ﻗﺮار ﻣﻲﮔﻴﺮد و اﻏﻠﺐ ﻫﻤﺎن ﺳﺨﺖﮔﻴﺮيﻫﺎﻳﻲ ﻛﻪ ﺑﺮاي ﺣﻔﻆ ﺗﻤﻴﺰي‬
‫اﺗﺎق ﺗﻤﻴﺰ ﻣﻲﺷﻮد ﺑﺮاي اﻳﻦ ﻓﻀﺎ ﻧﻴﺰ در ﻧﻈﺮ ﮔﺮﻓﺘﻪ ﻣﻲ ﺷﻮد‪ .‬ﻣﺜ ﻼً اﻳﻨﻜﻪ در اﻳﻨﺠﺎ ﻧﻴﺰ از ﻓﻴﻠﺘﺮﻫﺎي‬
‫‪ HEPA‬ﺑﺮاي ﺗﺼﻔﻴﻪ ﻫﻮا اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‪.‬‬
‫ﻏﺎﻟﺒﺎً رﺧﺘﻜﻦ ﺑﻪ وﺳﻴﻠﻪ ﻳﻚ ﻧﻴﻤﻜﺖ ﺑﻪ دو ﺑﺨﺶ ﺗﻘﺴﻴﻢ ﻣﻲ ﺷﻮد‪ .‬اﭘﺮاﺗﻮرﻫﺎ ﻟﺒﺎسﻫﺎي ﺧﻮد را در ﻳﻚ‬
‫ﺳﻤﺖ اﻳﻦ ﻧﻴﻤﻜﺖ ﻣﻲﭘﻮﺷﻨﺪ و ﺳﭙﺲ روﻛﺶ ﻛﻔﺶﻫﺎي ﺧﻮد را روي ﻧﻴﻤﻜﺖ ﭘﻮﺷﻴﺪه و ﺳﭙﺲ ﺑﻪ‬
‫ﻃﺮف ﺑﺨﺶ اﺻﻠﻲ اﺗﺎق ﺗﻤﻴﺰ ﻣﻲروﻧﺪ‪ .‬ﻫﺪف از اﻳﻦ اﻣﺮ ﻧﻴﺰ ﺣﻔﻆ ﻓﻀﺎي ﺑﻴﻦ ﻧﻴﻤﻜﺖ و ﺑﺨﺶ اﺻﻠﻲ‬
‫اﺗﺎق ﺗﻤﻴﺰ‪ ،‬در ﻳﻚ ﺳﻄﺢ ﺗﻤﻴﺰي ﺑﺎﻻﺗﺮ از ﻓﻀﺎي ﺑﻴﻦ ﻧﻴﻤﻜﺖ و ﻣﺤﻴﻂ ﺑﻴﺮون ﻣﻲﺑﺎﺷﺪ ‪.‬‬
‫ﺑﺮاي داﺷﺘﻦ ﻳﻚ اﺗﺎق ﺗﻤﻴﺰ ﺧﻮب ﺑﺎﻳﺪ ﻣﻄﻤﺌﻦ ﺑﺎﺷﻴﻢ ﻛﻪ درب ﺑﻴﻦ ﻓﻀﺎي ﺑﻴﺮون و رﺧﺘﻜﻦ‪،‬‬
‫ﻫﻴﭽﮕﺎه ﻫﻤﺰﻣﺎن ﺑﺎ درب ﺑﻴﻦ رﺧﺘﻜﻦ و ﺑﺨﺶ اﺻﻠﻲ اﺗﺎق ﺗﻤﻴﺰ ﺑﺎز ﻧﻤﻲﺷﻮد زﻳﺮا ﺑﺎﻳﺪ ﻣﻄﻤﺌﻦ ﺑﺎﺷﻴﻢ‬
‫ﻛﻪ ﻗﺴﻤﺖ اﺻﻠﻲ اﺗﺎق ﺗﻤﻴﺰ ﻫﻴﭽﻮﻗﺖ ﺑﻪ ﻃﻮر ﻣﺴﺘﻘﻴﻢ در ﺗﻤﺎس ﺑﺎ ﻓﻀﺎي ﺑﻴﺮون ﻛﻪ آﻟﻮدهﺗﺮ از ﻣﺤﻴﻂ‬
‫رﺧﺘﻜﻦ ﻣﻲﺑﺎﺷﺪ ﻗﺮار ﻧﻤﻲﮔﻴﺮد‪.‬‬

‫‪538‬‬
‫ﺷﻜﻞ )‪8‬ـ‪ (10‬ﺗﻘﺴﻴﻢﺑﻨﺪي ﻳﻚ اﺗﺎق ﺗﻤﻴﺰ را ﻧﺸﺎن ﻣﻲدﻫﺪ ﻛﻪ در آن ﻓﻀﺎي رﺧﺘﻜﻦ و‬
‫ﻧﻴﻤﻜﺖ وﺳﻂ آن ﻛﺎﻣﻼً ﻣﺸﺨﺺ ﻣﻲ ﺑﺎﺷﺪ ‪.‬‬

‫ﺑﺨﺶ اﺻﻠﻲ اﺗﺎق ﺗﻤﻴﺰ ﺑﻪ ﻫﻤﺮاه اﺗﺎق ﻫﺎي‬


‫اﻃﺮاف‬

‫ﻧﻴﻤﻜﺖ رﺧﺘﻜﻦ‬

‫رﺧﺘﻜﻦ‬ ‫دوش‬
‫ﻫﻮا‬

‫راﻫﺮوﻫﺎي اﻃﺮاف اﺗﺎق‬


‫ﺗﻤﻴﺰ‬
‫ﺷﻜﻞ ‪8‬ـ‪ : 10‬ﺗﻘﺴﻴﻢﺑﻨﺪي ﻳﻚ اﺗﺎق ﺗﻤﻴﺰ‬

‫‪ - 6- 7- 13‬ﻓﺸﺎر ﻫﻮا‬

‫ﻳﻚ اﻟﻤﺎن ﻛﻠﻴﺪي ﻃﺮاﺣﻲ‪ ،‬ﺗﻨﺎﺳﺐ ﻓﺸﺎر ﻫﻮاي ﺑﻴﻦ ﺑﺨﺶ اﺻﻠﻲ اﺗﺎق ﺗﻤﻴﺰ‪ ،‬رﺧﺘﻜﻦ و ﻣﺤﻴﻂ‬
‫ﺑﻴﺮون ﻣﻲ ﺑﺎﺷﺪ‪ .‬اﻳﻦ ﻓﺸﺎرﻫﺎ ﺑﺎﻳﺪ ﻃﻮري ﻃﺮاﺣﻲ ﺷﻮﻧﺪ ﻛﻪ ﺑﻴﺸﺘﺮﻳﻦ ﻓﺸﺎر در داﺧﻞ ﺑﺨﺶ اﺻﻠﻲ اﺗﺎق‬
‫ﺗﻤﻴﺰ وﺟﻮد داﺷﺘﻪ ﺑﺎﺷﺪ و در داﺧﻞ رﺧﺘﻜﻦ ﻓﺸﺎر ﻣﺘﻮﺳﻄﻲ داﺷﺘﻪ ﺑﺎﺷﻴﻢ و ﻛﻤﺘﺮﻳﻦ ﻓﺸﺎر در ﻣﺤﻴﻂ‬
‫ﺑﻴﺮون وﺟﻮد داﺷﺘﻪ ﺑﺎﺷﺪ‪ .‬ﻓﺸﺎر ﺑﺎﻻﺗﺮ در ﺑﺨﺶ اﺻﻠﻲ اﺗﺎق ﺗﻤﻴﺰ‪ ،‬ﺑﺎﻋﺚ ﻣﻲ ﺷﻮد ﻛﻪ ﺑﻪ ﻫﻨﮕﺎم ﺑﺎز‬
‫ﺷﺪن در‪ ،‬ﻳﻚ ﺟﺮﻳﺎن ﻫﻮاي ﻛﻢ از داﺧﻞ اﺗﺎق ﺗﻤﻴﺰ ﺑﻪ ﻣﺤﻴﻂ ﺧﺎرج ﺑﺮﻗﺮار ﺷﻮد و ذرات رﻳﺰ ﻣﻮﺟﻮد‬

‫‪539‬‬
‫در ﻫﻮا را ﺑﻪ ﻣﺤﻴﻂ آﻟﻮدهﺗﺮ ﺧﺎرﺟﻲ ﻣﻨﺘﻘﻞ ﻛﻨﺪ و از ورود آﻟﻮدﮔﻲﻫﺎي ﻣﺤﻴﻂ ﺧﺎرج ﺑﻪ داﺧﻞ ﻧﻴﺰ‬
‫ﺟﻠﻮﮔﻴﺮي ﻣﻲﺷﻮد ‪.‬‬

‫‪ - 7- 7- 13‬دوش ﻫﻮا‬

‫ﻳﻜﻲ از ﭘﺎراﻣﺘﺮﻫﺎ ﺑﺮاي ﺣﻔﺎﻇﺖ اﺗﺎق ﺗﻤﻴﺰ از آﻟﻮدﮔﻲ ﺑﻴﺮون‪ ،‬اﺳﺘﻔﺎده از دوش ﻫﻮا ﻣﻲﺑﺎﺷﺪ‬
‫ﻛﻪ ﺑﻴﻦ رﺧﺘﻜﻦ و ﺑﺨﺶ اﺻﻠﻲ اﺗﺎق ﺗﻤﻴﺰ ﻗﺮار ﻣﻲﮔﻴﺮد و ﻛﺎرﻛﻨﺎن ﻗﺒﻞ از وارد ﺷﺪن ﺑﻪ اﺗﺎق ﺗﻤﻴﺰ در‬
‫ﻣﻌﺮض دوش ﻫﻮا ﻗﺮار ﻣﻲﮔﻴﺮﻧﺪ ﻛﻪ در داﺧﻞ آن‪ ،‬ﻫﻮا ﺑﺎ ﺳﺮﻋﺖ زﻳﺎد ﺑﻪ ﺻﻮرت اﻓﻘﻲ ﻳﺎ ﻋﻤﻮدي ﺑﻪ‬
‫ﺑﺪن ﺷﺨﺺ اﺻﺎﺑﺖ ﻣﻲﻛﻨﺪ و ذراﺗﻲ را ﻛﻪ روي ﺳﻄﺢ ﺑﻴﺮوﻧﻲ ﻟﺒﺎس او وﺟﻮد دارد ﺟﺪا ﻣﻲﻛﻨﺪ‪ .‬درب‬
‫ورودي ﻣﺤﻴﻂ دوش ﻫﻮا ﺑﻪ ﺳﻤﺖ رﺧﺘﻜﻦ‪ ،‬و درب ﺧﺮوﺟﻲ آن ﺑﻪ ﺳﻤﺖ ﺑﺨﺶ اﺻﻠﻲ اﺗﺎق ﺗﻤﻴﺰ‬
‫ﻣﻲﺑﺎﺷﺪ‪ .‬اﻳﻦ ﻣﺤﻴﻂ داراي ﻳﻚ ﺳﻴﺴﺘﻢ ﻗﻔﻞ ﻣﻲ ﺑﺎﺷﺪ ﻛﻪ از ﺑﺎز ﺷﺪن ﻫﻤﺰﻣﺎن دو در ﺟﻠﻮﮔﻴﺮي‬
‫ﻣﻲﻛﻨﺪ‪ .‬ﺷﻜﻞ )‪ (11- 8‬ﻳﻚ ﻧﻤﻮﻧﻪ از اﻳﻦ دوش ﻫﺎ را ﻧﺸﺎن ﻣﻲ دﻫﺪ‪ .‬ﺑﺎ دﻗﺖ در ﺷﻜﻞ )‪ (10- 8‬ﻧﻴﺰ‬
‫ﻣﻮﻗﻌﻴﺖ ﻗﺮار ﮔﺮﻓﺘﻦ ﻳﻚ دوش ﻫﻮا درداﺧﻞ اﺗﺎق ﺗﻤﻴﺰ را ﻣﺘﻮﺟﻪ ﻣﻲ ﺷﻮﻳﻢ ‪.‬‬

‫ﺷﻜ ﻞ‪ :11- 8‬ﻳﻚ ﻧﻤﻮﻧﻪ دوش ﻫﻮا‬

‫‪ - 8- 13‬راﻫﺮوﻫﺎي اﻃﺮاف اﺗﺎق ﺗﻤﻴﺰ )‪(Service bays‬‬

‫ﻳﻚ اﺗﺎق ﺗﻤﻴﺰ در واﻗﻊ ﻣﺠﻤﻮﻋﻪاي از اﺗﺎقﻫﺎﺳﺖ ﻛﻪ ﻫﺮ ﻳﻚ از آن ﻧﻘﺶ ﺧﺎﺻﻲ را ﺑﺮ ﻋﻬﺪه‬


‫دارﻧﺪ و در اﻃﺮاف اﻳﻦ ﻣﺤﻴﻂ‪ ،‬ﻳﻜﺴﺮي راﻫﺮوﻫﺎﻳﻲ وﺟﻮد دارﻧﺪ ﻛﻪ ﻟﻮﻟﻪﻫﺎي ﻣﻮاد ﺷﻴﻤﻴﺎﻳﻲ ﻣﻮرد‬
‫اﺳﺘﻔﺎده در ﻓﺮاﻳﻨﺪ و ﺧﻄﻮط ﻗﺪرت اﻟﻜﺘﺮﻳﻜﻲ از اﻳﻦ ﻗﺴﻤﺖ ﻋﺒﻮر ﻣﻲ ﻛﻨﻨﺪ و ﻧﻴﺰ ﻣﻮاد ﻣﻮرد اﺳﺘﻔﺎده‬
‫در اﺗﺎق ﺗﻤﻴﺰ در اﻳﻦ ﻓﻀﺎ ذﺧﻴﺮه ﻣﻲ ﺷﻮﻧﺪ‪ .‬ﻻزم ﺑﻪ ذﻛﺮ اﺳﺖ ﻛﻪ اﻳﻦ ﻓﻀﺎ از ﻟﺤﺎظ ﻣﻴﺰان ﺗﻤﻴﺰي در‬
‫ﺳﻄﺤﻲ ﭘﺎﻳﻴﻦﺗﺮ از اﺗﺎق ﺗﻤﻴﺰ ﻗﺮار دارد و ﻣﻌﻤﻮ ﻻً داراي ﻛﻼس ‪ 1000‬ﻳﺎ ﻛﻼس ‪ 10000‬ﻣﻄﺎﺑﻖ ﺑﺎ‬
‫اﺳﺘﺎﻧﺪارد ﻓﺪرال ﻣﻲ ﺑﺎﺷﺪ‪ .‬در ﻫﻨﮕﺎم ﭼﻴﻨﺶ ﺗﺠﻬﻴﺰات ﻓﺮاﻳﻨﺪ در داﺧﻞ اﺗﺎقﻫﺎ اﻳﻦ ﺗﺠﻬﻴﺰات‬

‫‪540‬‬
‫ﻣﻌﻤﻮﻻً ﺑﻪ ﺻﻮرﺗﻲ ﭼﻴﺪه ﻣﻲﺷﻮﻧﺪ ﻛﻪ ﭘﺸﺖ آنﻫﺎ ﺑﻪ ﻃﺮف اﻳﻦ راﻫﺮوﻫﺎ ﺑﺎﺷﺪ ﻛﻪ اﻳﻦ اﻣﺮ اﻳﻦ اﻣﻜﺎن‬
‫را ﻓﺮاﻫﻢ ﻣﻲﻛﻨﺪ ﻛﻪ ﺑﺮاي ﺳﺮوﻳﺲ ﻛﺮدن ﺗﺠﻬﻴﺰات ﻧﻴﺎزي ﺑﻪ ﻋﺒﻮر ﺗﻜﻨﺴﻴﻦﻫﺎ از ﻓﻀﺎي اﺻﻠﻲ اﺗﺎق‬
‫ﺗﻤﻴﺰ ﻧﺒﺎﺷﺪ و ﺗﻜﻨﺴﻴﻦﻫﺎ ﺑﺘﻮاﻧﻨﺪ وﺳﺎﻳﻞ را از ﭘﺸﺖ و ﺑﺪون داﺧﻞ ﺷﺪن ﺑﻪ اﺗﺎق ﺗﻤﻴﺰ ﺳﺮوﻳﺲ‬
‫ﻧﻤﺎﻳﻨﺪ‪ .‬ﻻزم ﺑﻪ ذﻛﺮ اﺳﺖ ﻛﻪ ﺑﺮاي اﻳﻨﻜﻪ اﻳﻦ ﻛﺎر ﻣﻤﻜﻦ ﺑﺎﺷﺪ ﺑﻴﻦ راﻫﺮوﻫﺎ و اﺗﺎق ﺗﻤﻴﺰ درﻫﺎي‬
‫دوﮔﺎﻧﻪاي ﻗﺮار داده ﻣﻲ ﺷﻮد ﻛﻪ راﺟﻊ ﺑﻪ اﻳﻦ درﻫﺎ در ﻗﺴﻤﺖ ﺑﻌﺪ ﺻﺤﺒﺖ ﺧﻮاﻫﺪ ﺷﺪ‪ .‬در ﺷﻜﻞ‬
‫)‪ 8‬ـ‪ (10‬ﻣﻮﻗﻌﻴﺖ اﻳﻦ راﻫﺮوﻫﺎ در ﻳﻚ اﺗﺎق ﺗﻤﻴﺰ ﻧﺸﺎن داده ﺷﺪه اﺳﺖ ‪.‬‬

‫دربﻫﺎي دوﮔﺎﻧﻪ‬ ‫‪-9-13‬‬


‫ﻫﻤﺎﻧﻄﻮرﻛﻪ ﮔﻔﺘﻪ ﺷﺪ راﻫﺮوﻫﺎي اﺗﺎق ﺗﻤﻴﺰ از ﻟﺤﺎظ ﺗﻤﻴﺰي داراي ﻛﻴﻔﻴﺘﻲ ﭘﺎﻳﻴﻦﺗﺮ از ﺧﻮد‬
‫اﺗﺎق ﺗﻤﻴﺰ ﻫﺴﺘﻨﺪ و ﺑﻨﺎﺑﺮاﻳﻦ ﺑﺮاي ارﺗﺒﺎط ﺑﻴﻦ اﻳﻦ ﻓﻀﺎ و ﻣﺤﻴﻂ اﺗﺎق ﺗﻤﻴﺰ ﺑﺎﻳﺪ از درب ﻫﺎي‬
‫دوﮔﺎﻧﻪاي اﺳﺘﻔﺎده ﺷﻮد ﻛﻪ ﺗﻤﻴﺰي اﺗﺎق ﺗﻤﻴﺰ ﺣﻔﻆ ﮔﺮدد‪ .‬اﻳﻦ درب ﻫﺎي دوﮔﺎﻧﻪ ﻣﻤﻜﻦ اﺳﺖ ﺑﻪ‬
‫ﺻﻮرت ﻣﺤﻔﻈﻪﻫﺎﻳﻲ ﺑﺎ دو در ﺳﺎده ﺑﺎﺷﻨﺪ و ﻳﺎ در داﺧﻞ ﻣﺤﻔﻈﺔ ﺑﻴﻦ دو در‪ ،‬ﻳﻚ ﻣﻨﺒﻊ ﺑﺮاي اﻳﺠﺎد‬
‫ﻫﻮاي ﻓﻴﻠﺘﺮ ﺷﺪه ﺑﺎ ﻓﺸﺎر ﻣﺜﺒﺖ وﺟﻮد داﺷﺘﻪ ﺑﺎﺷﺪ و ﻫﻤﭽﻨﻴﻦ از ﻳﻚ ﺳﻴﺴﺘﻢ ﻗﻔﻞ ﺑﺮاي ﺟﻠﻮﮔﻴﺮي‬
‫از ﺑﺎز ﺷﺪن ﻫﻤﺰﻣﺎن دو در اﺳﺘﻔﺎده ﺷﻮد‪ .‬اﻏﻠﺐ اﻳﻦ ﻣﺤﻔﻈﻪﻫﺎ داراي ﻓﻴﻠﺘﺮﻫﺎي ‪ HEPA‬ﻣﻲﺑﺎﺷﻨﺪ‪.‬‬
‫ﺷﻜﻞ )‪ (12- 8‬ﻧﻤﻮﻧﻪاي از ﻳﻚ ﻣﺤﻔﻈﺔ درب دوﮔﺎﻧﻪ را ﻧﺸﺎن ﻣﻲدﻫﺪ ‪.‬‬

‫ﺷﻜﻞ ‪8‬ـ‪ :12‬ﻧﻤﻮﻧﻪاي از ﻳﻚ درب دوﮔﺎﻧﻪ‬

‫‪541‬‬
‫ﻛﻨﺘﺮل ﺑﺎر اﺳﺘﺎﺗﻴﻚ‬ ‫‪-10-13‬‬
‫ﺑﺎر اﺳﺘﺎﺗﻴﻜﻲ ﻫﻨﮕﺎﻣﻲ ﺑﻪ وﺟﻮد ﻣﻲآﻳﺪ ﻛﻪ دو ﻣﺎده ﻛﻪ در اﺑﺘﺪا ﺑﺎ ﻫﻢ در ﺗﻤﺎس ﺑﻮدﻧﺪ از ﻫﻢ‬
‫ﺟﺪا ﻣﻲ ﺷﻮﻧﺪ و ﺑﻨﺎﺑﺮاﻳﻦ ﻳﻜﻲ از ﺳﻄﻮح ﺑﻪ دﻟﻴﻞ از دﺳﺖ دادن اﻟﻜﺘﺮون‪ ،‬داراي ﺷﺎرژ ﻣﺜﺒﺖ ﻣﻲ ﺷﻮد‬
‫و دﻳﮕﺮي داراي ﺷﺎرژ ﻣﻨﻔﻲ ﻣﻲﺷﻮد‪ .‬اﻳﻦ ﺑﺎر اﺳﺘﺎﺗﻴﻜﻲ ﻣﻲﺗﻮاﻧﺪ روي ﺳﻄﺢ وﻳﻔﺮﻫﺎ‪ ،‬ﺟﻌﺒﻪﻫﺎي‬
‫ﻧﮕﻬﺪاري وﻳﻔﺮﻫﺎ‪ ،‬ﺳﻄﻮح ﻛﺎر و ﺳﻄﺢ ﺗﺠﻬﻴﺰات اﻳﺠﺎد ﺷﻮد‪ .‬ﺗﺠﻤﻊ ﺑﺎرﻫﺎي ﺳﺎﻛﻦ در ﻳﻚ ﻧﻘﻄﻪ اوﻻً‬
‫ﻣﻮﺟﺐ ﺟﺬب ذرات ﻣﻌﻠﻖ ﻫﻮا در آن ﻧﻘﻄﻪ ﻣﻲ ﺷﻮد ﻛﻪ ﭘﺎﻛﺴﺎزي آﻟﻮدﮔﻲ ﻧﺎﺷﻲ از اﻟﻜﺘﺮﻳﺴﻴﺘﻪ ﺳﺎﻛﻦ‬
‫ﻧﻴﺰ ﻛﺎر ﻣﺸﻜﻠﻲ ﻣﻲﺑﺎﺷﺪ‪ .‬و ﺛﺎﻧﻴ ﺎً ﺗﺠﻤﻊ ﺑﺎرﻫﺎي ﺳﺎﻛﻦ در ﻳﻚ ﻧﻘﻄﻪ ﻣﻤﻜﻦ اﺳﺖ وﻟﺘﺎژ ﺑﺴﻴﺎر ﺑﺰرﮔﻲ‬
‫ﺣﺘﻲ ﺗﺎ‪ 50vk‬در آن ﻧﻘﻄﻪ اﻳﺠﺎد ﻛﻨﺪ ﻛﻪ ﭼﻨﻴﻦ وﻟﺘﺎژ ﺑﺰرﮔﻲ ﺣﺪاﻗﻞ ﺗﻤﺎﻣﻲ ﺗﺮاﻧﺰﻳﺴﺘﻮرﻫﺎي ‪ MOS‬را‬
‫دﭼﺎر آﺳﻴﺐ ﻣﻲﻛﻨﺪ ﺿﻤﻦ اﻳﻨﻜﻪ ﺗﺨﻠﻴﻪ اﻳﻦ وﻟﺘﺎژ ﺑﺰرگ ﺟﺮﻳﺎن ﻟﺤﻈﻪاي ﺑﺰرﮔﻲ ﺣﺘﻲ ﺗﺎ ‪ 10 A‬اﻳﺠﺎد‬
‫ﻣﻲﻛﻨﺪ ﻛﻪ اﻳﻦ ﺟﺮﻳﺎن ﺑﺰرگ ﻋﻼوه ﺑﺮ ﻗﻄﻌﻪ ﻣﻤﻜﻦ اﺳﺖ ﺑﻪ دﺳﺘﮕﺎهﻫﺎ و ﻣﺎﺳﻚﻫﺎي ﻟﻴﺘﻮﮔﺮاﻓﻲ و …‬
‫ﻧﻴﺰ آﺳﻴﺐ ﺑﺮﺳﺎﻧﺪ ‪.‬‬
‫ﺑﺮاي ﻛﻨﺘﺮل ﺑﺎرﻫﺎي اﺳﺘﺎﺗﻴﻜﻲ دو ﻛﺎر ﺻﻮرت ﻣﻲﮔﻴﺮد‪ ,‬ﻳﻜﻲ ﺟﻠﻮﮔﻴﺮي از اﻳﺠﺎد ﺷﺎرژ و‬
‫دﻳﮕﺮي اﺳﺘﻔﺎده از ﺗﻜﻨﻴﻚﻫﺎﻳﻲ ﺑﺮاي دﺷﺎرژ ﺑﺎر ذﺧﻴﺮه ﺷﺪه‪ .‬ﺑﺮاي ﻣﻤﺎﻧﻌﺖ از ﺑﻪ وﺟﻮد آﻣﺪن ﺷﺎرژ‪،‬‬
‫ﺑﺎﻳﺪ ﻟﺒﺎس ﻫﺎي ﻛﺎرﻛﻨﺎن و ﻧﻴﺰ ﻣﺤﻔﻈﻪ ﻫﺎي ﻧﮕﻬﺪاري وﻳﻔﺮﻫﺎ از ﺟﻨﺲ ﻣﻮاد آﻧﺘﻲ اﺳﺘﺎﺗﻴﻚ ﺑﺎﺷﻨﺪ ‪.‬‬
‫ﺗﻜﻨﻴﻚ ﻫﺎي دﺷﺎرژ ﺑﺎر‪ ،‬ﺷﺎﻣﻞ اﺳﺘﻔﺎده از ﻳﻮﻧﻴﺰه ﻛﻨﻨﺪه ﻫﺎ و ﻧﻮارﻫﺎي ﺗﺨﻠﻴﻪ اﺳﺘﺎﺗﻴﻜﻲ‬

‫زﻣﻴﻦ ﺷﺪه ﻣﻲ ﺑﺎﺷﺪ‪ .‬ﻳﻮﻧﻴﺰه ﻛﻨﻨﺪه ﻫﺎ دﻗﻴﻘﺎً زﻳﺮ ﻓﻴﻠﺘﺮﻫﺎي ‪ HEPA‬ﻗﺮار ﻣﻲ ﮔﻴﺮﻧﺪ و ﺷﺎرژﻫﺎي ﺑﻪ‬

‫وﺟﻮد آﻣﺪه در ﻫﻮاي ﻓﻴﻠﺘﺮﺷﺪه را ﺧﻨﺜﻲ ﻣﻲ ﻛﻨﻨﺪ‪ .‬در ﺑﻌﻀﻲ از اﺗﺎق ﻫﺎي ﺗﻤﻴﺰ‪ ،‬از ﻳﻮﻧﻴﺰه ﻛﻨﻨﺪه‬

‫ﻫﺎي ﻗﺎﺑﻞ ﺣﻤﻞ ﻧﻴﺰ اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد ﻛﻪ ﻣﺴﺘﻘﻴﻤﺎً ﺑﺎﻻي وﻳﻔﺮﻫﺎ ﻗﺮار ﻣﻲ ﮔﻴﺮﻧﺪ و ﻫﻮاﻳﻲ را ﻛﻪ ﻗﺮار‬

‫اﺳﺖ ﺑﻪ ﺳﻄﺢ وﻳﻔﺮ ﺑﺮﺳﺪ‪ ،‬ﻳﻮﻧﻴﺰه ﻣﻲ ﻛﻨﻨﺪ‪ .‬ﻃﺮﻳﻘﻪ اﺳﺘﻔﺎده از ﻧﻮارﻫﺎي ﺗﺨﻠﻴﻪ اﺳﺘﺎﺗﻴﻜﻲ ﻧﻴﺰ ﺑﺪﻳﻦ‬

‫ﺷﻜﻞ اﺳﺖ ﻛﻪ اﻳﻦ ﻧﻮار از ﻳﻚ ﻃﺮف ﺑﻪ ﻣﭻ ﺑﻨﺪي ﻛﻪ ﺑﻪ دﺳﺖ ﺷﺨﺺ ﺑﺴﺘﻪ ﺷﺪه اﺳﺖ‪ ،‬ﻣﺘﺼﻞ ﻣﻲ‬

‫ﺷﻮد و از ﻃﺮف دﻳﮕﺮ‪ ،‬زﻣﻴﻦ ﻣﻲ ﺷﻮد و ﺑﻪ اﻳﻦ ﺗﺮﺗﻴﺐ ﺗﺨﻠﻴﻪ اﻟﻜﺘﺮواﺳﺘﺎﺗﻴﻜﻲ ﺻﻮرت ﻣﻲ ﮔﻴﺮد‪.‬‬

‫راﻫﻜﺎرﻫﺎي دﻳﮕﺮ ﺑﺮاي ﺗﺨﻠﻴﻪ ﺷﺎرژ اﺳﺘﺎﺗﻴﻜﻲ‪ ،‬اﺳﺘﻔﺎده از ﻛﻔﻲ ﻫﺎي زﻣﻴﻦ ﺷﺪه در ﻣﺤﻞ ﻫﺎي‬

‫‪542‬‬
‫ﺑﺤﺮاﻧﻲ و ﻧﻴﺰ زﻣﻴﻦ ﻛﺮدن ﺳﻄﻮح ﻛﺎر ﻣﻲ ﺑﺎﺷﺪ ‪ .‬ﻣﻮارد ﻣﺬﻛﻮر را ﻣﻲ ﺗﻮان در ﺷﻜﻞ )‪ (13- 8‬ﻣﺸﺎﻫﺪه‬

‫ﻧﻤﻮد‪.‬‬

‫ﺷﻜﻞ ‪ :13- 8‬ﻃﺮﻳﻘﻪ ﺗﺨﻠﻴﻪ ﺑﺎر اﺳﺘﺎﺗﻴﻜﻲ‬

‫‪- 1- 10- 13‬ﺗﻤﻴﺰ ﻛﻨﻨﺪه ﻛﻔﺶ )‪(Shoe Cleaner‬‬

‫ﻫﻤﺎﻧﻄﻮر ﻛﻪ ﮔﻔﺘﻪ ﺷﺪ در ﻫﺮ ﻣﻨﻄﻘﻪ ﺗﺤﺖ ﻛﻨﺘﺮل‪ ،‬آﻟﻮده ﺗﺮﻳﻦ ﻣﻨﻄﻘﻪ ﻛﻒ آن اﺳﺖ‪ .‬ﺟﺪا‬

‫ﻛﺮدن آﻟﻮدﮔﻲ از اﻃﺮاف ﻛﻔﺶ ﻫﺎ و روﻛﺶ ﻫﺎي آن ﻫﺎ ﺑﺎ اﺳﺘﻔﺎده از ﺗﻤﻴﺰ ﻛﻨﻨﺪه ﻛﻔﺶ ﻛﻪ در ورودي‬

‫اﺗﺎق ﺗﻤﻴﺰ ﻗﺮار ﻣﻲ ﮔﻴﺮد‪ ،‬اﻧﺠﺎم ﻣﻲ ﺷﻮد‪.‬‬

‫اﻳﻦ وﺳﻴﻠﻪ داراي ﻳﻜﺴﺮي ﺑﺮس ﻫﺎي دوراﻧﻲ ﻣﻲ ﺑﺎﺷﺪ ﻛﻪ ذرات را از اﻃﺮاف ﻛﻔﺶ ﻫﺎ ﺟﺪا‬

‫ﻣﻲ ﻛﻨﻨﺪ و ذرات ﺟﺪا ﺷﺪه ﺑﻪ داﺧﻞ ﻣﺤﻴﻂ ﺧﻸﻳﻲ ﻛﻪ در داﺧﻞ دﺳﺘﮕﺎه ﻗﺮار دارد‪ ،‬ﻛﺸﻴﺪه ﻣﻲ‬
‫‪543‬‬
‫ﺷﻮﻧﺪ و در داﺧﻞ ﺑﺴﺘﻪ ﻫﺎﻳﻲ ﻧﮕﻪ داﺷﺘﻪ ﻣﻲ ﺷﻮﻧﺪ ﺗﺎ ﺑﻌﺪاً دور رﻳﺨﺘﻪ ﺷﻮﻧﺪ‪ .‬ﻧﻤﻮﻧﻪ ﻫﺎﻳﻲ از اﻳﻦ ﺗﻤﻴﺰ‬

‫ﻛﻨﻨﺪه ﻫﺎي ﻛﻔﺶ در ﺷﻜﻞ )‪ (14- 8‬ﻧﺸﺎن داده ﺷﺪه اﻧﺪ ‪.‬‬

‫ﺷﻜﻞ ‪ :14- 8‬ﻧﻤﻮﻧﻪ ﻫﺎﻳﻲ از ﺗﻤﻴﺰ ﻛﻨﻨﺪه ﻫﺎي ﻛﻔﺶ در ﻳﻚ اﺗﺎق ﺗﻤﻴﺰ‬

‫‪ - 2- 10- 13‬ﺗﻤﻴﺰ ﻛﻨﻨﺪه دﺳﺘﻜﺶ )‪(Glove Cleaner‬‬

‫ﺗﻤﻴﺰ ﻧﮕﻪ داﺷﺘﻦ دﺳﺘﻜﺶ ﻫﺎ در ﻳﻚ اﺗﺎق ﺗﻤﻴﺰ ﻳﻜﻲ از ﻣﺸﻜﻼﺗﻲ اﺳﺖ ﻛﻪ وﺟﻮد دارد‪ .‬ﻳﻚ‬

‫راه اﻳﻦ اﺳﺖ ﻛﻪ ﺑﻪ ﻛﺎرﻛﻨﺎن آﻣﻮزش داده ﺷﻮد ﻛﻪ ﻫﺮﮔﺎه دﺳﺘﻜﺶ ﺷﺎن آﻟﻮده ﺷﺪ‪ ،‬آن را ﻋﻮض‬

‫ﻛﻨﻨﺪ‪ .‬اﻣﺎ اﻳﻦ روش دو اﻳﺮاد دارد‪ ،‬ﻳﻜﻲ اﻳﻨﻜﻪ ﺑﺴﻴﺎري از آﻟﻮﮔﻲ ﻫﺎ ﺑﺎ ﭼﺸﻢ دﻳﺪه ﻧﻤﻲ ﺷﻮﻧﺪ‬

‫ﻣﺨﺼﻮﺻﺎ در اﺗﺎق ﺗﻤﻴﺰ ﻛﻪ ذرات ﺧﻴﻠﻲ رﻳﺰ ﻫﻢ ﻣﻲ ﺗﻮاﻧﻨﺪ ﻣﺸﻜﻞ ﺳﺎز ﺷﻮﻧﺪ و دوم اﻳﻨﻜﻪ ﺗﺸﺨﻴﺺ‬
‫ً‬

‫ﺗﺼﻤﻴﻢ ﮔﻴﺮي ﺑﺮاي ﺗﻌﻮﻳﺾ دﺳﺘﻜﺶ‪ ،‬از ﻳﻚ اﭘﺮاﺗﻮر ﺑﻪ اﭘﺮاﺗﻮر دﻳﮕﺮ ﻣﺘﻔﺎوت اﺳﺖ‪.‬‬ ‫آﻟﻮدﮔﻲ و‬

‫ﻳﻚ راه ﭘﻴﺸﻨﻬﺎد ﺷﺪه دﻳﮕﺮ‪ ،‬ﺗﻌﻮﻳﺾ دﺳﺘﻜﺶ ﻫﺎ ﺑﻌﺪ از ﻫﺮ ﻧﻮﺑﺖ ﻛﺎري اﺳﺖ ﻛﻪ اﻟﺒﺘﻪ اﻳﻦ ﺷﻴﻮه ﻧﻴﺰ‬

‫‪544‬‬
‫ﺧﻴﻠﻲ ﻫﺰﻳﻨﻪ ﺑﺮ اﺳﺖ ‪ .‬ﺑﻪ ﻫﻤﻴﻦ دﻟﻴﻞ در ﺑﻌﻀﻲ از اﺗﺎق ﻫﺎي ﺗﻤﻴﺰ از ﺗﻤﻴﺰﻛﻨﻨﺪه ﻫﺎي دﺳﺘﻜﺶ‬

‫اﺳﺘﻔﺎده ﻣﻲ ﻛﻨﻨﺪ ﻛﻪ ﺑﻪ اﻳﻦ ﻃﺮﻳﻖ دﺳﺘﻜﺶ ﻫﺎ را ﺗﻤﻴﺰ ﻛﺮده و در ﻣﺤﻴﻂ ﺧﺎﺻﻲ ﺧﺸﻚ ﻣﻲ ﻛﻨﻨﺪ ‪.‬‬

‫‪ - 3- 10- 13‬اﻧﺪازه ﮔﻴﺮي و ﻧﻈﺎرت ﺑﺮ ﻣﻴﺰان آﻟﻮدﮔﻲﻫﺎ‬

‫ﻣﻴﺰان آﻟﻮدﮔﻲ ﻫﺎي ﻣﺨﺘﻠﻒ در اﺗﺎق ﺗﻤﻴﺰ‪ ،‬ﻫﻤﻮاره ﺑﺎﻳﺪ ﻣﻮرد ﺑﺮرﺳﻲ ﻗﺮار ﮔﻴﺮد ﺗﺎ از‬

‫ﭘﺎﻛﻴﺰﮔﻲ ﻣﺤﻴﻂ اﻃﻤﻴﻨﺎن ﺣﺎﺻﻞ ﮔﺮدد‪ .‬اﻳﻦ ﻛﺎر ﺑﺎ اﺳﺘﻔﺎده از ﺳﻴﺴﺘﻢ ﻫﺎي اﻧﺪازه ﮔﻴﺮي ذرات اﻧﺠﺎم‬

‫ﻣﻲ ﺷﻮد‪ .‬اﺳﺎس ﻋﻤﻠﻜﺮد اﻳﻦ دﺳﺘﮕﺎه ﻫﺎ ﺑﻪ دو ﺷﻜﻞ ﻣﻲ ﺗﻮاﻧﺪ ﺑﺎﺷﺪ‪ .‬ﺑﻌﻀﻲ از آن ﻫﺎ ﺑﻪ روش ﻧﻮري‬

‫و ﺑﺮرﺳﻲ ﻣﻴﺰان ﭘﺮاﻛﻨﺪﮔﻲ ﻧﻮر‪ ،‬ﺗﻌﺪاد ذرات ﻣﻮﺟﻮد در ﻣﺤﻴﻂ را ﻣﺤﺎﺳﺒﻪ ﻣﻲ ﻛﻨﻨﺪ و ﺑﻌﻀﻲ دﻳﮕﺮ ﺑﺎ‬

‫اﻧﺪازه ﮔﻴﺮي وزن ﺣﺠﻢ ﺧﺎﺻﻲ از ﻫﻮا‪ .‬ﻧﻤﻮﻧﻪ ﻫﺎﻳﻲ از اﻳﻦ دﺳﺘﮕﺎه ﻫﺎ در ﺷﻜﻞ )‪ (15- 8‬آورده ﺷﺪه‬

‫اﻧﺪ‪.‬‬

‫ﺷﻜﻞ ‪ :15- 8‬ﻧﻤﻮﻧﻪ ﻫﺎﻳﻲ از دﺳﺘﮕﺎه ﻫﺎي اﻧﺪازه ﮔﻴﺮي ذرات‬

‫‪ - 4- 10- 13‬ﻛﻨﺘﺮل دﻣﺎ‪ ،‬رﻃﻮﺑﺖ و ﮔﺎزﻫﺎي ﻣﺨﺘﻠﻒ‬

‫ﮔﺬﺷﺘﻪ از ﻛﻨﺘﺮل آﻟﻮدﮔﻲ ﻫﺎ‪ ،‬دﻣﺎ و رﻃﻮﺑﺖ و ﻣﻴﺰان ﮔﺎزﻫﺎي ﻣﺨﺘﻠﻒ ﻣﻮﺟﻮد در ﻫﻮا ﻧﻴﺰ ﺑﺎﻳﺪ‬

‫ﺑﺮرﺳﻲ و ﻛﻨﺘﺮل ﺷﻮﻧﺪ‪.‬‬

‫ﻛﻨﺘﺮل رﻃﻮﺑﺖ ﻧﻴﺰ ﻋﺎﻣﻞ ﻣﻬﻤﻲ اﺳﺖ ﭼﺮا ﻛﻪ اﮔﺮ رﻃﻮﺑﺖ زﻳﺎد ﺑﺎﺷﺪ‪ ،‬ﺑﺎﻛﺘﺮﻳﻬﺎ زﻳﺎد ﺷﺪه و‬

‫ﻣﺸﻜﻼت ﺧﺎص آن ﻫﺎ اﻓﺰاﻳﺶ ﻣﻲﻳﺎﺑﺪ و در ﺑﻌﻀﻲ از ﻣﺮاﺣﻞ ﺳﺎﺧﺖ وﻳﻔﺮ ﻧﻴﺰ اﺧﺘﻼل اﻳﺠﺎد ﻣﻲ ﺷﻮد‪.‬‬

‫‪545‬‬
‫ﻣﺜﻼً در ﻓﺮاﻳﻨﺪ ﻟﻴﺘﻮﮔﺮاﻓﻲ‪ ،‬ﺑﺎﻋﺚ ﻛﺎﻫﺶ ﭼﺴﺒﻨﺪﮔﻲ ﻓﺘﻮرزﻳﺴﺖ ﺑﻪ وﻳﻔﺮ ﻣﻲﺷﻮد‪ .‬و اﮔﺮ رﻃﻮﺑﺖ ﻫﻮا‬

‫ﻛﺎﻫﺶ ﻳﺎﺑﺪ ﻋﺎﻣﻞ ﺗﺸﺪﻳﺪﻛﻨﻨﺪه ﺑﺮاي اﻳﺠﺎد ﺑﺎرﻫﺎي ﺳﺎﻛﻦ در ﺳﻄﺢ وﻳﻔﺮ ﻣﻲﺷﻮد‪ .‬ﺑﻪ ﻫﻤﻴﻦ دﻟﻴﻞ‬

‫رﻃﻮﺑﺖ ﻣﻌﻤﻮﻻ ﺑﻴﻦ ‪ 15%‬ﺗﺎ ‪ 50%‬ﺗﻨﻈﻴﻢ ﻣﻲ ﺷﻮد‪.‬‬

‫وﺟﻮد ﮔﺎزﻫﺎي ﻣﺨﺘﻠﻒ از ﺟﻤﻠﻪ اوزون و دوده ﻧﻴﺰ در ﻣﺤﻴﻂ اﺗﺎق ﺗﻤﻴﺰ ﻣﺸﻜﻞ زاﺳﺖ ﭘﺲ‬

‫ﺑﺎﻳﺪ ﺗﺎ ﺣﺪ ﻗﺎﺑﻞ ﻗﺒﻮﻟﻲ ﻓﻴﻠﺘﺮ ﺷﻮﻧﺪ ‪.‬‬

‫ﻛﻨﺘﺮل دﻣﺎﻳﻲ ﺑﺮاي اﻳﺠﺎد ﺷﺮاﻳﻂ دﻣﺎﻳﻲ ﻣﻄﻠﻮب ﺑﺮاي ﻛﺎرﻛﻨﺎن و ﻫﻤﭽﻨﻴﻦ ﻓﺮآﻳﻨﺪ ﺳﺎﺧﺖ‪،‬‬

‫ﺻﻮرت ﻣﻲﭘﺬﻳﺮد و ﻣﻌﻤﻮﻻ ﺣﻮل و ﺣﻮش ) ‪ ( 22.2±10C‬ﻣﻲ ﺑﺎﺷﺪ‪.‬‬

‫ﺑﺮاي اﻳﻨﻜﻪ اﺗﺎق از ﻳﻜﻨﻮاﺧﺘﻲ دﻣﺎ ﺑﺮﺧﻮردار ﺑﺎﺷﺪ ﺳﻪ ﺣﺎﻟﺖ ﻫﻮاي ﻓﺮآورش ﺷﺪه ﻣﺪﻧﻈﺮ‬

‫اﺳﺖ ﻛﻪ ﺑﻪ ﻗﺮار زﻳﺮ ﻣﻲ ﺑﺎﺷﺪ‪ :‬ورود ﻫﻮا از زﻳﺮ ﺳﻘﻒ )ﺣﺎﻟﺖ ﻳﻚ( ‪ ،‬ورود ﻫﻮا از ﻛﺎﻧﺎل ﺳﺮاﺳﺮي ﻫﻮا‬

‫)ﺣﺎﻟﺖ دو(‪ ،‬ورود ﻫﻮا از ﻛﻒ ﺑﺮآﻣﺪه)ﺣﺎﻟﺖ ﺳﻪ(‪ .‬در ﻫﺮ ﺳﻪ ﻣﻮرد ﺗﻮزﻳﻊ درﺟﻪ ﺣﺮارت و ﺳﺮﻋﺖ ﻫﻮا‬

‫ﻣﻮرد ﺑﺮرﺳﻲ ﻗﺮار ﻣﻲﮔﻴﺮد‪ .‬در ﻣﻘﺎﻳﺴﻪ ﻣﻴﺎن ﺳﻪ ﺣﺎﻟﺖ ﻧﺎﻣﺒﺮده ﺷﺪه درﺧﺼﻮص ﺗﻮزﻳﻊ ﻳﻜﻨﻮاﺧﺖ‬

‫درﺟﻪ ﺣﺮارت ﻣﺘﺎﺛﺮ از ورود ﻫﻮاي ﺗﺎزه ﺑﻪ اﺗﺎق ﺗﻤﻴﺰ‪ ،‬ﺣﺎﻟﺖ اول در ﻣﻘﺎﻳﺴﻪ ﺑﺎ ﺣﺎﻟﺖ دوم و ﺳﻮم ﺑﻪ‬

‫ﻧﺤﻮ ﭼﺸﻤﮕﻴﺮي از ﻣﻘﺒﻮﻟﻴﺖ ﺑﻴﺸﺘﺮي ﺑﺮﺧﻮردار اﺳﺖ‪ .‬در ﺣﺎﻟﺖ اول ﺻﻔﺤﻪ ﻣﺘﺨﻠﺨﻠﻲ در زﻳﺮ ﻛﺎﻧﺎل‬

‫اﺻﻠﻲ ورود ﻫﻮاي ﺗﺎزه ورودي از ﺳﻘﻒ ﻧﺼﺐ ﻣﻲ ﮔﺮدد ﻛﻪ وﻇﻴﻔﻪ اﺻﻠﻲ آن ﺟﻠﻮﮔﻴﺮي از اﺧﺘﻼط‬

‫ﻫﻮاي ﺗﺎزه ورودي و ﻫﻮاي ﺑﺮﮔﺸﺘﻲ ﺑﺎ ﻫﻤﺪﻳﮕﺮ اﺳﺖ ‪.‬‬

‫در راﺳﺘﺎي اﻳﺠﺎد ﺷﺮاﻳﻂ ﻣﺤﻴﻄﻲ ﻗﺎﺑﻞ ﻗﺒﻮل در ﻓﺮآﻳﻨﺪ ﺳﺎﺧﺖ ﻧﻴﻤﻪ ﻫﺎديﻫﺎي ﻣﺨﺘﻠﻒ‬

‫ﻏﺎﻟﺒﺎ از اﺗﺎقﻫﺎي ﺗﻤﻴﺰ ﻳﻜﺴﻮﻳﻪاي اﺳﺘﻔﺎده ﻣﻴﺸﻮد‪ .‬اﺗﺎقﻫﺎي ﺗﻤﻴﺰ ﻳﻜﺴﻮﻳﻪاي از ﻧﻮع ‪Fan ) FFU‬‬

‫‪ (Filter Unit‬اﺧﻴﺮا ﻣﻮرد اﺳﺘﻔﺎده ﻗﺮار ﮔﺮﻓﺘﻪ اﺳﺖ‪ .‬ﺑﺎ اﻳﻦ وﺟﻮد‪ ،‬اﮔﺮ ﻫﻮاي ﺗﺎزه ﺑﻪ اﺗﺎق و ﻫﻮاي‬

‫اﺳﺘﻔﺎده ﻣﺠﺪد ﺑﻪ ﻧﺤﻮ ﺷﺎﻳﺴﺘﻪ اي ﻣﺨﻠﻮط ﻧﺸﺪه ﺑﺎﺷﻨﺪ‪ ،‬ﺗﻮزﻳﻊ درﺟﻪ ﺣﺮارت در اﺗﺎق ﺗﻤﻴﺰ ﺑﻪ ﻃﻮر‬

‫ﻳﻜﻨﻮاﺧﺖ ﻧﺨﻮاﻫﺪ ﺑﻮد‪ ،‬ﺿﻤﻦ اﻳﻨﻜﻪ راﺑﻄﻪاي ﻣﺴﺘﻘﻴﻢ ﺑﻴﻦ ﺗﻮزﻳﻊ درﺟﻪ ﺣﺮارت در اﺗﺎق ﺗﻤﻴﺰ و‬

‫ﺗﻐﻴﻴﺮات ﻫﻮاي ورودي ﺑﻪ آن وﺟﻮد دارد‪ .‬ﺗﺎﺛﻴﺮ و ﻧﺤﻮه ﺗﻮزﻳﻊ ﻫﻮا ﺗﺎزه ﺑﻪ داﺧﻞ اﺗﺎق ﺗﻤﻴﺰ واﺑﺴﺘﻪ‬
‫‪546‬‬
‫اﺳﺖ ﻛﻪ در اﻳﻦ ﺑﻴﻦ ﻣﻮاردي ﻫﻤﭽﻮن اﺑﻌﺎد ﺳﻴﺴﺘﻢ اﺗﺎق ﺗﻤﻴﺰ و ﻣﺤﻞ ورود ﻫﻮاي ﺗﺎزه از ﻋﻮاﻣﻞ ﻣﻬﻢ‬

‫ﺑﺸﻤﺎر ﻣﻲروﻧﺪ‪.‬‬

‫)‪ (Launder and Spalding1974‬ﺑﺎ اﻳﻦ ﻣﺪل آﺷﻔﺘﮕﻲ ﻟﺰﺟﺖ ﺗﻮدهاي‪ ،‬ﻣﻴﺰان دﺑﻲ ﻫﻮاي‬

‫اﻧﺘﻘﺎل ﻳﺎﻓﺘﻪ را ﻣﻲﺗﻮان ﺑﺎ ﻣﻌﺎدﻟﻪ ﻧﺎوﻳﺮ اﺳﺘﻮﻛﺲ ﻣﻌﺎدل زﻣﺎﻧﻲ زﻳﺮ ﺗﻮﺻﻴﻒ ﻛﺮد ‪:‬‬

‫ﻛﻪ در آن ‪:‬‬

‫از ﻧﻘﻄﻪ ﻧﻈﺮ ﻛﻨﺘﺮل درﺟﻪ ﺣﺮارت‪ ،‬ورود ﻫﻮا از ﻛﺎﻧﺎلﻫﺎي ﺳﺮاﺳﺮي )ﺣﺎﻟﺖ دوم( واز ﻛﻒ‬

‫ﻫﺎي ﺑﺮآﻣﺪه )ﺣﺎﻟﺖ ﺳﻮم( در ﺳﻄﻮح ﻣﻮازي دﻳﻮار از ﺗﻐﻴﻴﺮات ﻛﻤﻲ ﺑﺮﺧﻮردار اﺳﺖ وﺗﻘﺮﻳﺒﺎ ﻫﻤﺪﻣﺎ‬

‫ﻣﻲﺑﺎﺷﺪ در ﺣﺎﻟﻲ ﻛﻪ در ﺗﻮزﻳﻊ ﻫﻮا از ﺳﻘﻒ در اﻣﺘﺪاد ﻋﻤﻮدي ﺗﻐﻴﻴﺮات درﺟﻪ ﺑﻴﺸﺘﺮ ﻣﻲﺑﺎﺷﺪ ‪.‬‬

‫از ﻧﻈﺮ ﻣﺪﻳﺮﻳﺖ ﻓﻀﺎ‪ ،‬ﮔﺰﻳﻨﻪ ﺳﻮم ﺣﺎﻟﺖ ﺑﻬﻴﻨﻪ ﻧﻴﺴﺖ‪ .‬ﺣﺎﻟﺖ اول داراي ﻣﻴﺎﻧﮕﻴﻦ ﭘﺎﻳﻴﻦ درﺟﻪ‬

‫ﺣﺮارت در ﻛﻞ اﺗﺎق دارد ﻛﻪ دﻻﻟﺖ ﺑﺮ ﻛﺎﻫﺶ ﺑﺎ ﺑﺮودﺗﻲ ﻛﻮﻳﻞ ﺳﺮﻣﺎﻳﻲ دارد‪ .‬ﺣﺎﻟﺖ دوم ﻧﻴﺰ ﻣﺎﺑﻴﻦ‬

‫‪547‬‬
‫ﺣﺎﻟﺖ اول و ﺳﻮم اﺳﺖ‪ .‬در اﻳﻦ ﺣﺎﻟﺖ ﺑﺎ اﺳﺘﻔﺎده از اﺑﺰار ﺧﺎص ﻣﻴﺰان اﺧﺘﻼط ﻫﻮاي ﺗﺎزه و ﻫﻮاي‬

‫ﺑﺮﮔﺸﺘﻲ ﻓﺮآورش ﺷﺪه را ﻣﻲ ﺗﻮان ﺑﻪ ﻧﺤﻮ ﺷﺎﻳﺎﻧﻲ ﻃﺮاﺣﻲ ﻧﻤﻮد ‪.‬‬

‫‪ - 5- 10- 13‬اﻧﺘﺨﺎب ﺻﺤﻴﺢ ﻣﻮاد ﻣﻮرد اﺳﺘﻔﺎده در اﺗﺎق ﺗﻤﻴﺰ‬

‫ﺑﻪ ﻃﻮر ﻛﻠﻲ وﺳﺎﻳﻞ و ﻣﻮادي ﻛﻪ در اﺗﺎق ﺗﻤﻴﺰ ﻗﺮاردارﻧﺪ‪ ،‬ﻧﺒﺎﻳﺪ از ﺧﻮد ﺗﺸﻌﺸﻊ ﻣﺎدي داﺷﺘﻪ‬

‫ﺑﺎﺷﻨﺪ‪ .‬ﺑﻪ ﻫﻤﻴﻦ دﻟﻴﻞ ﺑﺮاي ﻛﻒ ﭘﻮش ﻫﺎ‪ ،‬روﻛﺶ دﻳﻮارﻫﺎ‪ ،‬ﺑﺪﻧﻪ دﺳﺘﮕﺎه ﻫﺎي ﻣﺨﺘﻠﻒ‪ ،‬روﻛﺶ ﻛﺎﺑﻞ‬

‫ﻫﺎي ﺑﺮق و‪ ...‬ﻣﻌﻤﻮﻻً از اﺳﺘﻴﻞ و ﻳﺎ ﭘﻠﻴﻤﺮﻫﺎي ﻣﺨﺼﻮص اﺗﺎق ﺗﻤﻴﺰ اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‪.‬‬

‫ﻧﻮﻳﺰ‬
‫ﻫﻤﺎﻧﻄﻮر ﻛﻪ در اﺑﺘﺪا ﮔﻔﺘﻪ ﺷﺪ ﻳﻜﻲ از ﭘﺎراﻣﺘﺮﻫﺎﻳﻲ ﻛ ﻪ در ﻳﻚ اﺗﺎق ﺗﻤﻴﺰ ﺑﺎﻳﺪ ﻣﻮرد ﺗﻮﺟﻪ ﻗﺮارﮔﻴﺮد‬
‫ﻣﺸﻜﻞ ﻧﻮﻳﺰ و ﺑﻪ ﻋﺒﺎرﺗﻲ ﺳﺮوﺻﺪا ﻣﻲ ﺑﺎﺷﺪ‪ .‬زﻳﺮا ﻧﻮﻳﺰ ﺑﻪ دﻟﻴﻞ ارﺗﻌﺎﺷﺎﺗﻲ ﻛﻪ ﻣﻲ ﺗﻮاﻧﺪ اﻳﺠﺎد ﻛﻨﺪ در‬
‫ﺑﺴﻴﺎري از ﻓﺮاﻳﻨﺪﻫﺎ ازﺟﻤﻠﻪ ﻟﻴﺘﻮﮔﺮاﻓﻲ ﻣﻲ ﺗﻮاﻧﺪ اﻳﺠﺎد ﻣﺸﻜﻞ ﻧﻤﺎﻳﺪ‪ .‬ﻫﻤﭽﻨﻴﻦ ﺑﺮاي ﺣﻔﻆ ﺗﻤﺮﻛﺰ‬
‫ﻛﺎرﻛﻨﺎن اﺗﺎق ﺗﻤﻴﺰ ﻧﻴﺰ اﻳﻦ ﻣﺴﺄﻟﻪ ﺣﺎﺋ ﺰ اﻫﻤﻴﺖ اﺳﺖ ‪.‬‬

‫ﻣﻨﺎﺑﻊ ﻧﻮﻳﺰ‬
‫ﻣﻨﺎﺑﻊ اﺻﻠﻲ ﻧﻮﻳﺰ در داﺧﻞ ﻳﻚ اﺗﺎق ﺗﻤﻴﺰ ﻋﺒﺎرﺗﻨﺪ از ‪:‬‬
‫‪ - 1‬ﻓﻦ ﻫﺎي ﻣﻮرد اﺳﺘﻔﺎده در اﺗﺎق ﺗﻤﻴﺰ‬

‫‪ - 2‬ﺟﺮﻳﺎن ﻫﻮاي داﺧﻞ اﺗﺎق‬

‫‪ - 3‬ﺗﺠﻬﻴﺰات ﻓﺮاﻳﻨﺪ‬

‫ﺗﻜﻨﻴﻚ ﻫﺎي ﻛﻨﺘﺮل ﻧﻮﻳﺰ‬


‫ﺑﺮاي ﻛﻨﺘﺮل و ﻛﺎﻫﺶ ﻧﻮﻳﺰ در داﺧﻞ ﻳﻚ اﺗﺎق ﺗﻤﻴﺰ از ﺗﻜﻨﻴﻚ ﻫﺎي ﻣﺨﺘﻠﻔﻲ ﻣﻲ ﺗﻮان‬
‫اﺳﺘﻔﺎده ﻧﻤﻮد‪ .‬ﺑﻌﻀﻲ از اﻳﻦ روش ﻫﺎ در اﻳﻦ ﻗﺴﻤﺖ آورده ﺷﺪه اﻧﺪ ﻛﻪ ﻋﺒﺎرﺗﻨﺪ از ‪:‬‬
‫‪548‬‬
‫اﺳﺘﻔﺎده از ﺳﻄﻮح ﻣﺤﺪب‪ .‬زﻳﺮا اﻳﻦ ﺳﻄﻮح ﻧﻮﻳﺰ را ﭘﺮاﻛﻨﺪه ﻣﻲ ﻛﻨﻨﺪ ‪.‬‬ ‫‪-1‬‬

‫ﻋﺪم اﺳﺘﻔﺎده از ﺳﻄﻮح ﻣﻘﻌﺮ‪ .‬زﻳﺮا اﻳﻦ ﺳﻄﻮح ﻧﻮﻳﺰ را در ﻳﻚ ﻧﻘﻄﻪ ﻣﺘﻤﺮﻛﺰ ﻣﻲ‬ ‫‪-2‬‬

‫ﻛﻨﻨﺪ ‪.‬‬

‫ﻗﺮار دادن ﺗﺠﻬﻴﺰاﺗﻲ ﻛﻪ ﻧﻮﻳﺰ زﻳﺎدي دارﻧﺪ در ﻳﻚ ﻓﻀﺎي ﻣﺸﺨﺺ و ﻋﺎﻳﻖ ﺑﻨﺪي‬ ‫‪-3‬‬

‫ﺻﻮﺗﻲ آن ﻣﺤﻴﻂ‬

‫اﻧﺘﺨﺎب ﺗﺠﻬﻴﺰاﺗﻲ ﺑﺎ ﻧﻮﻳﺰ ﻛﻤﺘﺮ‬ ‫‪-4‬‬

‫ﻗﺮار دادن ﺗﺠﻬﻴﺰات ﻧﻮﻳﺰي در داﺧﻞ ﻣﺤﻔﻈﻪ ﻫﺎي آﻛﻮﺳﺘﻴﻜﻲ‬


‫ﺗﻮﺟﻪ ﺑﻪ ﻧﺤﻮه رﻓﺖ و ﺑﺮﮔﺸﺖ ﻧﻮﻳﺰ در داﺧﻞ اﺗﺎق ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ ﻃﺮاﺣﻲ و ﭼﻴﻨﺶ‬ ‫‪-5‬‬

‫ﺗﺠﻬﻴﺰات در داﺧﻞ اﺗﺎق‬

‫اﻳﺠﺎد ﻳﻚ اﺗﺎق ﻣﺨﺼﻮص ﺑﺮاي ﻗﺮار دادن ﺗﺠﻬﻴﺰات ﺳﻴﺴﺘﻢ ﺗﻬﻮﻳﻪ ﻫﻮا ) ‪( HVAC‬‬ ‫‪-6‬‬

‫ﻗﺮار دادن ﻣﺤﻞ ﻛﺎر ﻛﺎرﻛﻨﺎن‪ ،‬دور از ﻓﻀﺎﻫﺎي ﻧﻮﻳﺰي واﺗﺎق ﺳﻴﺴﺘﻢ ‪HVAC‬‬ ‫‪-7‬‬

‫درزﺑﻨﺪي ﻫﻤﻪ ﻣﻨﺎﻓﺬ و ﺳﻮراخ ﻫﺎي ﻣﻮﺟﻮد در دﻳﻮارﻫﺎ‬ ‫‪-8‬‬

‫اﺗﺎق ﺗﻤﻴﺰ ﺟﺮﻳﺎن آرام‬ ‫‪-11-13‬‬


‫در ﺑﻌﻀﻲ ﻣﻮاﻗﻊ ﻣﺨﺼﻮﺻﺎ در ﻣﻮاﻗﻌﻲ ﻛﻪ در اﺗﺎق ﺗﻤﻴﺰ ﻋﻤﻠﻴﺎﺗﻲ اﻧﺠﺎم ﻣﻲ ﺷﻮد ﻛﻪ ﺗﻮﻟﻴﺪ ذره‬

‫زﻳﺎدي ﻣﻲﻛﻨﺪ دﻳﮕﺮ ﺷﻴﻮه ﻫﺎي ﺳﻨﺘﻲ ﺟﻮاﺑﮕﻮ ﻧﻤﻲﺑﺎﺷﺪ ‪.‬‬

‫در ﺳﺎ ‪ 1961‬ﺑﺎ ﻃﺮاﺣﻲ اوﻟﻴﻦ اﺗﺎق ﺗﻤﻴﺰ ﺑﻪ روش ﺟﺮﻳﺎن آرام ﺗﻮاﻧﺴﺘﻨﺪ ﻣﺸﻜﻞ را ﺣﻞ ﻛﻨﻨﺪ‪ .‬در اﺗﺎق‬

‫ﺗﻤﻴﺰ‪ ،‬ﺟﺮﻳﺎن آرام ﻫﻮاي ﺗﻤﻴﺰ از ﻳﻚ دﻳﻮار ﻳﺎ ﺳﻘﻒ وارد و ﭘﺲ از ﻋﺒﻮر از ﻳﻚ ﻣﺴﻴﺮ ﻣﺴﺘﻘﻴﻢ ﺑﻪ‬

‫دﻳﻮار ﻳﺎ ﺳﻘﻒ ﻣﺨﺎﻟﻒ ﺧﻮد ﻣﻲ رﺳﺪ‪ .‬در ﺻﻮرﺗﻲ ﻛﻪ ﻣﺎ ﻫﻴﭻ ﻣﺎﻧﻌﻲ ﻧﺪاﺷﺘﻪ ﺑﺎﺷﻴﻢ ﺗﻤﺎم ﻫﻮاي اﺗﺎق ﺑﺎ‬

‫‪549‬‬
‫ﻳﻚ ﺟﺮﻳﺎن آرام )ﺑﺎ ﻳﻚ اﺧﺘﻼط ﺑﺴﻴﺎر ﻛﻢ ﻳﺎ ﺑﺪون ﻫﻴﭻ اﺧﺘﻼﻃﻲ( ﺑﻪ ﺳﻤﺖ ﺑﺪﻧﻪ )دﻳﻮار ﺧﺮوﺟﻲ(‬

‫ﺣﺮﻛﺖ ﻣﻲﻛﻨﺪ‪.‬‬

‫اﻳﻦ ﻧﻮع اﺗﺎق ﻫﺎي ﺗﻤﻴﺰ‪ ،‬ﺳﻴﺴﺘﻢ ﻫﺎي ﻫﻮاﻳﻲ ﺑﺰرﮔﺘﺮ وﮔﺮان ﻗﻴﻤﺖ ﺗﺮ ﻧﺴﺒﺖ ﺑﻪ ﺣﺎﻟﺖ ﺳﻨﺘﻲ دارﻧﺪ و‬

‫از آﻧﺠﺎﻳﻲ ﻛﻪ ﺗﺨﻠﻴﻪ ذرات ﻧﺴﺒﺘﺎ ﺳﺮﻳﻊ اﺳﺖ اﻳﻦ اﻣﻜﺎن را اﻳﺠﺎد ﻛﺮده ﻛﻪ ﺧﻴﻠﻲ از ﻣﺤﺪودﻳﺖ ﻫﺎ و‬

‫ﭘﺸﺘﻴﺒﺎﻧﻲ ﻫﺎﻳﻲ ﻛﻪ در اﺗﺎق ﺳﻨﺘﻲ اﻋﻤﺎل ﻣﻲ ﺷﻮد‪ ،‬از ﺑﻴﻦ ﺑﺮود و در ﻧﺘﻴﺠﻪ ﺻﺮﻓﻪ ﺟﻮﻳﻲ در زﻣﺎن و‬

‫ﻫﺰﻳﻨﻪ ﻛﺎرﻫﺎ ﻣﻲﺗﻮاﻧﺪ ﺟﺒﺮان ﻗﻴﻤﺖ ﺑﺎﻻي ﺗﺠﻬﻴﺰات را ﺑﻨﻤﺎﻳﺪ‪.‬‬

‫اﻧﻮاع اﺗﺎق ﺗﻤﻴﺰ ﺟﺮﻳﺎن آرام‬

‫اﻟﻒ(ﺟﺮﻳﺎن ﭘﺎﻳﻴﻦ)‪:(down-flow laminar cleanroom‬‬

‫ﻫﻤﺎن ﻃﻮر ﻛﻪ در ﺷﻜﻞ ‪ 16-8‬ﻧﺸﺎن داده ﺷﺪه اﺳﺖ‪،‬در اي ﻧﻮع ﻃﺮاﺣﻲ ﺟﺮﻳﺎن ﻫﻮا از ﺳﻘﻒ‬

‫ﺑﻪ ﻛﻒ ﻣﻲ ﺑﺎﺷﺪ ﻛﻪ ﻛﻒ از ﻳﻚ ﺻﻔﺤﻪ ﻣﺸﺒﻚ ﺗﺸﻜﻴﻞ ﺷﺪه و زﻳﺮ آن ﻓﻴﻠﺘﺮﻫﺎﻳﻲ ﻗﺮار دارد‪ .‬اﻳﻦ ﻧﻮع‬

‫از اﺗﺎق ﺗﻤﻴﺰ ﺑﻪ ﻋﻨﻮان ﺑﻬﺘﺮﻳﻦ ﺣﺎﻟﺖ ﺷﻨﺎﺧﺘﻪ ﺷﺪه اﺳﺖ‪ .‬ﺗﻤﺎم ذرات ﻣﻌﻠﻖ ﺳﺮﻳﻌﺎ ﺑﻪ ﺳﻤﺖ ﭘﺎﻳﻴﻦ‬

‫)ﺻﻔﺤﻪ ﻣﺸﺒﻚ ﻛﻒ( ﻣﻨﺘﻘﻞ ﻣﻲ ﺷﻮد‪ .‬ﺟﺎﻳﻲ ﻛﻪ ﺑﻪ وﺳﻴﻠﻪ ﺣﺮﻛﺎت اﺷﺨﺎص ﻧﻤﻲ ﺗﻮاﻧﻨﺪ دوﺑﺎره ﻣﻌﻠﻖ‬

‫ﺷﻮﻧﺪ ﺳﻘﻒ اﻳﻦ اﺗﺎق در ﺷﺮاﻳﻂ ﻋﺎدي ﻛﺎﻣﻼ از ﻓﻴﻠﺘﺮﻫﺎي ﺷﺒﻜﻪ اي ﺑﺎ ﺑﺎزده ﺑﺎﻻ ﻛﻪ ﺗﻮﺳﻂ ﻳﻚ‬

‫ﺻﻔﺤﻪ ﻣﺸﺒﻚ ﻣﻨﺎﺳﺐ ﻣﺤﺎﻓﻈﺖ ﻣﻲﺷﻮﻧﺪ‪ ،‬ﺗﺸﻜﻴﻞ ﺷﺪه اﺳﺖ‪ .‬ﺑﻪ ﻋﻠﺖ دﺷﻮاري ﻓﻨﻮن ﻣﻬﻨﺪﺳﻲ در‬

‫ﻃﺮاﺣﻲ ﺳﻘﻒ و ﻛﻒ ﻛﺎذب و ﻣﻘﺪار زﻳﺎد ﻓﻴﻠﺘﺮﻫﺎ و ﺳﺮﻋﺖ زﻳﺎد ﺗﻌﻮﻳﺾ ﻫﻮا‪ ،‬اي ﻧﻮع اﺗﺎق ﺗﻤﻴﺰ‬

‫ﺟﺮﻳﺎن آرام ﻋﻤﻮﻣﺎ ﮔﺮان ﺗﺮﻳﻦ ﻧﻮع ﻣﻲﺑﺎﺷﺪ‪.‬‬

‫‪550‬‬
‫ﺷﻜﻞ ‪ :16-8‬ﻃﺮاﺣﻲ ﺟﺮﻳﺎن ﻫﻮا از ﺳﻘﻒ ﺑﻪ ﻛﻒ‬

‫ب(اﺗﺎق ﺗﻤﻴﺰ ﺑﺎ ﺟﺮﻳﺎن آرام اﻓﻘﻲ)‪:(cross-flow laminar cleanroom‬‬

‫در اﻳﻦ اﺗﺎق )ﻫﻤﺎﻧﻄﻮر ﻛﻪ در ﺷﻜﻞ ‪ 17-8‬ﻧﺸﺎن داده ﺷﺪه اﺳﺖ( ﻳﻚ دﻳﻮار ﺷﺎﻣﻞ ﻣﺠﻤﻮﻋﻪ‬

‫اي از ﻓﻴﻠﺘﺮ ﻫﺎ ﻣﻲﺑﺎﺷﺪ و ﺟﺮﻳﺎن ﻫﻮاي ﻣﺴﺘﻘﻴﻢ از آن دﻳﻮار ﺑﻪ دﻳﻮار ﻣﻘﺎﺑﻞ ﺧﻮد اﺳﺖ‪ .‬ﺳﺎﺧﺖ اﻳﻦ‬

‫ﻧﻮع اﺗﺎق ﺗﻤﻴﺰ ﻧﺴﺒﺖ ﺑﻪ ﺣﺎﻟﺖ ﺟﺮﻳﺎن ﭘﺎﻳﻴﻦ ﺳﺎده ﺗﺮ ﺑﻮده واﻳﻦ ﺗﻮاﻧﺎﻳﻲ را دارد ﻛﻪ ﺑﺎ اﺿﺎﻓﻪ ﻛﺮدن‬

‫ﻳﻚ ﻣﺠﻤﻮﻋﻪاي از ﻓﻴﻠﺘﺮﻫﺎ ‪ ،‬ﻳﻚ اﺗﺎق ﺗﻤﻴﺰ دﻳﮕﺮ در ﻣﺠﺎورت آن ﺳﺎﺧﺖ‪.‬‬

‫ﺷﻜﻞ ‪ :17-8‬در اﻳﻦ ﻧﻮع اﺗﺎق ﻳﻚ دﻳﻮار ﺷﻤﺎل ﻣﺠﻤﻮﻋﻪ اي از ﻓﻴﻠﺘﺮﻫﺎ ﻣﻲ ﺑﺎﺷﺪ‬

‫‪551‬‬
:(wall –to- floor type)‫ج(ﻧﻮع دﻳﻮار ﺑﻪ ﻛﻒ‬

‫ ﻛﻪ در ﻳﻚ دﻳﻮار اﺗﺎق‬،‫ ﻫﻮا از ﻃﺮﻳﻖ ﻳﻚ ﻣﺠﻤﻮﻋﻪ ﻓﻴﻠﺘﺮﻫﺎي ﺑﺎ ﺑﺎزده ﺑﺎﻻ‬،‫در ﻧﻮع دﻳﻮار ﺑﻪ ﻛﻒ‬

‫ اﻳﻦ ﻧﻮع ﺑﻴﺸﺘﺮ‬.‫)ﻣﻌﻤﻮﻻ دﻳﻮار ﺑﺎﻻي ﻣﻴﺰﻛﺎر( ﻗﺮار ﮔﺮﻓﺘﻪ اﺳﺖ ﺑﻪ ﺳﻤﺖ ﺻﻔﺤﻪ ﻣﺸﺠﻊ ﻛﻒ ﻣﻲ رود‬

. ‫ﺑﺮاي اﺗﺎقﻫﺎي ﺗﻤﻴﺰ ﻛﻮﭼﻚ ﻳﺎ ﻗﺎﺑﻞ ﺣﻤﻞ ﺑﺎ ﻳﻚ ﻣﻴﺰﻛﺎر ﻣﻨﻔﺮد ﻣﻨﺎﺳﺐ اﺳﺖ‬

‫ﻣﺮاﺟﻊ‬
- Peter Van Zant, Microchip Fabrication, McGraw-Hill, 2004, ISBN: 0-07-143241-8

- The technology of clean room design : Peter H.Singer. Semiconductor Int. 92

- Clean room technologyH. H. Schicht. Vacuum35(10- 11), 485

- Clean rooms for VLSI fabrication: Philip W. Morrison and Richard J. Yevak. Semiconductor

Int. 208

www.snow.stanford.edu
www.thomasnet.com
www.consumer.nilfisk.com
www.filterair.info
www.ergocentric.com
www.datarecoverytools.co.uk
www.dalatec.com
www.blog.lib.umn.edu
www.foundry.lbl.gov
www.homefixated.com
www.coleparmer.com
www.ptw.de/uploads/pics/como

552
www.dreamstime.com
www.vkesd.com
www.terrauniversal.com
www.abtech.net

-http://www.pdhonline.org/courses/m143content.pdf

- http://www.intel.com/education/cleanroom/index2.htm

- http://www.sizes.com/built/clean_rooms.htm

- http://www.fiterair.info

- http://www.webopedia.com/TERM/C/clean_room.html

- http://www.air-crafters.com/concepts4.html

- http://www.ramboll.com

- Hal Amick, A. M. Yazdanniyaz, K.S. Pearsons, and R. E. Nugent, "A Review of Noise

Issues in Semiconductor Clean Rooms" , Reprinted from Proceedings of Noise-Con 90,


Austin, Texas, October 15-17, 1990, pp247-252
www.wikipedia.org
-Hu SC, Chung JH Influences of fresh air supply locations on temperature distribution of fan
filter unit (FFU) type unidirectional clean rooms

‫اﺗﺎق ﺗﻤﻴﺰ ﻣﺠﻴﺪ ﺳﻠﻄﺎﻧﻲ‬-

‫اﺳﺘﺎﻧﺪاردﻫﺎي ﻃﺒﻘﻪ ﺑﻨﺪي اﺗﺎق ﺗﻤﻴﺰ ﺣﻤﻴﺪرﺿﺎ ﺿﻴﺎﻳﻲ‬-

553
‫ﻓﺼﻞ ‪ -14‬ارزﻳﺎﺑﻲ ﻓﺮاﻳﻨﺪ ﻫﺎ و ﻗﻄﻌﺎت‬

‫ﻣﻘﺪﻣﻪ‬ ‫‪-1-14‬‬

‫ﺗﻮﺻﻴﻒ ﻓﺮآﻳﻨﺪﻫﺎ و ﭘﺎراﻣﺘﺮﻫﺎي ﻣﺪاري ﺑﺮاي ﭘﺎﻳﺪاري ﻣﺤﺼﻮل و ﻛﻨﺘﺮل ﺧﻂ ﺗﻮﻟﻴﺪ ﻻزم اﺳﺖ‪.‬‬
‫واژه ﻣﺘﺮوﻟﻮژي واژهاي ﻋﻤﻮﻣﻲ ﺑﺮاي اﻧﺪازهﮔﻴﺮي ﻣﺸﺨﺼﺎت ﺳﻄﺤﻲ ﻓﻴﺰﻳﻜﻲ اﺳﺖ‪ .‬وﻳﻔﺮﻫﺎي‬
‫آزﻣﺎﻳﺸﻲ‪ ،‬وﻳﻔﺮﻫﺎي ﭘﺮداﺧﺖ ﻧﺸﺪه ﻳﺎ ﺗﻜﻪ وﻳﻔﺮﻫﺎﻳﻲ ﻫﺴﺘﻨﺪ ﻛﻪ ﻣﺮاﺣﻞ ﻓﺮآﻳﻨﺪ ﺑﺮاي اﻧﺪازهﮔﻴﺮي‬
‫ﻫﺎي ﺑﻌﺪ از ﻓﺮآﻳﻨﺪ ﻣﻮرد اﺳﺘﻔﺎده ﻗﺮار ﻣﻲﮔﻴﺮﻧﺪ‪ .‬اﻛﺜﺮ آزﻣﺎﻳﺶﻫﺎﻳﻲ ﻛﻪ ﺑﺮ روي وﻳﻔﺮﻫﺎ ﺻﻮرت ﻣﻲ‪-‬‬
‫ﮔﻴﺮد ﻣﺨﺮب ﺑﻮده و ﻧﻤﻲﺗﻮان اﻧﻬﺎ را ﺑﺮ روي وﻳﻔﺮﻫﺎي ﻗﻄﻌﻪ و ﻳﺎ اﺟﺰاء ﺣﻘﻴﻘﻲ در ﺗﺮاﺷﻪ اﺟﺮا ﻛﺮد‪.‬‬
‫در ﻓﺼﻞﻫﺎي ﻣﺮﺑﻮط ﺑﻪ ﻓﺮآﻳﻨﺪ ﻣﻬﻤﺘﺮﻳﻦ ﭘﺎراﻣﺘﺮﻫﺎي ﻫﺮ ﭘﺮوﺳﻪ‪ ،‬ﻳﻌﻨﻲ ﺿﺨﺎﻣﺖ ﻓﻴﻠﻢ‪ ،‬ﻣﻴﺰان ﺗﻤﻴﺰي‬
‫و ﻣﻘﺎﻣﺖ وﻳﮋه ﻣﺸﺨﺺ ﻣﻲﮔﺮدد‪ .‬در اﻳﻨﺠﺎ ﺗﺌﻮري ﭘﺎﻳﻪ‪ ،‬ﻗﺎﺑﻠﻴﺖ اﺟﺮا و رﻧﺞ ﺣﺴﺎﺳﻴﺖ روشﻫﺎي‬
‫آزﻣﺎﻳﺶ ﻣﻮرد ارزﻳﺎﺑﻲ ﻗﺮار ﻣﻲﮔﻴﺮد‪.‬‬

‫ﺑﺮﺧﻲ از اﻧﺪازهﮔﻴﺮي ﻫﺎ ﺑﻪ ﺻﻮرت ﻣﺴﺘﻘﻴﻢ اﻧﺠﺎم ﻣﻲﮔﻴﺮﻧﺪ و ﺑﺮﺧﻲ دﻳﮕﺮ ﻏﻴﺮ ﻣﺴﺘﻘﻴﻢ ﻫﺴﺘﻨﺪ‪.‬‬
‫ﻳﻚ دﺳﺘﻪ اﻧﺪازهﮔﻴﺮي ﻫﺎي اﻟﻜﺘﺮﻳﻜﻲ ﺑﺮ روي وﻳﻔﺮﻫﺎي آزﻣﺎﻳﺸﻲ و ﻗﻄﻌﺎت واﻗﻌﻲ را ﺷﺎﻣﻞ ﻣﻲ‪-‬‬
‫ﺷﻮﻧﺪ‪ .‬آﻧﻬﺎ اﺛﺮ ﻣﺴﺘﻘﻴﻢ ﺑﺮﺧﻲ از ﻓﺮاﻳﻨﺪﻫﺎ از ﺟﻤﻠﻪ ﻛﺎﺷﺖ ﻳﻮﻧﻲ را اﻧﺪازه ﻣﻲﮔﻴﺮﻧﺪ ‪ .‬اﻧﺪازهﮔﻴﺮي ﻫﺎي‬
‫ﻋﻤﻠﻜﺮد ﻗﻄﻌﻪ ﻣﻌﻤﻮﻻ ﺷﺎﻣﻞ ﭼﻨﺪﻳﻦ ﭘﺮوﺳﻪ ﻣﻲﺷﻮﻧﺪ و از ﻧﺘﺎﻳﺞ ﺑﺮاي ﻛﻨﺘﺮل ﭘﺎراﻣﺘﺮي ﭘﺮوﺳﻪ ﻣﺠﺰا‬
‫ﻣﻮرد اﺳﺘﻔﺎده ﻗﺮار ﻣﻲﮔﻴﺮﻧﺪ‪ .‬ﻳﻚ دﺳﺘﻪ دﻳﮕﺮ از آزﻣﺎﻳﺶﻫﺎ اﻧﺪازهﮔﻴﺮي ﻫﺎي ﻣﺴﺘﻘﻴﻢ ﭘﺎراﻣﺘﺮﻫﺎي‬
‫ﻓﻴﺰﻳﻜﻲ از ﻗﺒﻴﻞ ﺿﺨﺎﻣﺖ‪ ،‬ﻋﺮض و ﺗﺮﻛﻴﺐ ﻻﻳﻪ را ﺷﺎﻣﻞ ﻣﻲﺷﻮد‪ .‬اﻳﻦ ﮔﺮوه ﺷﺎﻣﻞ آﺷﻜﺎرﺳﺎزي‬
‫ﻧﻘﺎﻳﺺ ﻣﻲﺑﺎﺷﺪ‪ .‬دﺳﺘﻪ ﺳﻮﻣﻲ ﻧﻴﺰ وﺟﻮد دارد ﻛﻪ ﻣﻴﺰان آﻟﻮدﮔﻲ ﺑﺮ و در وﻳﻔﺮﻫﺎ و در ﻣﻮاد را اﻧﺪازه‪-‬‬
‫ﮔﻴﺮي ﻣﻲﻧﻤﺎﻳﺪ‬

‫روﻳﺖ ﻋﻴﻮب و آﻟﻮدﮔﻲ ﻫﺎ ﺑﺎ ﭼﺸﻢ ﻏﻴﺮ ﻣﺴﻠﺢ‬ ‫‪-2-14‬‬

‫ﺷﺎﻳﺪ ﻣﻬﻤﺘﺮﻳﻦ ﻋﻮاﻣﻞ ﺷﻨﺎﺳﺎﻳﻲ اﺷﻜﺎﻻت ﻓﺮاﻳﻨﺪ‪ ‬ﺗﻮﻟﻴﺪ‪ ،‬اﭘﺮاﺗﻮر ﻫﺎي ﻣﺠﺮب ﺑﺎﺷﻨﺪ‪ .‬اﻓﺮادي ﻛﻪ‬
‫ﺳﺎﻟﻴﺎن ﻣﺘﻤﺎدي در ﺑﺨﺶ ﺧﺎﺻﻲ از ﺧﻂ ﺗﻮﻟﻴﺪ ﻣﺸﻐﻮل ﻛﺎرﻧﺪ‪ ،‬ﺣﻮاس ﭘﻨﺠﮕﺎﻧﻪ ﺷﺎن ﺑﻪ ﭘﺎراﻣﺘﺮ ﻫﺎي‬
‫ﻣﺨﺘﻠﻒ )از رﻧﮓ ﮔﺎز ﻋﺒﻮري از ﻳﻚ ﻟﻮﻟﻪ ﺧﺎص ﻳﺎ ﻛﻴﻔﻴﺖ ﺑﺮاﻗﻲ وﻳﻔﺮ ﭘﺲ از ﻳﻚ ﭘﺮوﺳﻪ ﮔﺮﻓﺘﻪ‪ ،‬ﺗﺎ‬

‫‪554‬‬
‫ﺻﺪاي اﻧﺠﺎم ﺷﺪن ﻳﻚ ﻓﺮاﻳﻨﺪ و ‪ (...‬ﻋﺎدت ﻧﻤﻮده و در ﺻﻮرت ﺑﺮوز ﺗﻐﻴﻴﺮ در رﻧﮓ‪ ،‬ﺑﻮ‪ ،‬و ﻳﺎ ﺻﺪاي‬
‫ﻏﻴﺮ ﻋﺎدي ﻳﻚ ﻓﺮاﻳﻨﺪ‪ ،‬ﺑﻼﻓﺎﺻﻠﻪ ﺗﻮﺟﻬﺸﺎن ﺟﻠﺐ ﺧﻮاﻫﺪ ﺷﺪ ‪.‬‬

‫ﻟﺬا ﺑﺮرﺳﻲ ﭼﺸﻤﻲ )ﺑﺪون ﺑﺰرﮔﻨﻤﺎﻳﻲ ‪ ،‬و زﻳﺮ ﻧﻮر ﻣﻌﻤﻮﻟﻲ اﺗﺎق( از ﻇﺎﻫﺮ وﻳﻔﺮ ﭘﺲ از ﻫﺮ ﻋﻤﻠﻴﺎت‪،‬‬
‫ﺣﺪاﻗﻞ ارزﻳﺎﺑﻲ از اﻳﻦ دﺳﺖ ﻣﻲ ﺑﺎﺷﺪ‪ ) .‬ﺷﻜﻞ ‪( 1‬‬

‫ﺷﻜﻞ‪:1‬روﻳﺖ آﻟﻮدﮔﻲ ﺑﺎ ﭼﺸﻢ ﻏﻴﺮ ﻣﺴﻠﺢ ‪www.commodore.com‬‬

‫ﺷﻜﻞ‪:2‬روﻳﺖ آﻟﻮدﮔﻲ ﺑﺎ ﻧﻮر ﻣﻮازي ﺷﺪه ‪َVan Zant; Microchip Fabrication‬‬

‫‪555‬‬
‫اﻓﺰون ﺑﺮ ﺑﺮرﺳﻲ در ﻧﻮر ﻋﺎدي اﺗﺎق ‪ ،‬ﻣﻲ ﺗﻮان وﻳﻔﺮ را زﻳﺮ ﻣﻨﺎﺑﻊ ﻧﻮرِ ﻣﻮازي ﺷﺪه ﻣﺸﺎﻫﺪه ﻛﺮد‪.‬‬
‫در روﺷﻨﺎﻳﻲ ﭘﺮاﻛﻨﺪه ﻣﻌﻤﻮﻟﻲ‪ ،‬ﻧﻮر از ﺟﻬﺎت ﻣﺨﺘﻠﻒ ﺑﻪ آﻟﻮدﮔﻲ ﻫﺎي اﺣﺘﻤﺎﻟﻲ روي وﻳﻔﺮ ﻣﻴﺮﺳﺪ و‬
‫ﻣﻤﻜﻦ اﺳﺖ ﻫﻤﻪ اﻃﺮاف آن را روﺷﻦ ﻧﻤﻮده و ﺳﺎﻳﻪ اي ﺑﻪ ﺟﺎ ﻧﮕﺬارد‪ .‬در ﺻﻮرﺗﻲ ﻛﻪ در ﻧﻮر ﻣﻮازي‬
‫ﺷﺪه ﻛﻪ از ﻳﻚ ﺟﻬﺖ ﺑﻪ وﻳﻔﺮ ﺗﺎﺑﺎﻧﺪه ﺷﻮد‪ ،‬ﺳﺎﻳﻪ ذرات ﻛﻮﭼﻚ ‪ ،‬ﻗﺎﺑﻞ ﺗﺸﺨﻴﺺ ﺗﺮ ﺧﻮاﻫﺪ‬
‫ﺑﻮد‪).‬ﺷﻜﻞ‪.(2‬‬

‫ﻣﻨﺎﺑﻊ ﻧﻮري درﺧﺸﺎن ﺗﺮ از ﺟﻤﻠﻪ ﻻﻣﭗ ﺑﺨﺎر ﺟﻴﻮه ) ﻛﻪ ﻧﻮر ﻓﺮا ﺑﻨﻔﺶ آن ﺑﺎ ﻓﻴﻠﺘﺮ ﺣﺬف ﺷﺪه‬
‫ﺑﺎﺷﺪ( ﺑﺎﻋﺚ اﻓﺰاﻳﺶ ﻗﺪرت ﺗﻔﻜﻴﻚ ﭼﺸﻢ در ﺗﺸﺨﻴﺺ آﻟﻮدﮔﻲ ﻫﺎي ﺳﻄﺢ وﻳﻔﺮ ﻣﻲ‬
‫ﮔﺮدد‪).‬ﺷﻜﻞ‪.(3‬‬

‫ﺷﻜﻞ‪ :3‬ﻳﻚ ﻻﻣﭗ ﺑﺨﺎر ﺟﻴﻮه‬

‫روﻳﺖ ﻋﻴﻮب و آﻟﻮدﮔﻲ ﻫﺎ ﺑﺎ ﻣﻴﻜﺮوﺳﻜﻮپ ﻫﺎي ﻧﻮري‬ ‫‪-3-14‬‬

‫ﻗﺒﻞ از ﻫﺮ ﭼﻴﺰ ﻳﺎدآور ﻣﻲ ﺷﻮﻳﻢ ﻛﻪ ﻣﻴﻜﺮوﺳﻜﻮپ ﻫﺎ ﺑﻪ ﻃﻮر ﻣﺮﺳﻮم ﺑﻪ دو ﺧﺎﻧﻮاده‬


‫ﻣﻴﻜﺮوﺳﻜﻮپ ﻫﺎي ﺑﻴﻮﻟﻮژﻳﻜﻲ و ﻣﻴﻜﺮوﺳﻜﻮپ ﻫﺎي ﻣﺘﺎﻟﻮرژﻳﻜﻲ ﺗﻘﺴﻴﻢ ﻣﻲ ﻛﻨﻨﺪ ‪ .‬اﻳﻦ ﻧﺎم ﮔﺬاري ﺑﻪ‬
‫اﻳﻦ ﺟﻬﺖ اﺳﺖ ﻛﻪ در ﻛﺎرﻫﺎي زﻳﺴﺖ ﺷﻨﺎﺳﻲ ﻏﺎﻟﺒﺎ ﻧﻤﻮﻧﻪ ﻫﺎ ﺷﻔﺎف ﻳﺎ ﻧﻴﻤﻪ ﺷﻔﺎف ﻫﺴﺘﻨﺪ و ﺑﺎ ﻗﺮار‬

‫‪556‬‬
‫دادن آﻧﻬﺎ ﺑﻴﻦ ﻻم و ﻻﻣﻞ و ﺗﺎﺑﺎﻧﺪن ﻧﻮر از زﻳﺮ ﻣﻲ ﺗﻮان از ﺑﺎﻻ آﻧﻬﺎ را ﻣﺸﺎﻫﺪه ﻛﺮد‪ .‬در ﺻﻮرﺗﻲ ﻛﻪ در‬
‫ﺗﺤﻘﻴﻘﺎت ﻣﺘﺎﻟﻮرژﻳﻜﻲ و زﻣﻴﻦ ﺷﻨﺎﺳﻲ ‪ ،‬ﻧﻤﻮﻧﻪ ﻫﺎي ﻓﻠﺰي و ﺳﻨﮕﻲ ﻛﺎﻣﻼ ﻛﺪر ﺑﻮده و ﻧﻮر ﺑﺎﻳﺴﺘﻲ از‬
‫ﺑﺎﻻي ﻧﻤﻮﻧﻪ ﺑﻪ آن ﺗﺎﺑﺎﻧﺪه ﺷﻮد‪ .‬ﺑﺪﻳﻬﻲ اﺳﺖ ﻛﻪ در ﻓﺮاﻳﻨﺪ ﺗﻮﻟﻴﺪ ﻧﻴﻤﻪ ﻫﺎدي از ﻣﻴﻜﺮوﺳﻜﻮپ ﻫﺎي‬
‫ﻣﺘﺎﻟﻮرژﻳﻜﻲ ﺑﺮاي ﺑﺮرﺳﻲ وﻳﻔﺮ اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‪).‬ﺷﻜﻞ ‪( 4‬‬

‫‪www.meijitechno.com‬‬
‫ﺷﻜﻞ‪ :4‬ﺳﻤﺖ راﺳﺖ‪ :‬ﻣﻴﻜﺮوﺳﻜﻮپ ﺑﻴﻮﻟﻮژﻳﻜﻲ و ﺳﻤﺖ ﭼﭗ‪ :‬ﻣﻴﻜﺮوﺳﻜﻮپ ﻣﺘﺎﻟﻮژﻳﻜﻲ ‪.‬‬
‫ﺑﻪ وﺟﻮد و ﻋﺪم وﺟﻮد ﺷﻜﺎف ﻧﻮردﻫﻲ در ﻧﮕﻬﺪارﻧﺪه ﺗﻮﺟﻪ ﻛﻨﻴﺪ ‪.‬‬

‫ﻣﻴﻜﺮوﺳﻜﻮپ ﻫﺎي ﻣﺘﺎﻟﻮرژﻳﻜﻲ ﺧﻮد ﺑﻪ دو ﻧﻮعِ ﻣﻴﺪان دﻳﺪ ِ روﺷﻦ ) ‪ (Light field‬و ﻣﻴﺪان دﻳﺪ ِ‬
‫ﺗﺎرﻳﻚ ) ‪ (Dark field‬ﺗﻘﺴﻴﻢ ﻣﻲ ﺷﻮﻧﺪ ‪ .‬ﻧﻮع ِﻣﻌﻤﻮل؛ ﻫﻤﺎن ﻣﻴﻜﺮوﺳﻜﻮپ ﻫﺎي ﻣﻴﺪان دﻳﺪ روﺷﻦ‬
‫ﻫﺴﺘﻨﺪ ﻛﻪ روﺷﻨﺎﻳﻲ آﻧﻬﺎ ﺗﻮﺳﻂ ﻳﻚ ﻣﻨﺒﻊ ﻧﻮر داﺧﻠﻲ ﺗﺎﻣﻴﻦ ﺷﺪه و از ﻃﺮﻳﻖ ﻫﻤﺎن ﻋﺪﺳﻲ ﺷﻴﺌﻲ‬
‫ﺑﻪ ﻃﻮر ﻋﻤﻮدي ﺑﻪ ﻧﻤﻮﻧﻪ ﻣﻲ رﺳﺪ‪ .‬ﻧﺘﻴﺤﻪ ﻧﻴﺰ ﻳﻚ ﺗﺼﻮﻳﺮ ﻣﻌﻤﻮﻟﻲ ِ ﺑﺰرﮔﻨﻤﺎﻳﻲ ﺷﺪه ﻣ ﻲ ﺑﺎﺷﺪ‪.‬‬
‫)ﺷﻜﻞ‪( 5‬‬

‫‪557‬‬
‫ﺷﻜﻞ‪Van Zant; Microchip Fabrication :5‬‬

‫در ﻣﻴﻜﺮوﺳﻜﻮپ ﻫﺎي ﻣﺘﺎﻟﻮرژﻳﻜ ﻲِ ﻣﻴﺪان دﻳﺪ ﺗﺎرﻳﻚ ‪ ،‬ﭘﺮﺗﻮ ﻫﺎي ﻧﻮر‪ ،‬ﺑﺎ زاوﻳﻪ ﺑﻪ ﻧﻤﻮﻧﻪ ي ﺟﻠﻮي‬
‫ﻋﺪﺳﻲ ﺷﻴﺌﻲ ﺗﺎﺑﺎﻧﺪه ﻣﻲ ﺷﻮد و در ﺻﻮرﺗﻴﻜﻪ ﻧﻤﻮﻧﻪ ﺻﺎف ﺑﺎﺷﺪ ﺑﺎ ﻫﻤﺎن زاوﻳﻪ ﺳﻄﺢ را ﺗﺮك ﻛﺮده و‬
‫وارد ﻣﻴﻜﺮوﺳﻜﻮپ ﻧﻤﻲ ﺷﻮﻧﺪ ‪ .‬وﻟﻲ اﮔﺮ ﺳﻄﺢ ﻣﻘﺎﺑﻞ ﻋﺪﺳﻲ داراي ﺑﺮﺟﺴﺘﮕﻲ ﻳﺎ ﻓﺮو رﻓﺘﮕﻲ ﻳﺎ ﭘﻠﻪ‬
‫ﺑﺎﺷﺪ‪ ،‬در آﻧﺼﻮرت ﻧﻮر در آن ﻣﻨﻄﻘﻪ ﺗﺤﺖ زواﻳﺎي ﻣﺨﺘﻠﻒ ﭘﺮاﻛﻨﺪه ﻣﻲ ﺷﻮد و ﺑﺨﺸﻲ از آن وارد‬
‫ﻋﺪﺳﻲ ﺷﻴﺌﻲ ﺷﺪه و آن ﻣﻨﻄﻘﻪ روﺷﻦ دﻳﺪه ﻣﻲ ﺷﻮد‪ .‬ﺗﺼﻮﻳﺮ ﺣﺎﺻﻞ از ﭼﻨﻴﻦ ﻣﻴﻜﺮوﺳﻜﻮﭘﻲ ﻛﻤﻲ‬
‫ﻏﻴﺮ ﻋﺎدﻳﺴﺖ و ﻓﻘﻂ ﺗﻐﻴﻴﺮات ﺳﻄﺢ را ﺑﺼﻮرت ﻧﻘﺎط و ﺧﻄﻮط روﺷﻦ ﻧﺸﺎن ﻣﻲ دﻫﺪ‪ .‬ﮔﻮﻳﻲ‬
‫ﻣﺸﺘﻖ ِﺗﺼﻮﻳﺮِ ﻧﻤﻮﻧﻪ ﻧﻤﺎﻳﺶ داده ﻣﻲ ﺷﻮد‪ .‬ﺑﻪ ﻫﻤﻴﻦ دﻟﻴﻞ ذرات آﻟﻮدﮔﻲ در اﻳﻦ ﻣﻴﻜﺮوﺳﻜﻮپ زودﺗﺮ‬
‫ﺑﻪ ﭼﺸﻢ ﻣﻲ آﻳﺪ‪.‬‬

‫ﭘﻴﺸﺮﻓﺘﻪ ﺗﺮ از اﻧﻮاع ﻣﻴﻜﺮوﺳﻜﻮپ ﻫﺎي ﻣﺘﺎﻟﻮرژﻳﻜﻲ‪ ،‬ﻣﻴﻜﺮوﺳﻜﻮپ ﻫﺎي ‪ Confocal‬ﻫﺴﺘﻨﺪ‪ .‬اﻳﺪه‬


‫اﺻﻠﻲ ﺳﺎﺧﺖ آن‪ ،‬ﺗﻤﺮﻛﺰ در ﻫﺮ ﻟﺤﻈﻪ ﺑﻪ ﻳﻚ ﻧﻘﻄﻪ از ﻧﻤﻮﻧﻪ و ﺣﺬف ﻧﻮر ﻫﺎي ﻣﺨﺘﻠﻒ ِ ﭘﺮاﻛﻨﺪه ﺷﺪه‬
‫از ﻗﺴﻤﺖ ﻫﺎي دﻳﮕﺮ ﻧﻤﻮﻧﻪ اﺳﺖ‪ .‬ﻟﺬا در اﻳﻦ ﻣﻴﻜﺮوﺳﻜﻮپ ﻧﻮر در ﻫﺮ ﻟﺤﻈﻪ از ﻃﺮﻳﻖ ﻳﻚ ﺳﻮراخ‬
‫ﻓﻘﻂ ﺑﻪ ﻳﻚ ﻧﻘﻄﻪ ﺗﺎﺑﺎﻧﺪه ﻣﻲ ﺷﻮد و ﻧﻮر ﺑﺎزﮔﺸﺘﻲ ﻧﻴﺰ )از ﻃﺮﻳﻖ ﻫﻤﺎن ﺳﻮراخ ( از ﻫﻤﺎن ﻧﻘﻄﻪ ﺟﻤﻊ‬
‫آوري ﺷﺪه و ﺗﻮﺳﻂ آﺷﻜﺎر ﺳﺎز ﻧﻮري روﻳﺖ ﻣﻲ ﺷﻮد‪ .‬ﺑﺮاي ﻣﺸﺎﻫﺪه ﻛﻞ ﺳﻄﺢ ﻧﻤﻮﻧﻪ‪ ،‬ﺑﻪ ﺟﺎي ﺗﻐﻴﻴﺮ‬
‫ﻣﻜﺎن ﻣﻨﺒﻊ ﻧﻮر و ﺳﻮراخ و آﺷﻜﺎر ﺳﺎز‪ ،‬از ﻳﻚ ﺻﻔﺤﻪ دوار ﻣﺸﺒﻚ )ﺷﺎﻣﻞ ﻫﺰاران ﻫﺰار ﺳﻮراخ رﻳﺰ(‬
‫اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‪ .‬در ﻧﻬﺎﻳﺖ ﺧﺮوﺟﻲ اﻳﻦ روش ﻳﻚ ﺗﺼﻮﻳﺮ ِ ﭘﺮدازش ﺷﺪه ي ﻛﺎﻣﭙﻴﻮﺗﺮي اﺳﺖ‪.‬‬
‫)ﺷﻜﻞ‪( 6‬‬
‫‪558‬‬
‫‪www.atto.com‬‬
‫ﺷﻜﻞ‪ :6‬ﺗﻔﺎوت وﺿﻮح ِ ﺗﺼﻮﻳﺮ ﮔﺮﻓﺘﻪ ﺷﺪه ﺑﺎ ﻣﻴﻜﺮوﺳﻜﻮپ ‪ confocal‬ﺑﺎ ﻣﻴﻜﺮوﺳﻜﻮپ ﻋﺎدي ﺑﺎ ﻧﻮر‬
‫ﻫﺎي ﭘﺮاﻛﻨﺪه‬

‫ﺑﺮاي رﺳﻴﺪن ﺑﻪ ﻛﻴﻔﻴﺖ ﺑﺎﻻﺗﺮ ﺗﺼﻮﻳﺮ در اﻳﻦ روش‪ ،‬ﻣﻌﻤﻮﻻ از ﻧﻮر ﻟﻴﺰر اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد ﺗﺎ ﺑﻪ‬
‫وﺿﻮحِ ﭘﺎﻳﻴﻨﺘﺮ از ﻣﻴﻜﺮوﻣﺘﺮ ﺑﺮﺳﻨﺪ‪ ) .‬ﺷﻜﻞ‪( 7‬‬

‫ﺷﻜﻞ‪www.faculty-web.at.northwestern.edu :7‬‬

‫اﻣﺎ در ﻫﺮ ﺻﻮرت د ر ﻣﻴﻜﺮوﺳﻜﻮپ ﻫﺎي ﻧﻮر ﻣﺮﺋﻲ ﻳﻚ ﻣﺤﺪودﻳﺖ ﺑﻨﻴﺎدي دارﻳﻢ و آن ﻃﻮل ﻣﻮج‬
‫ﻧﻮر ﻣﺮﺋﻲ اﺳﺖ‪ .‬ﺑﺎ ﻛﻤﺘﺮﻳﻦ ﻃﻮل ﻣﻮج ﻣﺮﺋﻲ )ﻧﻮر ﺑﻨﻔﺶ( از ﻧﻈﺮ ﺗﺌﻮري ‪ ،‬ﺣﺪاﻛﺜﺮ وﺿﻮح از ﻣﺮﺗﺒﻪ ‪0/3‬‬
‫ﻣﻴﻜﺮوﻣﺘﺮ ﺧﻮاﻫﺪ ﺑﻮد‪ .‬اﮔﺮ ﻓﻠﻮروﺳﻨﺖ ِ ﻓﺮاﺑﻨﻔﺶ را ﻫﻢ ﻳﻚ ﻣﻨﺒﻊ ﻧﻮري ﻗﻠﻤﺪاد ﻛﻨﻴﻢ )اﻟﺒﺘﻪ ﻏﻴﺮ‬
‫‪559‬‬
‫ﻣﺮﺋﻲ( ‪،‬ﮔﺴﺘﺮه ي و ﺿﻮح ﻣﻴﺮوﺳﻜﻮپ ﻫﺎي ﻧﻮري )ﺑﻪ ﻫﻤﺮاه آﺷﻜﺎر ﺳﺎز ﻓﺮاﺑﻨﻔﺶ ( را ﻣﻲ ﺗﻮان‬
‫اﻧﺪﻛﻲ ﺑﻬﺒﻮد داد‪) .‬ﺷﻜﻞ ‪( 8‬‬

‫ﺷﻜﻞ‪www.keep-it-kool.com :8‬‬

‫ﻫﻤﭽﻨﻴﻦ روﺷﻲ ﺑﻪ ﻧﺎم ‪ Scatterometry‬وﺟﻮد دارد ﻛﻪ ﺑﺎ ﺗﺤﻠﻴﻞ دﻗﻴﻖ اﻟﮕﻮ ﻫﺎي ﭘﺮﺗﻮي ﭘﺮاﻛﻨﺪه‬
‫ﺷﺪه از ﺳﻄﺢ ﻧﻤﻮﻧﻪ ‪ ،‬ﻣﻲ ﺗﻮاﻧﺪ ﺑﻪ وﺿﻮح ِ ﻛﻤﻲ ﺑﻬﺘﺮ از ﻃﻮل ﻣﻮج ﻧﻮر ِ ﺑﻜﺎر رﻓﺘﻪ دﺳﺖ ﻳﺎﺑﺪ‪.‬‬
‫)ﺷﻜﻞ‪( 9‬‬

‫ﺷﻜﻞ‪Van Zant; Microchip Fabrication :9‬‬

‫‪560‬‬
‫وﻟﻲ ﻫﻤﻪ روش ﻫﺎي ﻣﺬﻛﻮر ‪ -‬ﺣﺘﻲ اﺳﻜﺘﺮوﻣﺘﺮي ‪ ، -‬ﻧﻤﻲ ﺗﻮاﻧﻨﺪ ﭘﺎ را ﺧﻴﻠﻲ ﻓﺮاﺗﺮ از ﻣﺮز ﺣﺪوديِ‬
‫ﻃﻮل ﻣﻮج ﻧﻮر ﺑﮕﺬارﻧﺪ و ﻗﺪرت ﺑﺰرﮔﻨﻤﺎﻳﻲ ﺑﻴﺶ از ﭼﻨﺪ ﻫﺰار ﺑ ﻪ دﺳﺖ دﻫﻨﺪ‪ .‬ﺑﺮاي ﺗﺮاﻛﻢ ﻫﺎي ‪VLSI‬‬
‫و ‪ ULSI‬و ﻋﺮض ﺧﻂ ﻫﺎي ﭼﻨﺪ ده ﻧﺎﻧﻮﻣﺘﺮي ‪ ،‬ﺣﺘﻤﺎ ﻧﻴﺎز ﺑﻪ روﺷﻬﺎﻳﻲ ﻛﺎﻣﻼ ً ﻣﺘﻔﺎوت دارﻳﻢ‪.‬‬
‫‪.‬‬

‫اﻧﺪازهﮔﻴﺮي اﻟﻜﺘﺮﻳﻜﻲ وﻳﻔﺮ‬ ‫‪-4-14‬‬

‫‪ - 1- 4- 14‬ﻣﻘﺎوﻣﺖ و ﻣﻘﺎوﻣﺖ وﻳﮋه‬

‫ﻫﺪف از ﻓﺮآﻳﻨﺪ ﺳﺎﺧﺖ اﻳﺠﺎد اﺟﺰاء اﻟﻜﺘﺮﻳﻜﻲ ﺣﺎﻟﺖ ‪-‬ﺟﺎﻣﺪ در و ﺑﺮ روي وﻳﻔﺮ ﻣﻲﺑﺎﺷﺪ؛ از ﻗﺒﻴﻞ‬
‫ﺗﺮاﻧﺰﻳﺴﺘﻮرﻫﺎ‪ ،‬ﻣﻘﺎوﻣﺖﻫﺎ‪ ،‬دﻳﻮدﻫﺎ و ﺧﺎزنﻫﺎ‪ ،‬ﻛﻪ ﺑﺮاي اﻳﺠﺎد ﻣﺪار اﻟﻜﺘﺮﻳﻜﻲ ﺑﻪ ﻫﻢ ﺳﻴﻢ ﻛﺸﻲ ﻣﻲ‪-‬‬
‫ﺷﻮﻧﺪ‪ .‬ﻫﻤﺎن ﻃﻮر ﻛﻪ ﻣﻲداﻧﻴﻢ اﻓﺰاﻳﺶ ﻧﺎﺧﺎﻟﺼﻲﻫﺎي دوپ ﺷﻮﻧﺪه ﺑﻪ وﻳﻔﺮ ﭼﻪ در ﻃﻲ ﻓﺮآﻳﻨﺪ رﺷﺪ‬
‫ﺑﻠﻮر و ﭼﻪ در ﻃﻲ ﭘﺮوﺳﻪ ﻫﺎي دوپ ﻛﺮدن‪ ،‬ﻣﺸﺨﺼﺎت اﻟﻜﺘﺮﻳﻜﻲ وﻳﻔﺮ را دﮔﺮﮔﻮن ﻣﻲﻛﻨﺪ‪ .‬ﭘﺎراﻣﺘﺮ‬
‫ﺗﻐﻴﻴﺮ ﻛﺮده در ﺣﻘﻴﻘﺖ ﻣﻘﺎوﻣﺖ وﻳﮋه آن ﻣﺎده ﻣﻲﺑﺎﺷﺪ ﻛﻪ ﻣﻴﺰاﻧﻲ از ﻣﻘﺎوﻣﺖ ﻣﺎده ي ﺧﺎﺻﻲ در ﺑﺮاﺑﺮ‬
‫ﺷﺎرش اﻟﻜﺘﺮونﻫﺎ ﻣﻲﺑﺎﺷﺪ‪ .‬ﺑﻪ ﻋﺒﺎرﺗﻲ ﻣﻘﺎوﻣﺖ ﻳﻚ ﻣﺎده ﺧﺎص ﺑﺎ ﻣﻘﺎوﻣﺖ وﻳﮋه آن راﺑﻄﻪ ﻣﺴﺘﻘﻴﻢ‬
‫دارد‪ .‬واﺣﺪﻫﺎي اﻧﺪازهﮔﻴﺮي ﻣﻘﺎوﻣﺖ‪ ،‬اﻫﻢ و ﻣﻘﺎوﻣﺖ وﻳﮋه اﻟﻜﺘﺮﻳﻜﻲ‪ ،‬اﻫﻢ ‪-‬ﺳﺎﻧﺘﻲﻣﺘﺮ ﻣﻲﺑﺎﺷﻨﺪ‪.‬‬

‫‪ - 2- 4- 14‬ﭘﺮوب ﭼﻬﺎر ﻧﻘﻄﻪاي‬

‫از ﻟﺤﺎظ ﺗﺌﻮري ﻣﻲﺗﻮان ﺑﺎ اﺳﺘﻔﺎده از ﻳﻚ ﻣﻮﻟﺘﻲﻣﺘﺮ ﻣﻘﺎوﻣﺖ وﻳﮋه ﻳﻚ وﻳﻔﺮ را ﺑﺎ اﻧﺪازهﮔﻴﺮي‬
‫وﻟﺘﺎژ ﺗﺤﺖ ﻳﻚ ﺟﺮﻳﺎن ﺛﺎﺑﺖ ﮔﺬرﻧﺪه از ﻗﻄﻌﻪ اي ﺑﺎ اﺑﻌﺎد ﻣﻌﻠﻮم ﻣﺤﺎﺳﺒﻪ ﻛﺮد‪ .‬اﻣﺎ ﺑﻪ ﻫﺮ ﺣﺎل ﻣﻘﺎوﻣﺖ‬
‫ﺑﻴﻦ ﭘﺮوبﻫﺎ و ﻣﺎده وﻳﻔﺮ آﻧﭽﻨﺎن زﻳﺎد اﺳﺖ ﻛﻪ ﻧﻤﻲﺗﻮان ﻣﻘﺎوﻣﺖ وﻳﮋه ﻧﻴﻤﻪ ﻫﺎدي ﺑﺎ ﻣﻴﺰان ﻧﺎﺧﺎﻟﺼﻲ‬
‫دوپ ﺷﺪه ﻛﻢ را ﺑﻪ دﻗﺖ اﻧﺪازهﮔﻴﺮي ﻛﺮد‪ .‬ﭘﺮوب ﭼﻬﺎر ﻧﻘﻄﻪاي وﺳﻴﻠﻪاي ﺑﺮاي اﻧﺪازهﮔﻴﺮي ﻣﻘﺎوﻣﺖ‬
‫وﻳﮋه وﻳﻔﺮﻫﺎ و ﻛﺮﻳﺴﺘﺎلﻫﺎ ﻣﻲﺑﺎﺷﺪ ‪.‬‬
‫اﻳﻦ وﺳﻴﻠﻪ داراي ﭼﻬﺎر ﭘﺮوب ﻧﺎزك ﻫﻢراﺳﺘﺎ ﻣﻲﺑﺎﺷﺪ ﻛﻪ دو ﭘﺮوب داﺧﻠﻲ ﺑﻪ ﻳﻚ وﻟﺖﻣﺘﺮ و دو‬

‫ﭘﺮوب ﺧﺎرﺟﻲ ﺑﻪ ﻳﻚ ﻣﻨﺒﻊ ﺗﻐﺬﻳﻪ وﺻﻞ ﺷﺪهاﻧﺪ‪ .‬راﺑﻄﻪ وﻟﺘﺎژ و ﺟﺮﻳﺎن اﻧﺪازهﮔﻴﺮي ﺷﺪه ﺗﻮﺳﻂ‬

‫‪561‬‬
‫ﭘﺮوبﻫﺎ ﻣﺴﺘﻘﻞ از ﻣﻘﺎوﻣﺖ ﺑﻴﻦ ﭘﺮوبﻫﺎ و ﻣﻘﺎوﻣﺖ وﻳﮋه ﻣﺎده ﺗﺸﻜﻴﻞ دﻫﻨﺪه وﻳﻔﺮ ﻣﻲﺑﺎﺷﺪ‪ .‬ﻧﻤﺎﺋﻲ‬

‫از ﻳﻚ ﭘﺮوب ﭼﻬﺎر ﻧﻘﻄﻪاي در ﺷﻜﻞ ‪ 10‬ﻧﺸﺎن داده ﺷﺪه اﺳﺖ ‪.‬‬

‫ﺷﻜﻞ ‪ :10‬اﻧﺪازهﮔﻴﺮي اﻟﻜﺘﺮﻳﻜﻲ ﺗﻮﺳﻂ ﭘﺮوب ﭼﻬﺎر ﻧﻘﻄﻪاي‬

‫راﺑﻄﻪ ﻣﻘﺎوﻣﺖ وﻳﮋه ﺑﺎ ﭘﺎراﻣﺘﺮﻫﺎي اﻧﺪازهﮔﻴﺮي ﺑﻪ ﺻﻮرت زﻳﺮ اﺳﺖ ‪:‬‬


‫‪V‬‬
‫‪ρ = 2π s‬‬
‫‪I‬‬

‫ﻛﻪ در آن ‪ s‬ﻓﺎﺻﻠﻪ ﺑﻴﻦ ﭘﺮوبﻫﺎ ﻣﻲﺑﺎﺷﺪ ﻛﻪ اﺻﻮﻻ ﺧﻴﻠﻲ ﻛﻮﭼﻜﺘﺮ از ﻗﻄﺮ وﻳﻔﺮ و ﻛﻮﭼﻜﺘﺮ از‬
‫ﺿﺨﺎﻣﺖ ﻓﻴﻠﻢ ﻣﻲﺑﺎﺷﺪ ‪.‬‬

‫‪ - 3- 4- 14‬ﻣﻘﺎوﻣﺖ ﺻﻔﺤﻪاي‬

‫ﻛﻤﻴﺖ اﻟﻜﺘﺮﻳﻜﻲ ﻛﻪ ﺑﺮ روي ﻳﻚ ﻻﻳﻪ ﻧﺎزك اﻧﺪازهﮔﻴﺮي ﻣ ﻲﺷﻮد‪ ،‬ﻣﻘﺎوﻣﺖ ﺻﻔﺤﻪاي ﻧﺎم دارد‪ .‬اﻳﻦ‬
‫ﻛﻤﻴﺖ داراي واﺣﺪ اﻫﻢ ﺑﺮ ﻣﺮﺑﻊ ﻣﻲﺑﺎﺷﺪ‪ .‬اﻳﻦ ﺑﺪان ﻣﻌﻨﺎﺳﺖ ﻛﻪ دو ﻣﺮﺑﻊ ﺑﺎ ﻃﻮل ﺿﻠﻊﻫﺎي ﻣﺘﻔﺎوت از‬
‫ﺟﻨﺲ ﻳﻜﺴﺎن‪ ،‬ﻫﻤﺎﻧﻄﻮر ﻛﻪ در ﺷﻜﻞ ‪ 11‬ﻧﺸﺎن داده ﺷﺪه اﺳﺖ‪ ،‬داراي ﻣﻘﺎوﻣﺖ ﺟﻨﺒﻲ ﻳﻜﺴﺎﻧﻲ‬
‫ﻫﺴﺘﻨﺪ ﻛﻪ اﻳﻦ ﻛﻤﻴﺖ را ﻫﻤﺎن ﻣﻘﺎوﻣﺖ ﺻﻔﺤﻪاي ﻣﻲﻧﺎﻣﻴﻢ‪.‬‬

‫ﺷﻜﻞ ‪Van Zant; Microchip Fabrication :11‬‬


‫دو ﻣﻘﺎوﻣﺖ ﻣﺮﺑﻌﻲ ﺑﺎ ﺿﺨﺎﻣﺖﻫﺎي ﻳﻜﺴﺎن ﻛﻪ داراي ﻣﻘﺎوﻣﺖ ﺻﻔﺤﻪاي ﻳﻜﺴﺎﻧﻲ ﻫﺴﺘﻨﺪ‪.‬‬
‫‪562‬‬
‫روشﻫﺎي اﻧﺪازهﮔﻴﺮي ﻓﻴﺰﻳﻜﻲ‬ ‫‪-5-14‬‬

‫ﻗﺎﺑﻠﻴﺖ اﻃﻤﻴﻨﺎن ﻣﺤﺼﻮل و ﺣﻔﻆ ﺑﺎزدﻫﻲ ﻣﺤﺼﻮل ﻣﺤﺘﺎج آﺷﻜﺎرﺳﺎزي ﺑﺮﺧﻂ ﻧﻘﺎﻳﺺ و اﺷﺘﺒﺎﻫﺎت‬
‫و از آن ﺑﻴﺸﺘﺮ اﺟﺎزه دادن ﺑﺮاي ﺣﻔﻆ ﻣﻮاد ﻣﻈﻨﻮن از ﺧﻂ ﺗﻮﻟﻴﺪ اﺳﺖ‪ .‬ﻛﻨﺘﺮل ﭘﺮوﺳﻪ ﻧﻴﺎز دارد ﻛﻪ‬
‫ﻧﺘﺎﻳﺞ ﭘﺮوﺳﻪ در ﻫﺮ ﻣﺮﺣﻠﻪ اﻧﺪازهﮔﻴﺮي ﺷﺪه و ﻣﻴﺰان‪ ،‬ﻛﻴﻔﻴﺖ‪ ،‬ﻣﺤﻞ و ﻃﺒﻴﻌﺖ ﻣﺸﻜﻼت ﮔﻮﻧﺎﮔﻮن‬
‫ﻣﺸﺨﺺ ﺷﻮد‪ .‬اﻳﻦ دادهﻫﺎ از ﻳﻚ ﺳﺮي اﻧﺪازهﮔﻴﺮيﻫﺎ و ارزﻳﺎﺑﻲ ﻫﺎ ﻧﺘﻴﺠﻪ ﻣﻲﺷﻮد ﻛﻪ واﺑﺴﺘﻪ ﺑﻪ‬
‫ﭘﻴﭽﻴﺪﮔﻲ ﻣﺪار اﺳﺖ‪ .‬اﻳﻦ آزﻣﺎﻳﺶ ﻫﺎ ﺑﻪ ﻃﻮر ﻣﺴﺘﻘﻴﻢ ﺑﺮ روي وﻳﻔﺮﻫﺎي آزﻣﺎﻳﺸﻲ و وﻳﻔﺮﻫﺎي‬
‫ﻣﺤﺼﻮل اﻧﺠﺎم ﻣﻲﺷﻮﻧﺪ ‪ .‬آزﻣﺎﻳﺶﻫﺎي ﻣﺮﺑﻮط ﺑﻪ وﻳﻔﺮ ﻣﺤﺼﻮل ﺷﺎﻳﺪ ﺑﻪ آﺷﻜﺎرﺳﺎزي ﺳﻄﺢ ﺑﺴﺘﺮ ﻧﻴﺎز‬
‫داﺷﺘﻪ ﺑﺎﺷﻨﺪ‪ ،‬ﻛﻪ اﻳﻦ اﻣﺮ ﺗﻮﺳﻂ ﺳﺎﻳﺶ‪ ،‬اﻧﺘﺨﺎب وﻳﻔﺮ و ﻳﺎ اﺳﺘﻔﺎده از اﺷﻌﻪ ﻳﻮﻧﻲ ﻣﺘﻤﺮﻛﺰ ﺷﺪه )‪(FIB‬‬
‫ﺑﺮاي ﺑﺮداﺷﺘﻦ ﻗﺴﻤﺘﻲ از ﻣﺪار‪ ،‬ﻧﻴﺎز ﺑﺎﺷﺪ ‪.‬‬

‫‪ - 1- 5- 14‬اﻧﺪازهﮔﻴﺮي ﺿﺨﺎﻣﺖ ﻻﻳﻪ‬

‫‪ - 1- 1- 5- 14‬رﻧﮓ‬

‫ﻻﻳﻪ دي اﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻮن و ﻫﻢ ﭼﻨﻴﻦ ﻻﻳﻪ ﻧﻴﺘﺮات ﺳﻴﻠﻴﻜﻮن رﻧﮓﻫﺎي ﻣﺘﻔﺎوﺗﻲ را ﺑﺮ روي وﻳﻔﺮ‬
‫ﻧﺸﺎن ﻣﻲدﻫﻨﺪ‪ .‬ﻫﻤﺎنﻃﻮر ﻛﻪ ﻣﻲداﻧﻴﻢ‪ ،‬در ﺣﺎﻟﻲﻛﻪ دي اﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻮن ﺷﻔﺎف اﺳﺖ اﻣﺎ ﻳﻚ وﻳﻔﺮ‬
‫اﻛﺴﺪ ﺷﺪه داراي رﻧﮓ ﻣﻲﺑﺎﺷﺪ‪ .‬اﻳﻦ رﻧﮓ در ﺣﻘﻴﻘﺖ در اﺛﺮ ﭘﺪﻳﺪه ﺗﺪاﺧﻞ اﺳﺖ – ﻫﻤﺎن ﭘﺪﻳﺪهاي‬
‫ﻛﻪ ﺑﺎﻋﺚ اﻳﺠﺎد رﻧﮓﻫﺎي ﻣﻮﺟﻮد در ﻳﻚ رﻧﮕﻴﻦ ﻛﻤﺎن ﻣﻲﺷﻮد‪ .‬ﻻﻳﻪ دي اﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻮن در واﻗﻊ‬
‫ﻻﻳﻪ ﻧﺎزك ﺷﻔﺎﻓﻲ اﺳﺖ ﻛﻪ روي ﻳﻚ ﺑﺴﺘﺮ ﺑﺎزﺗﺎب دﻫﻨﺪه ﻣﻲﺑﺎﺷﺪ‪ .‬ﺑﺮﺧﻲ از ﭘﺮﺗﻮﻫﺎي ﺗﺎﺑﻴﺪه ﺷﺪه‬
‫ﺑﻪ ﺳﻄﺢ وﻳﻔﺮ از ﻻﻳﻪ ﺳﻄﺢ دي اﻛﺴﻴﺪ ﺳﻴﻠﻴﻜﻮن ﺑﺎزﺗﺎب ﻣﻲﻛﻨﻨﺪ و ﺑﺮﺧﻲ دﻳﮕﺮ ﺑﻪ داﺧﻞ آن رﻓﺘﻪ و‬
‫از ﻧﺎﺣﻴﻪ آﻳﻨﻪاي ﺑﻴﻦ وﻳﻔﺮ و اﻛﺴﻴﺪ ﺑﺎزﺗﺎب ﻣﻲﻛﻨﻨﺪ‪ .‬اﻳﻦ ﭘﺮﺗﻮﻫﺎ در ﻫﻢ ﺗﺪاﺧﻞ ﻳﺎﻓﺘﻪ‪ ،‬ﺗﺮﻛﻴﺐ ﻣﻲ‪-‬‬
‫ﺷﻮﻧﺪ و رﻧﮕﻲ را ﻧﺘﻴﺠﻪ ﻣﻲدﻫﻨﺪ‪.‬‬

‫‪563‬‬
‫ﺷﻜﻞ ‪ Van Zant; Microchip Fabrication :12‬ﭼﺎرت رﻧﮓ ‪-‬ﺿﺨﺎﻣﺖ دي اﺳﻜﻴﺪ ﺳﻴﻠﻴﻜﻮن‬

‫رﻧﮓ دﻗﻴﻖ ﺗﺎﺑﻌﻲ از ﺳﻪ ﻋﺎﻣﻞ اﺳﺖ‪ .‬ﻳﻜﻲ از آنﻫﺎ ﻛﻪ ﺗﺎﺑﻌﻲ از ﺟﻨﺲ ﻣﺎده ﻻﻳﻪ ﻧﺎزك اﺳﺖ‪،‬‬
‫ﺿﺮﻳﺐ ﺑﺎزﺗﺎب ﻣﻲﺑﺎﺷﺪ‪ .‬ﻋﺎﻣﻞ دﻳﮕﺮ زاوﻳﻪ دﻳﺪ اﺳﺖ‪ .‬و ﻋﺎﻣﻞ ﺳﻮم ﺿﺨﺎﻣﺖ ﻻﻳﻪ ﻣﻲﺑﺎﺷﺪ‪ .‬ﻟﺬا ﻫﺮﮔﺎه‬
‫زاوﻳﻪ دﻳﺪ و ﺟﻨﺲ ﻻﻳﻪ ﻧﺎزك ﻣﺸﺨﺺ ﺑﺎﺷﺪ‪ ،‬ﺑﻪ راﺣﺘﻲ ﻣﻲﺗﻮان ﺿﺨﺎﻣﺖ ﻻﻳﻪ ﻧﺎزك را از روي رﻧﮓ‬
‫اﻧﻌﻜﺎس ﻳﺎﻓﺘﻪ از ﺳﻄﺢ آن ﺗﻌﻴﻴﻦ ﻛﺮد‪ .‬ﭼﺎرت ﻛﻼﺳﻴﻚ رﻧﮓ در ﻣﻘﺎﺑﻞ ﺿﺨﺎﻣﺖ‪ ،‬ﻫﻤﺎنﻃﻮر ﻛﻪ در‬
‫ﺷﻜﻞ ‪ 12‬ﻧﺸﺎن داده ﺷﺪه اﺳﺖ‪ ،‬ﺑﺮاي ﺗﻌﻴﻴﻦ ﺿﺎﺧﻤﺖ ﻻﻳﻪ از روي رﻧﮓ ﻗﺎﺑﻞ اﺳﺘﻔﺎده ﻣﻲﺑﺎﺷﺪ‪ .‬ﺑﻪ‬
‫واﺳﻄﻪ ﭘﺪﻳﺪه ﺗﺪاﺧﻞ ﻋﻤﻞ ﺗﻌﻴﻴﻦ ﺿﺨﺎﻣﺖ از روي رﻧﮓ ﻧﺘﻴﺠﻪ ﺷﺪه ﻛﺎر ﭼﻨﺪان دﻗﻴﻘﻲ ﻧﻴﺴﺖ ‪ .‬ﻫﺮ‬
‫ﭼﻪ ﻻﻳﻪ ﺿﺨﻴﻢﺗﺮ ﻣﻲﺷﻮد رﻧﮓ ﺷﺮوع ﺑﻪ ﺗﻐﻴﻴﺮ ﻣﻲﻛﻨﺪ ﺗﺎ اﻳﻨﻜﻪ ﺷﺮوع ﺑﻪ ﺗﻜﺮار ﻣﻲﻧﻤﺎﻳﺪ‪ .‬ﻫﺮ ﻧﻮار‬
‫رﻧﮕﻲ ﺗﻜﺮار ﺷﻮﻧﺪه را در اﺻﻄﻼح ﻣﺮﺗﺒﻪ ﻣﻲﮔﻮﻳﻨﺪ؛ و ﻟﺬا ﺑﺮاي ﺗﻌﻴﻴﻦ ﺿﺨﺎﻣﺖ ﻻﻳﻪﻫﺎي ﺿﺨﻴﻢ از‬
‫ﻣﺮﺗﺒﻪ ﻣﺮﺑﻮط ﺑﻪ آن اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ .‬ﻫﺮ ﭘﺮوﺳﻪ اﺳﻴﺪاﺳﻴﻮن ﺑﺮاي ﺗﻮﻟﻴﺪ ﺿﺨﺎﻣﺘﻲ ﻣﺸﺨﺺ ﺻﻮرت‬

‫‪564‬‬
‫ﻣﻲﮔﻴﺮد و اﺻﻮﻻ ﺑﺮاي ﻫﺮ اﺟﺮا ﺿﺨﺎﻣﺖ ﻣﺘﻔﺎوﺗﻲ ﻧﻴﺎز اﺳﺖ‪ .‬ﺑﻪ ﻫﺮ ﺻﻮرت دﻗﺖ ﭼﺎرت ﻳﺎده ﺷﺪه در‬
‫ﺗﻌﻴﻴﻦ ﺿﺨﺎﻣﺖ ﻻﻳﻪﻫﺎي ﻧﺎزك ﻣﺤﺪود و ﺗﻘﺮﻳﺒﺎ ‪ ±300A‬ﻣﻲﺑﺎﺷﺪ ‪.‬‬

‫‪ - 2- 1- 5- 14‬ﻧﻮارﻫﺎ )‪(Fringes‬‬

‫ﻫﻨﮕﺎﻣﻲ ﻛﻪ در ﺗﻌﻴﻴﻦ ﺿﺨﺎﻣﺖ ﻳﻚ ﻻﻳﻪ ﻧﺎزك ﻣﺮﺗﺒﻪ ﻣﺸﺨﺺ ﻧﺒﺎﺷﺪ‪ ،‬از ﺗﻜﻨﻴﻚ ﺷﻤﺎرش ﻧﻮارﻫﺎ‬
‫اﺳﺘﻔﺎده ﻣﻲ ﺷﻮد‪ .‬ﻫﻨﮕﺎﻣﻲ ﻛﻪ ﻟﺒﻪ ﻳﻚ وﻳﻔﺮ آزﻣﺎﻳﺸﻲ در اﺳﻴﺪ ﻓﻠﻮﺋﻮرﻳﻚ ﺑﺮاي ﭼﻨﺪ ﺛﺎﻧﻴﻪ ﻧﺸﺎﻧﺪه‬
‫ﻣﻲﺷﻮد‪ ،‬اﺳﻴﺪ ﺑﻪ ﺳﺮﻋﺖ ﺷﺮوع ﺑﻪ ﺧﻮردن ﻻﻳﻪ ﺗﺤﺖ زاوﻳﻪاي ﻣﺸﺨﺺ ﻣﻲﻛﻨﺪ‪ .‬اﮔﺮ ﺑﻌﺪ از آن‬
‫ﺑﺨﻮاﻫﻴﻢ ﺗﻮﺳﻂ ﺗﺎﺑﺶ ﻧﻮر ﺳﻔﻴﺪ ﺑﻪ ﺳﻄﺢ ﻻﻳﻪ ﻧﺎزك ﺿﺨﺎﻣﺖ آن را ﺗﻌﻴﻴﻦ ﻛﻨﻴﻢ‪ ،‬ﻣﻲﺗﻮان ﺑﺎ ﺗﻌﻴﻴﻦ‬
‫ﻣﺮﺗﺒﻪ اﻳﻦ ﻛﺎر را اﻧﺠﺎم داد‪ ،‬اﻟﺒﺘﻪ ﻫﻤﺎنﻃﻮر ﻛﻪ در ﺷﻜﻞ ‪ 13‬ﻧﺸﺎن داده ﺷﺪﻫﺎﺳﺖ‪ ،‬اﻳﻦ اﻣﺮ ﻛﺎر‬
‫راﺣﺘﻲ ﻧﻴﺴﺖ‪ .‬اﻣﺎ روش دﻗﻴﻖﺗﺮي ﺑﺮاي ﺗﻌﻴﻴﻦ ﺿﺨﺎﻣﺖ ﻻﻳﻪ اﺳﺘﻔﺎده از ﻧﻮر ﺗﻚ رﻧﮓ ﺑﺮاي ﺗﺎﺑﺎﻧﺪن‬
‫ﺑﻪ ﻻﻳﻪ ﻧﺎزك آﻣﺎده ﺷﺪه اﺳﺖ ﻛﻪ در ﻃﻲ آن ﻣﻲﺗﻮان ﺑﻪ راﺣﺘﻲ ﺗﻌﺪاد ﻧﻮارﻫﺎي ﻣﻮﺟﻮد در ﺑﺎزﺗﺎب را‬
‫ﺷﻤﺎش ﻛﺮده و ﺑﺎ دﻗﺖ ﺑﻬﺘﺮي ﺿﺨﺎﻣﺖ ﻻﻳﻪ را ﺗﺨﻤﻴﻦ زد‪.‬‬

‫ﺷﻜﻞ ‪ :13‬ﭘﺮﺗﻮ رﻧﮕﻲ ﺑﺎزﺗﺎب ﻳﺎﻓﺘﻪ از ﺳﻄﺢ وﻳﻔﺮ ﺳﻴﻠﻴﻜﻮﻧﻲ ﺑﺎ ﻻﻳﻪ ﻧﺎزك اﻛﺴﻴﺪ روي آن ﻛﻪ در اﺳﻴﺪ ﻓﻠﻮﺋﻮرﻳﻚ‬
‫ﺑﺮاي ﭼﻨﺪ ﺛﺎﻧﻴﻪ ﻓﺮو ﺑﺮده ﺷﺪه اﺳﺖ‪.‬‬

‫‪565‬‬
‫ﺷﻜﻞ‪ :14‬ﻧﻮارﻫﺎي ﺗﻜﺮار ﺷﻮﻧﺪه ﺣﺎﺻﻞ از ﺑﺎزﺗﺎب ﻧﻮر ﺳﻔﻴﺪ و ﻧﻮارﻫﺎي ﺳﻴﺎه ‪-‬ﺳﻔﻴﺪ ﺣﺎﺻﻞ از ﺑﺎزﺗﺎب ﻧﻮر ﺗﻚ رﻧﮓ‬

‫اﻳﻦ ﻧﻮارﻫﺎي ﺗﻜﺮار ﺷﻮﻧﺪه ﺣﺘﻲ ﺑﻪ ﺻﻮرت ﺳﻴﺎه و ﺳﻔﻴﺪ ﻗﺎﺑﻞ ﺷﻨﺎﺳﺎﺋﻲ ﻫﺴﺘﻨﺪ ‪ .‬ﺑﻌﺪ از ﺷﻤﺎرش‬
‫ﻧﻮارﻫﺎ‪ ،‬ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ ﺿﺮﻳﺐ اﺻﻼﺣﻲ ﻛﻪ از روي ﺟﻨﺲ ﻣﺎده ﺗﻌﻴﻴﻦ ﻣﻲﺷﻮد‪ ،‬از ﺣﺎﺻﻠﻀﺮب اﻳﻦ دو‬
‫ﭘﺎراﻣﺘﺮ ﻣﻲﺗﻮان ﺑﻪ راﺣﺘﻲ ﺿﺨﺎﻣﺖ ﻻﻳﻪ ﻧﺎزك را اﻧﺪازه ﮔﺮﻓﺖ‪ .‬ﻫﻤﺎنﻃﻮر ﻛﻪ در ﺷﻜﻞ‪ 14‬ﻧﺸﺎن داده‬
‫ﺷﺪه اﺳﺖ‪ ،‬ﺷﻤﺎرش ﻧﻮارﻫﺎي ﺗﻜﺮار ﺷﻮﻧﺪه ﺣﺎﺻﻞ از ﺑﺎزﺗﺎب ﻧﻮر ﺗﻚرﻧﮓ ﺑﺴﻴﺎر ﺳﺎدهﺗﺮ از ﺗﻌﻴﻴﻦ‬
‫ﻣﺮﺗﺒﻪ در ﺗﺼﻮﻳﺮ رﻧﮕﻲ ﺑﺎزﺗﺎب ﻳﺎﻓﺘﻪ ﺣﺎﺻﻞ از ﻧﻮر ﺳﻔﻴﺪ ﻣﻲﺑﺎﺷﺪ ‪.‬‬

‫‪ - 3- 1- 5- 14‬ﺳﻨﺠﺶ ﻣﻘﻄﻊ ﻋﺮﺿﻲ ﺳﻄﺢ ﺗﻮﺳﻂ دﺳﺘﮕﺎه ‪Stylus‬‬

‫ﺑﺮﺧﻲ ﻻﻳﻪﻫﺎي ﻧﺎزك از ﻗﺒﻴﻞ آﻟﻮﻣﻴﻨﻴﻮم را ﻧﻤﻲﺗﻮان ﺗﻮﺳﻂ ﺗﻜﻨﻴﻚﻫﺎي ﻧﻮري اﻧﺪازهﮔﻴﺮي ﻧﻤﻮد‪.‬‬
‫ﻫﻤﭽﻨﻴﻦ در ﺧﺼﻮص ﻻﻳﻪﻫﺎي ﻧﺎزك ﻫﺎدي از ﻗﺒﻴﻞ آﻟﻮﻣﻴﻨﻴﻮم و ﺑﺮﺧﻲ ﻓﻠﺰات دﻳﮕﺮ ﻧﻤﻲﺗﻮان‬
‫ﺿﺨﺎﻣﺖ ﻻﻳﻪ ﻧﺎزك را ﺑﻪ روش ﭘﺮوب ﭼﻬﺎر ﻧﻘﻄﻪاي ﺑﺎ دﻗﺖ ﻣﻄﻠﻮب اﻧﺪازهﮔﻴﺮي ﻧﻤﻮد‪ .‬ﺑﺮاي اﻳﻦ‬
‫ﻣﻮارد ﻣﻲﺗﻮان از دﺳﺘﮕﺎه ﺑﺎ ﺳﻮزن ﻣﺘﺤﺮك ﻣﻜﺎﻧﻴﻜﻲ‪ ،‬ﻫﻤﺎنﻃﻮر ﻛﻪ در ﺷﻜﻞ‪ 15‬ﻧﺸﺎن داده ﺷﺪه‬
‫اﺳﺖ‪ ،‬اﺳﺘﻔﺎده ﻧﻤﻮد‪ .‬اﻳﻦ روش ﻧﻴﺎز دارد ﻛﻪ ﻗﺴﻤﺘﻲ از ﻻﻳﻪ ﻧﺎزك روي ﺑﺴﺘﺮ ﺑﺮاي اﻳﺠﺎد ﻳﻚ ﮔﺎم‬
‫ﺑﺮداﺷﺘﻪ ﺷﻮد‪ .‬ﺑﻌﺪ از آن ﻛﻪ اﻳﻦ ﻣﺮﺣﻠﻪ ﺗﻮﺳﻂ ﻣﺎﺳﻚ ﮔﺬاري و ﻋﻤﻞ زداﻳﺶ اﻧﺠﺎم ﺷﺪ‪ ،‬وﻳﻔﺮ را ﺑﺮ‬
‫روي ﺻﻔﺤﻪ ﻣﺨﺼﻮص دﺳﺘﮕﺎه ﻗﺮار داده ﺗﺎ ﺳﻮزن دﺳﺘﮕﺎه ﺑﻪ آﻫﺴﺘﮕﻲ‪ ،‬در ﺣﺎﻟﻲﻛﻪ ﺑﻪ آراﻣﻲ ﺳﻄﺢ‬
‫ﻻﻳﻪ ﻧﺎزك را ﻟﻤﺲ ﻣﻲﻛﻨﺪ‪ ،‬روي ﺳﻄﺢ ﺣﺮﻛﺖ ﻛﺮده ﺗﺎ ﺑﻪ ﮔﺎم ذﻛﺮ ﺷﺪه ﺑﺮﺳﺪ‪ .‬ﻫﻤﺎنﻃﻮر ﻛﻪ ﺳﻮزن‬
‫ﺑﻪ ﮔﺎم رﺳﻴﺪه و ﺗﻐﻴﻴﺮ ﻣﻮﻗﻌﻴﺖ ﻣﻲدﻫﺪ ﺳﻮزن دﺳﺘﮕﺎه‪ ،‬ﻛﻪ ﺑﻪ ﻳﻚ ﺳﻠﻒ اﻟﻜﺘﺮﻳﻜﻲ ﻟﻴﻨﻚ ﺷﺪه‬
‫اﺳﺖ‪ ،‬ﺳﻴﮕﻨﺎل اﻟﻜﺘﺮﻳﻜﻲاي را ﺗﻮﻟﻴﺪ ﻣﻲﻧﻤﺎﻳﺪ ﻛﻪ ﺑﻪ راﺣﺘﻲ ﻣﻲﺗﻮان ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ راﺑﻄﻪﻫﺎي ﻣﻮﺟﻮد‬
‫ﺑﺮاي دﺳﺘﮕﺎه از روي ﺳﻴﮕﻨﺎل ﺣﺎﺻﻞ ﺷﺪه ﺑﻪ ﻋﻤﻖ ﻳﺎ ﺿﺨﺎﻣﺖ ﻻﻳﻪ ﻧﺎزك ﭘﻲ ﺑﺮد ‪.‬‬

‫‪566‬‬
‫ﺷﻜﻞ‪ Van Zant; Microchip Fabrication :15‬دﺳﺘﮕﺎه ‪ Stylus‬ﺑﺮاي اﻧﺪازهﮔﻴﺮي ﺿﺨﺎﻣﺖ ﻻﻳﻪﻫﺎي ﻧﺎزك ﻓﻠﺰي‬

‫‪ - 2- 5- 14‬ﺗﻌﻴﻴﻦ ﻋﻤﻖ ﭘﻴﻮﻧﺪ‬

‫ﻳﻜﻲ از ﭘﺎراﻣﺘﺮﻫﺎي ﻗﻄﻌﻪ ﺣﺴﺎس‪ ،‬ﻋﻤﻖ ﭘﻴﻮﻧﺪ در ﻣﻨﺎﻃﻖ دوپ ﺷﺪه ﻣﺨﺘﻠﻒ اﺳﺖ‪ .‬اﻳﻦ ﭘﺎراﻣﺘﺮ‬
‫ﺑﻌﺪ از ﻫﺮ ﺑﺎر ﻋﻤﻞ دوپ ﻛﺮدن اﻧﺪازهﮔﻴﺮي ﻣﻲﺷﻮد‪ .‬ﺗﻤﺎﻣﻲ روشﻫﺎي اﻧﺪازهﮔﻴﺮي ﻛﻪ در اﻳﻨﺠﺎ‬
‫ﺗﻮﺿﻴﺢ داده ﺧﻮاﻫﺪ ﺷﺪ ﺑﻪ ﺻﻮرت ﺧﺎرج از ﺧﻂ ﺗﻮﻟﻴﺪ اﻧﺠﺎم ﻣﻲﺷﻮد‪.‬‬

‫‪ - 1- 2- 5- 14‬روش ﻣﻘﺎوﻣﺖ ﭘﺮاﻛﻨﺪﮔﻲ ) ‪( Spreading Resistance‬‬

‫ﺗﻮزﻳﻊ اﺗﻢﻫﺎي دوپ ﺷﻮﻧﺪه در ﻳﻚ وﻳﻔﺮ ﺗﺎﺛﻴﺮ وﻳﮋهاي ﺑﺮ روي ﻋﻤﻠﻜﺮد اﻟﻜﺘﺮﻳﻜﻲ ﻗﻄﻌﻪ ﺳﺎﺧﺘﻪ‬
‫ﺷﺪه از آن دارد‪ .‬اﻳﻦ ﺗﻮزﻳﻊ ﺗﻮﺳﻂ ﺗﻜﻨﻴﻚﻫﺎي ﻣﺨﺘﻠﻔﻲ ﺗﻌﻴﻴﻦ ﻣﻲﺷﻮد ﻛﻪ ﻳﻜﻲ از آن ‪-‬ﻫﺎ روش‬
‫ﻣﻘﺎوﻣﺖ ﭘﺮاﻛﻨﺪﮔﻲ اﺳﺖ ‪ .‬ﺑﻌﺪ از ﻋﻤﻞ دوپ ﻛﺮدن‪ ،‬وﻳﻔﺮ آزﻣﺎﻳﺸﻲ ﺗﻮﺳﻂ ﻋﻤﻞ ﺳﺎﻳﺶ ﻣﻮرب ﺑﺮاي‬
‫آزﻣﺎﻳﺶ آﻣﺎده ﻣﻲﺷﻮد‪ .‬ﺑﻌﺪ از آن ﻛﻪ ﻳﻚ ﺳﺮس اﻧﺪازهﮔﻴﺮيﻫﺎي اﻟﻜﺘﺮﻳﻜﻲ ﺗﻮﺳﻂ ﭘﺮوب دو ﻧﻘﻄﻪ‪-‬‬
‫اي ﺑﻪ ﺻﻮرت ﭘﻲدرﭘﻲ در ﻃﻮل ﺑﺮش ﻣﻮرب‪ ،‬ﻫﻤﺎنﻃﻮر ﻛﻪ در ﺷﻜﻞ ‪ 16‬ﻗﺎﺑﻞ ﻣﺸﺎﻫﺪه ﻣﻲﺑﺎﺷﺪ‪،‬‬
‫ﺻﻮرت ﻣﻲﮔﻴﺮد‪.‬‬

‫‪567‬‬
‫ﺷﻜﻞ‪ Van Zant; Microchip Fabrication :16‬روش ﻣﻘﺎوﻣﺖ ﭘﺮاﻛﻨﺪﮔﻲ ﺑﺮاي اﻧﺪازهﮔﻴﺮي ﻋﻤﻖ ﭘﻴﻮﻧﺪ‬

‫در ﻫﺮ ﻣﺮﺣﻠﻪ ﻳﻚ ﻣﻘﺎوﻣﺖ ﻣﺸﺨﺺ ﺗﻮﺳﻂ ﭘﺮوب اﻧﺪازهﮔﻴﺮي ﻣﻲﮔﺮدد‪ .‬اﻳﻦ ﻣﻘﺪار ﺗﻐﻴﻴﺮ ﺑﻪ دﻟﻴﻞ‬
‫ﺗﻐﻴﻴﺮ در ﻣﻴﺰان ﻧﺎﺧﺎﻟﺼﻲﻫﺎي دوپ ﺷﺪه در ﻫﺮ ﺳﻄﺢ ﻣﻲﺑﺎﺷﺪ ‪ .‬ﺳﭙﺲ ﻳﻚ ﻛﺎﻣﭙﻴﻮﺗﺮ ﺑﺮاي ﻣﺤﺎﺳﺒﺎت‬
‫ﻻزم اﺳﺘﻔﺎده ﻣﻲﺷﻮد ﻛﻪ ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ رواﺑﻂ ﻣﻮﺟﻮد ﺑﻴﻦ ﻋﻤﻖ‪ ،‬ﻣﻘﺪار ﻣﻘﺎوﻣﺖ و ﺗﻤﺮﻛﺰ ﻧﺎﺧﺎﻟﺼﻲ دوپ‬
‫ﺷﺪه در ﻫﺮ ﺳﻄﺢ‪ ،‬ﻧﻤﺎﻳﻪ ) ‪ (profile‬اي را ﺑﺮاي وﻳﻔﺮ آزﻣﺎﻳﺸﻲ آﻣﺎده ﻣﻲﻛﻨﺪ‪ .‬اﻳﻦ دﺳﺖ آزﻣﺎﻳﺶﻫﺎ ﺑﻪ‬
‫ﻛﺮرات ﺑﻪ ﺻﻮرت ﺧﺎرج از ﺧﻂ ﺗﻮﻟﻴﺪ روي ﻗﻄﻌﺎت و وﻳﻔﺮﻫﺎ ﺑﺮاي ﺗﺸﺨﻴﺺ ﻋﻤﻖ ﭘﻴﻮﻧﺪ ﺻﻮرت ﻣﻲ‪-‬‬
‫ﮔﻴﺮد‪.‬‬

‫‪ - 2- 2- 5- 14‬روش ﺳﺎﻳﺶ و ﻟﻜﻪدار ﻛﺮدن ) ‪(Groove & Stain‬‬

‫اﻳﻦ ﻳﻚ روش ﺳﻨﺘﻲ ﺑﺮاي اﻧﺪازهﮔﻴﺮي ﻋﻤﻖ ﭘﻴﻮﻧﺪ ﻣﻲﺑﺎﺷﺪ‪ .‬ﺳﺎﻳﺶ ﻣﻮرب روﺷﻲ ﻣﻜﺎﻧﻴﻜﻲ اﺳﺖ‬
‫ﻛﻪ ﺑﺮاي در ﻣﻌﺮض ﻧﻤﺎﻳﺶ ﮔﺬاردن ﭘﻴﻮﻧﺪ ﺑﺮاي اﻧﺪازهﮔﻴﺮي از ﺻﻔﺤﻪ اﻓﻘﻲ ﻣﻲﺑﺎﺷﺪ‪ .‬ﺷﻨﺎﺳﺎﺋﻲ ﭘﻴﻮﻧﺪ‬
‫ﺑﺮاي ﭼﺸﻢ ﻏﻴﺮ ﻣﺴﻠﺢ اﻣﻜﺎن ﭘﺬﻳﺮ ﻧﻴﺴﺖ و ﻟﺬا در اﻳﻨﺠﺎ از دو ﺗﻜﻨﻴﻚ ﺑﻪ ﺗﺼﻮﻳﺮ ﻛﺸﻴﺪن ﭘﻴﻮﻧﺪ‬
‫اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ ،‬ﻛﻪ ﭘﻴﻮﻧﺪ ﺑﺮاي ﭼﺸﻢ ﻗﺎﺑﻞ روﻳﺖ ﻣﻲﻧﻤﺎﻳﻨﺪ‪ .‬ﻫﺮ دو اﻳﻦ روشﻫﺎ از اﺧﺘﻼف اﻟﻜﺘﺮﻛﻲ‬
‫ﺑﻴﻦ دو ﻣﺎده ﻧﻮع ‪ n‬و ﻧﻮع ‪ p‬ﺑﻬﺮه ﻣﻲﺑﺮﻧﺪ‪.‬‬

‫‪568‬‬
‫ﺷﻜﻞ‪ :17‬اﺳﺘﻔﺎده از ﺗﻜﻨﻴﻚ ‪ Groove & Stain‬ﺑﺮاي اﻧﺪازهﮔﻴﺮي ﻋﻤﻖ ﭘﻴﻮﻧﺪ ﺑﺎ اﺳﺘﻔﺎده از ﻣﺤﻠﻮل اﻟﻜﺘﺮوﻟﻴﺘﻲ‬
‫ﺣﺎوي ﻣﺲ )ﺳﻤﺖ ﭼﭗ( و ﻣﺨﻠﻮط اﺳﻴﺪ ﻓﻠﻮﺋﻮرﻳﻚ و آب )ﺳﻤﺖ راﺳﺖ (‬

‫در ﻳﻚ روش ﻛﻪ ﺑﻪ ﺗﻜﻨﻴﻚ زداﻳﺶ ﻣﻌﺮوف اﺳﺖ‪ ،‬در اﺑﺘﺪا ﻗﻄﺮهاي از ﻣﺨﻠﻮط آب و اﺳﻴﺪ‬
‫ﻓﻠﻮﺋﻮرﻳﻚ را روي ﺳﻄﺢ ﻣﻮرب ﭘﻴﻮﻧﺪ ﭼﻜﺎﻧﻴﺪه و از ﻳﻚ ﻻﻣﭗ ﺣﺮارﺗﻲ ﺑﺮاي ﮔﺮم ﻛﺮدن ﭘﻴﻮﻧﺪ‪،‬‬
‫ﻫﻤﺎنﻃﻮر ﻛﻪ در ﺷﻜﻞ‪ 17‬ﻗﺎﺑﻞ ﻣﻼﺣﻈﻪ اﺳﺖ‪ ،‬اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ .‬ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ ﮔﺮﻣﺎ و ﻧﻮر ﺗﺎﺑﻴﺪه ﺷﺪه‬
‫در ﺳﻄﺢ ﭘﻴﻮﻧﺪ‪ ،‬اﻟﻜﺘﺮونﻫﺎ و ﺣﻔﺮهﻫﺎ ﺑﺮ اﺳﺎس ﭘﺪﻳﺪه ﻓﺘﻮ اﻟﻜﺘﺮﻳﺴﻴﺘﻪ ﺑﻪ داﺧﻞ ﻧﻮاﺣﻲ ﻣﺮﺑﻮﻃﻪ‬
‫ﺟﺮﻳﺎن ﻳﺎﻓﺘﻪ و ﭼﻮن ﻧﺮخ زداﻳﺶ ﻧﺎﺣﻴﻪ ‪ n‬ﺑﻴﺸﺘﺮ اﺳﺖ‪ ،‬ﻟﺬا ﺗﻴﺮهﺗﺮ ﺑﻪ ﻧﻈﺮ ﻣﻲرﺳﺪ‪ .‬در ﺗﻜﻨﻴﻚ دوم‬
‫ﺑﻪ ﺟﺎي ﻣﺨﻠﻮط ﻳﺎد ﺷﺪه در ﺑﺨﺶ ﻗﺒﻞ از ﻣﺨﻠﻮط اﻟﻜﺘﺮوﻟﻴﺘﻲ ﺣﺎوي ﻣﺲ اﺳﺘﻔﺎده ﻣﻲﺷﻮد‪ .‬دوﺑﺎره‬
‫در اﻳﻦ روش ﻧﻴﺰ ﺗﻮﺳﻂ ﻻﻣﭗ ﺣﺮارﺗﻲ ﺳﻄﺢ ﭘﻴﻮﻧﺪ ﮔﺮم ﺷﺪه و در اﺛﺮ ﺑﺎﺗﺮي اﻳﺠﺎد ﺷﺪه در ﭘﻴﻮﻧﺪ‪ ،‬ﺑﻪ‬
‫واﺳﻄﻪ ﺳﺪ ﭘﺘﺎﻧﺴﻴﻞ داﺧﻠﻲ‪ ،‬ﻣﺲ ﻧﻘﺶ ﺣﺎﻣﻞ ﺟﺮﻳﺎن را ﺑﺎزي ﻣﻲﻛﻨﺪ و در ﻗﻄﺐ اﻟﻜﺘﺮﻳﻜﻲ ﻣﺮﺑﻮﻃﻪ‬
‫ﺑﻪ ﺧﻮد ﺗﺠﻤﻊ ﻣﻲﻳﺎﺑﺪ‪ .‬ﻟﺬا از آﻧﺠﺎ ﻛﻪ ﻣﺲ روي ﻧﺎﺣﻴﻪ ﻧﻮع ‪ n‬را ﻣﻲﭘﻮﺷﺎﻧﺪ ﭘﻴﻮﻧﺪ ﺑﻪ راﺣﺘﻲ ﻗﺎﺑﻞ‬
‫روﻳﺖ ﻣﻲﮔﺮدد‪ .‬ﺑﻌﺪ از ﺳﺎﻳﺶ‪ ،‬ﻧﻮر دﻫﻲ و ﻇﻬﻮر ﭘﻴﻮﻧﺪ‪ ،‬در ﻣﺮﺣﻠﻪ آﺧﺮ ﺑﺮاي اﻧﺪازهﮔﻴﺮي ﻋﻤﻖ ﭘﻴﻮﻧﺪ‬
‫ﻣﻲﺗﻮان از روشﻫﺎي ﻣﺨﺘﻠﻔﻲ از ﺟﻤﻠﻪ ﺗﺪاﺧﻞ ﻧﻮري و ‪ SEM‬اﺳﺘﻔﺎده ﻛﺮد‪.‬‬

‫روﻳﺖ ﻋﻴﻮب و آﻟﻮدﮔﻲ ﻫﺎ ﺑﺎ ﻣﻴﻜﺮوﺳﻜﻮپ ﻫﺎي ﺧﺎص‬ ‫‪-6-14‬‬

‫اﺑﺘﺪا ﻣﺘﺬﻛﺮ ﻣﻲ ﺷﻮﻳﻢ ﻛﻪ در روﺷﻬﺎي ﻣﻴﻜﺮوﺳﻜﻮﭘﻲ ﺧﺎﺻﻲ ﻛﻪ ذﻛﺮ ﺧﻮاﻫﻴﻢ ﻛﺮد‪ ،‬ﺗﺼﻮﻳﺮي ﻛﻪ‬
‫ﻣﺴﺘﻘﻴﻤﺎ ﻗﺎﺑﻞ روﻳﺖ ﺑﺎﺷﺪ ﺗﺸﻜﻴﻞ ﻧﻤﻲ ﮔﺮدد و ﻧﻴﺎز ﺑﻪ ﭘﺮدازش ﻛﺎﻣﭙﻴﻮﺗﺮي ﺑﺮاي ﺗﻬﻴﻪ ﺗﺼﻮﻳﺮ وﺟﻮد‬
‫دارد‪.‬‬
‫‪569‬‬
‫‪SEM‬‬ ‫‪ - 1- 6- 14‬ﻣﻴﻜﺮوﺳﻜﻮپ اﻟﻜﺘﺮوﻧﻲ روﺑﺸﻲ ﻳﺎ‬

‫‪) SEM‬ﻣﺨﻔﻒ ‪ (Scanning Electron Microscope‬در ﻣﻘﺎﻳﺴﻪ ﺑﺎ ﻣﻴﻜﺮوﺳﻜﻮپ ﻫﺎي ﻧﻮري ﻗﺪرت‬
‫ﺑﺰرﮔﻨﻤﺎﻳﻲ ﺧﻴﻠﻲ ﺑﻴﺸﺘﺮي ) ﺗﺎ ﺣﺪود ‪ (×100000‬دارد‪ .‬ﺑﻪ دﻟﻴﻞ ﻛﻮﺗﺎﻫﺘﺮ ﺑﻮدن ﻃﻮل ﻣﻮج ﻣﻨﺴﻮب ﺑﻪ‬
‫اﻟﻜﺘﺮون )ﺗﺤﺖ اﺧﺘﻼف ﭘﺘﺎﻧﺴﻴﻞ ﻫﺎي ﭼﻨﺪ ده ﻛﻴﻠﻮ وﻟﺘﻲ ِ ﺑﻜﺎر رﻓﺘﻪ در ‪ ( SEM‬در ﻣﻘﺎﻳﺴﻪ ﺑﺎ ﻧﻮر‬
‫ﻣﺮﺋﻲ ‪ ،‬ﻣﻲ ﺗﻮان ﺗﺤﺖ ﺷﺮاﻳﻂ ﺧﻮب ﺑﻪ وﺿﻮح ِ ‪ 50‬ﻧﺎﻧﻮﻣﺘﺮ رﺳﻴﺪ‪ .‬ﺑﺮﺧﻼف ﻣﻴﻜﺮوﺳﻜﻮپ ﻫﺎي ﻧﻮري‪،‬‬
‫ﻋﻤﻖ ﻣﻴﺪان ﻣﻴﻜﺮوﺳﻜﻮپ اﻟﻜﺘﺮوﻧﻲ وﺳﻴﻊ اﺳﺖ و ﻟﺰوﻣﻲ ﻧﺪارد در ﻳﻚ ﻋﻤﻖ ﻣﻌﻴﻦ ﻓﻮﻛﻮس و‬
‫)ﺷﻜﻞ‪ 18،19‬و ‪( 20‬‬ ‫ﺷﻮد ‪.‬‬ ‫ﺗﻤﺮﻛﺰ‬

‫ﺷﮑﻞ‪:۱۸‬ﻳﮏ ﻧﻤﻮﻧﻪ ﻣﻴﮑﺮﻭﺳﮑﻮﭖ ﺍﻟﮑﺘﺮﻭﻧﻲ‬

‫ﺷﻜﻞ‪www.mos.org:19‬‬

‫‪570‬‬
‫در ﻋﻮض اﻳﻦ ﻣﺤﺪودﻳﺖ را دارد ﻛﻪ ﺑﺎﻳﺪ ﻧﻤﻮﻧﻪ رﺳﺎﻧﺎ ﺑﺎﺷﺪ‪ .‬در ﻏﻴﺮ اﻳﻨﺼﻮرت اﺑﺘﺪا ﻳﻚ ﻻﻳﻪ از‬
‫ﻳﻚ رﺳﺎﻧﺎ ﻣﺜﻞ ﻃﻼ روي ﻧﻤﻮﻧﻪ ﺑﺎﻳﺪ ﻧﺸﺎﻧﺪه ﺷﻮد‪ .‬ﺿﻤﻦ اﻳﻨﻜﻪ ﺑﻪ دﻟﻴﻞ ﺣﻀﻮر ﻧﻤﻮﻧﻪ در ﻣﺤﻔﻈﻪ ﺧﻼء‬
‫ﻣﻴﻜﺮوﺳﻜﻮپ‪ ،‬ﺑﺎﻳﺴﺘﻲ ﻗﺒﻼ ﺷﺮاﻳﻂ و وﻳﮋﮔﻴﻬﺎي ﻣﻮرد ﻧﻴﺎز ﺧﻼء را اﺣﺮاز ﻛﻨﺪ‪ ) .‬ﺷﻜﻞ‪(20‬‬

‫ﺷﻜﻞ‪ :20‬ﺗﺼﻮﻳﺮ ﻳﻚ ﺣﺸﺮه ﻛﻪ ﺑﺎ ‪ SEM‬ﮔﺮﻓﺘﻪ ﺷﺪه و ﻋﻤﻖ ﻣﻴﺪان زﻳﺎدي دارد ‪.‬‬

‫‪www.urmia.ac.ir‬‬
‫ﺷﻜﻞ‪ SEM :21‬داﻧﺸﮕﺎه اروﻣﻴﻪ ‪.‬‬
‫ﻫﻢ اﻛﻨﻮن ﻣﻴﻜﺮوﺳﻜﻮپ ﻫﺎي اﻟﻜﺘﺮوﻧﻲ ﻣﺘﻌﺪدي در ﻣﺮاﻛﺰ آﻣﻮزﺷﻲ و ﺗﺤﻘﻴﻘﺎﺗﻲ ﻛﺸﻮر وﺟﻮد‬
‫دارد‪).‬ﺷﻜﻞ‪(21‬‬

‫‪571‬‬
‫‪ - 2- 6- 14‬ﻣﻴﻜﺮوﺳﻜﻮپ اﻟﻜﺘﺮوﻧﻲ ﻋﺒﻮري ﻳﺎ ‪) TEM‬ﻣﺨﻔﻒ‪Transmission Electron ‬‬

‫‪(Microscope‬‬

‫ﺣﺘﻲ ﻗﺪرت ﺗﻔﻜﻴﻚ ‪ SEM‬ﻧﻴﺰ ﺑﺮاي ‪ ULSI‬ﻧﺎﻛﺎﻓﻴﺴﺖ‪ .‬ﺑﺎ اﺳﺘﻔﺎده از ‪ TEM‬ﻣﻲ ﺗﻮان ﺑﻪ وﺿﻮح زﻳﺮ‬
‫ﻧﺎﻧﻮﻣﺘﺮ )آﻧﮕﺴﺘﺮوم و اﺑﻌﺎد اﺗﻤﻲ( و ﺑﺰرﮔﻨﻤﺎﻳﻲ ﺗﺎ ﺣﺪود ﻳﻚ ﻣﻴﻠﻴﻮن رﺳﻴﺪ‪).‬ﺷﻜﻞ ‪ 22‬و ‪ (23‬ﻣﻨﺘﻬﻲ‬
‫اﻳﻦ روش ﻧﻴﺎز ﺑﻪ آﻣﺎده ﺳﺎزي دﻗﻴﻖ و وﻗﺖ ﮔﻴﺮِ ﻧﻤﻮﻧﻪ دارد‪ .‬ﻧﻤﻮﻧﻪ ﺑﺎﻳﺪ ﺑﺼﻮرت ﻳﻚ ﻻﻳﻪ ﻧﺎزك ﺗﻬﻴﻪ‬
‫ﺷﻮد ﺗﺎ اﻟﻜﺘﺮون ﻫﺎ ﺑﺘﻮاﻧﻨﺪ از آن ﻋﺒﻮر ﻛﻨﻨﺪ‪) .‬ﺑﻨﺎﺑﺮاﻳﻦ اﻳﻦ ﺗﺴﺖ ﻛﺎﻣﻼ ﻣﺨﺮب اﺳﺖ‪( .‬‬

‫شکل‪www.biotech.unl.edu:٢٢‬‬
‫;‪0.6 nm) Hitachi H7500 TEM (×600,000‬‬

‫‪572‬‬
‫ﺷﮑﻞ‪:۲۳‬ﺗﺼﻮﻳﺮ ﮔﺮﻓﺘﻪ ﺷﺪﻩ ﺑﺎ ‪ TEM‬ﺍﺯ ﺍﺗﻤﻬﺎﻱ ﻳﮏ ﮐﺮﻳﺴﺘﺎﻝ‬

‫‪ - 3- 6- 14‬ﻣﻴﻜﺮوﺳﻜﻮپ ﻧﻴﺮوي اﺗﻤﻲ ﻳﺎ ‪) AFM‬ﻣﺨﻔﻒ‪(Atomic Force Microscope ‬‬

‫ﺑﺮ ﺧﻼف اﻧﺘﻈﺎر‪ ،‬ﻫﻢ اﻛﻨﻮن ﻗﻮﻳﺘﺮﻳﻦ روش ﺗﺼﻮﻳﺮ ﺑﺮداري ﻣﻴﻜﺮوﺳﻜﻮﭘﻲ‪ ،‬ﻧﻪ ﺑﺮ اﺳﺎس اﻟﻜﺘﺮوﻧﻬﺎ و‬
‫اﻣﻮاج اﻟﻜﺘﺮوﻣﻐﻨﺎﻃﻴﺲ ‪ ،‬ﺑﻠﻜﻪ ﺑﺮ ﻣﺒﻨﺎي ﺣﺮﻛﺖ ﻣﻜﺎﻧﻴﻜﻲ اﺳﺘﻮار اﺳﺖ‪ .‬ﺑﻪ اﻳﻦ ﺧﺎﻧﻮاده ﻣﻴﻜﺮوﺳﻜﻮپ‬
‫ﻫﺎ )‪ SPM (scanning probe microscopes‬ﮔﻔﺘﻪ ﻣﻲ ﺷﻮد‪ .‬در اﻳﻦ روش ﻳﻚ ﭘﺮوب ﺑﺴﻴﺎر ﻇﺮﻳﻒ در‬
‫ﻳﻚ ﻓﺎﺻﻠﻪ ﺑﺴﻴﺎرﺑﺴﻴﺎر ﻧﺰدﻳﻚ از ﺳﻄﺢ ﻧﻤﻮﻧﻪ ﻧﮕﻪ داﺷﺘﻪ ﻣﻴﺸﻮد و ﻛﻞ ﺳﻄﺢ ﻧﻤﻮﻧﻪ را ﺑﺎ ﻧﮕﻪ داﺷﺘﻦ‬
‫ﻫﻤﺎن ﻓﺎﺻﻠﻪ ﺟﺎروب ﻣﻲ ﻛﻨﺪ‪ .‬ﺟﺎﺑﺠﺎﻳﻲ ﻫﺎ ﺗﻮ ﺳﻂ ﺑﺎزو ﻫﺎي ﭘﻴﺰو اﻟﻜﺘﺮﻳﻚ اﻧﺠﺎم ﻣﻲ ﺷﻮﻧﺪ و ﺛﺎﺑﺖ‬
‫ﻧﮕﻪ داﺷﺘﻦ ﻓﺎﺻﻠﻪ‪ ،‬ﺑﺎ ﻓﻴﺪﺑﻚ ﻫﺎي دﻗﻴﻖ اﻟﻜﺘﺮوﻧﻴﻜﻲ اﻧﺠﺎم ﻣﻲ ﮔﺮدد‪) .‬ﺷﻜﻞ‪( 24‬‬

‫‪573‬‬
‫ﺷﻜﻞ‪ :24‬ﭘﺮوب ‪ AFM‬در ﻓﺎﺻﻠﻪ ﺛﺎﺑﺘﻲ )ﻣﺜﻼً ‪ 2‬آﻧﮕﺴﺘﺮوم( از ﺳﻄﺢ ﻧﻤﻮﻧﻪ ﺣﺮﻛﺖ ﻣﻲ ﻛﻨﺪ )در ﻋﻤﻞ‬
‫ﭘﺮوب را ﺛﺎﺑﺖ ﻧﮕﻪ داﺷﺘﻪ و ﻧﻤﻮﻧﻪ را ﺣﺮﻛﺖ ﻣﻲ دﻫﻨﺪ ‪ (.‬وﭘﺮوب ﺑﺎ ﺣﺲ ﻛﺮدن ﻧﻴﺮوي اﺗﻤﻲ وارده‪،‬‬
‫ﺗﺼﻮﻳﺮي ﺑﺎ وﺿﻮح ‪ 1‬آﻧﮕﺴﺘﺮوم اراﺋﻪ ﻣﻲ دﻫﺪ ‪.‬‬

‫‪574‬‬
‫‪www.wikipedia.com‬‬
‫ﺷﻜﻞ‪ :25‬ﻳﻚ ﻧﻤﻮﻧﻪ ﺗﺼﻮﻳﺮ ﻛﻪ ﺑﺎ ‪ AFM‬ﮔﺮﻓﺘﻪ ﺷﺪه‪ :‬آراﻳﺶ اﺗﻢ ﻫﺎي ﻛﻨﺎر ﻫﻢ در ﻧﻤﻚ ﻃﻌﺎم‬

‫‪sina.sharif.edu‬‬
‫ﺷﻜﻞ‪ AFM :26‬داﻧﺸﮕﺎه ﺻﻨﻌﺘﻲ ﺷﺮﻳﻒ ‪.‬‬
‫دو دﺳﺘﮕﺎه ‪ AFM‬در داﻧﺸﮕﺎه ﺷﺮﻳﻒ و ﻳﻚ ﻣﻮرد ﻧﻴﺰ در ﺳﺎزﻣﺎن اﻧﺮژي اﺗﻤﻲ وﺟﻮد دارد ﻛﻪ دﻗﺘﻲ‬
‫از ﻣﺮﺗﺒﻪ ‪ 3‬ﻧﺎﻧﻮﻣﺘﺮ را ﺑﻪ دﺳﺖ ﻣﻲ دﻫﻨﺪ ‪.‬‬

‫‪575‬‬
‫روﺷﻬﺎي ﺷﻨﺎﺳﺎﻳﻲ ﺟﻨﺲ ﻧﺎ ﺧﺎﻟﺼﻲ ﻳﺎ آﻟﻮدﮔﻲ‬ ‫‪-7-14‬‬

‫روﺷﻬﺎي ارزﻳﺎﺑﻲِ ﻣﻌﺮﻓﻲ ﺷﺪه ‪ ،‬ﻓﻘﻂ وﺟﻮد آﻟﻮدﮔﻲ را آﺷﻜﺎر ﻣﻲ ﻛﺮدﻧﺪ‪ .‬اﻛﻨﻮن روش ﻫﺎﻳﻲ‬
‫را ﺑﺮرﺳﻲ ﻣﻲ ﻛﻨﻴﻢ ﻛ ﻪ ﻧﻮع اﺗﻢ ﻫﺎ ﻳﺎ ﺣﺘﻲ ﺗﺮﻛﻴﺒﺎت ﻣﻮﻟﻜﻮﻟﻲ ﺳﻄﺢ ) و ﻳﺎ ﺣﺘﻲ ﻋﻤﻖ ( را ﺗﺸﺨﻴﺺ‬
‫ﻣﻲ دﻫﻨﺪ؛ ﭼﻪ ﺑﺮاي ﻛﺸﻒ آﻟﻮدﮔﻲ ﻫﺎي ﻧﺎﺧﻮاﺳﺘﻪ )ﺟﻬﺖ‪ ‬ﻳﺎﻓﺘﻦ ﻣﻨﺒﻊ آﻟﻮدﮔﻲ( و ﭼﻪ ﺑﺮاي اﻧﺪازه‬
‫ﮔﻴﺮي ﻧﺎﺧﺎﻟﺼﻲ ﻫﺎي ﻋﻤﺪي‪.‬‬

‫‪AES (Auger Electron Spectroscope) / SAM (Scanning Auger Microanalysis) - 1- 7- 14‬‬

‫شکل‪www.customana.com:٢٧‬‬

‫ﺑﺎ اﻓﺰودن ﻋﻤ ﻞِ ﻃﻴﻒ ﺳﻨﺠﻲ ﺑﻪ ﻳﻚ ‪ SEM‬ﻣﻲ ﺗﻮان اﺗﻢ ﻫﺎي ﺳﻄﺢ ﻧﻤﻮﻧﻪ راﻧﻴﺰ ﺷﻨﺎﺳﺎﻳﻲ‬
‫ﻛﺮد‪ .‬ﺑﺎ اﻧﺪازه ﮔﻴﺮي اﻧﺮژي اﻟﻜﺘﺮون ﻫﺎي ﺛﺎﻧﻮﻳﻪ ﺳﻄﺤﻲ ) ﻣﻌﺮوف ﺑﻪ اﻟﻜﺘﺮون ﻫﺎي آﮔ‪‬ﺮ – ﻧﺎم ﻣﺒﺪع‬
‫اﻳﻦ ﻓﻦ( در واﻗﻊ ﻃﻮل ﻣﻮج ﻫﺎي ﻣﺘﻨﺎﻇﺮي ﺑﻪ دﺳﺖ ﻣﻴ‪Ĥ‬ﻳﺪ ﻛﻪ ﺑﺎ ﺗﺤﻠﻴﻞ آﻧﻬﺎ ﻣﻲ ﺗﻮان اﺗﻤﻬﺎي ﻣﻮﺟﻮد‬
‫را ﺷﻨﺎﺳﺎﻳﻲ ﻛﺮد‪ .‬ﻣﺤﺪودﻳﺖ اﺻﻠﻲ اﻳﻦ روش‪ ،‬ﻋﺪم ﺗﺸﺨﻴﺺ ﺗﺮﻛﻴﺒﺎت ﺷﻴﻤﻴﺎﻳﻲ اﺳﺖ‪ .‬ﻣﺜ ﻼً در‬

‫‪576‬‬
‫ﺻﻮرت ﺣﻀﻮر ﻧﻤﻚ روي ﻳﻚ ﻧﻘﻄﻪ از ﻧﻤﻮﻧﻪ‪ ،‬ﺻﺮﻓﺎ ﻣﺘﻮﺟﻪ ﺣﻀﻮر اﺗﻢ ﻫﺎي ‪ Na‬و ‪ Cl‬در آﻧﺠﺎ ﺧﻮاﻫﻴﻢ‬
‫ﺷﺪ‪) .‬ﺷﻜﻞ‪( 27‬‬
‫ﻛﻠﻤﻪ ي ﻣﻴﻜﺮوآﻧﺎﻟﻴﺰ در ‪ SAM‬ﻧﺸﺎﻧﮕﺮ اﻣﻜﺎن ﺗﺤﻠﻴﻞ ﻫﺮ ﻧﻘﻄﻪ از ﺳﻄﺢ ﻧﻤﻮﻧﻪ اﺳﺖ‪) .‬ﺷﻜﻞ ‪( 28‬‬

‫ﺷﻜﻞ‪:28‬دو ﻧﻤﻮﻧﻪ ﻧﻤﻮدار ﺧﺮوﺟﻲ از دﺳﺘﮕﺎه ‪AES / SAM‬‬

‫‪) EDX - 2- 7- 14‬ﻳﺎ ‪ EDAX‬؛ ﻣﺨﻔﻒ‪( Energy-Dispersive X-ray Spectroscopy ‬‬

‫در ﺑﺮﺧﻮرد اﺷﻌﻪ اﻟﻜﺘﺮوﻧﻲ ‪ SEM‬ﺑﻪ ﺳﻄﺢ ﻧﻤﻮﻧﻪ‪ ،‬ﻋﻼوه ﺑﺮ اﻟﻜﺘﺮون ﻫﺎي ﺛﺎﻧﻮﻳﻪ ﻣﻌﻤﻮﻟﻲ‪،‬‬
‫اﺷﻌﻪ اﻳﻜﺲ ﻫﻢ ﺳﺎﺗﻊ ﻣﻲ ﺷﻮد ﻛﻪ ﺑﺎ اﻧﺪازه ﮔﻴﺮي اﻧﺮژي اﻳﻦ اﺷﻌﻪ ) ﻃﻴﻒ ﺳﻨﺤﻲ آن( ﻧﻴﺰ اﻃﻼﻋﺎت‬
‫ﺑﻴﺸﺘﺮي راﺟﻊ ﺑﻪ ﺷﻴﻤﻲ ِ ﺳﻄﺢ ﻧﻤﻮﻧﻪ ﺑﻪ دﺳﺖ ﻣﻲ آﻳﺪ‪ .‬اﻳﻦ ﺗﻜﻨﻴﻚ ﺑﻪ ‪ EDX‬ﻣﻌﺮوف اﺳﺖ‪.‬‬
‫)ﺷﻜﻞ‪29‬و‪( 30‬‬

‫‪577‬‬
‫دوﻧﻤﻮﻧﻪ دﺳﺘﮕﺎه ‪ EDX‬ﻣﺤﺼﻮل ﺷﺮﻛﺖ‪EDAX‬‬ ‫ﺷﻜﻞ‪www.vetmed.lsu.edu: 29‬‬

‫ﺷﻜﻞ‪:30‬ﺗﺼﻮﻳﺮ دﻳﺘﺎي ﺧﺮوﺟﻲ دﺳﺘﮕﺎه ‪EDX‬‬

‫‪578‬‬
‫)ﻣﺨﻔﻒ‪(Electron Spectroscopy for Chemical Analysis ‬‬ ‫‪ESCA - 3- 7- 14‬‬

‫اﻳﻦ دﺳﺘﮕﺎه ﺑﺮاي ﺗﺸﺨﻴﺺ ﺳﺎﺧﺘﺎر ﻫﺎي ﺷﻴﻤﻴﺎﻳﻲ ﺳﻄﻮح ﺑﻜﺎر ﻣﻲ رود و ﺑﺮﺗﺮي اش ﻧﺴﺒﺖ‬
‫ﺑﻪ روش آﮔﺮ ‪ ،‬در ﺗﻮاﻧﺎﻳﻲ ﺗﺸﺨﻴﺺ ﺗﺮﻛﻴﺒﺎت ﺷﻴﻤﻴﺎﻳﻲ اﺳﺖ‪ .‬ﻣﺒﻨﺎي اﻳﻦ ﻓﻦ ﻧﻴﺰ اﺳﺘﻔﺎده از ﺗﺎﺑﺶ‬
‫اﺷﻌﻪ اﻳﻜﺲ ﺑﻪ ﻧﻤﻮﻧﻪ و ﺗﺤﻠﻴﻞِ ﻓﺘﻮاﻟﻜﺘﺮن ﻫﺎي ﺛﺎﻧﻮﻳﻪ ﭘﺮاﻛﻨﺪه ﺷﺪه از ﺳﻄﺢ ﻧﻤﻮﻧﻪ اﺳﺖ‪ .‬ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ‬
‫ﭘﻬﻦ ﺑﻮدن ﻗﻄﺮ اﺷﻌﻪ اﻳﻜﺲ‪ ،‬اﻳﺮاد اﻳﻦ روش ﻧﺴﺒﺖ ﺑﻪ روش آﮔ‪‬ﺮ‪ ،‬ﻧﺎﺗﻮاﻧﻲ در ﺗﺤﻠﻴﻞ ﻧﻘﻄﻪ اي ﻣﺪارات‬
‫ﻣﺠﺘﻤﻊ اﺳﺖ و ﺻﺮﻓﺎ اﻃﻼﻋﺎت ﺗﺮﻛﻴﺒﺎت ﺷﻴﻤﻴﺎﻳﻲ ﻳﻚ " ﻣﺤﺪوده" از ﺳﻄﺢ را ﺑﻪ دﺳﺖ ﻣﻲ دﻫﺪ‪.‬‬
‫)ﺷﻜﻞ ‪( 31‬‬

‫‪msc.engr.wisc.edu‬‬
‫ﺷﻜﻞ‪ :31‬ﻳﻚ ﻧﻤﻮﻧﻪ دﺳﺘﮕﺎه ‪ ESCA‬ﻣﺤﺼﻮل ﺷﺮﻛﺖ ‪Perkin Elmer‬‬
‫ﺣﺪاﻗﻞ ﻗﻄﺮ ﻧﺎﺣﻴﻪ ﺗﺤﻠﻴﻞ‪ 0/2 :‬ﻣﻴﻠﻲ ﻣﺘﺮ‬

‫‪579‬‬
‫)ﻣﺨﻔﻒ‪(Secondary Ion Mass Spectroscopy ‬‬ ‫‪SIMS‬‬ ‫‪- 4- 7- 14‬‬

‫در اﻳﻦ روش ﺳﻄﺢ ﻧﻤﻮﻧﻪ ﺗﻮﺳﻂ ﺑﺎرﻳﻜﻪ ﻳﻮن ﺑﻤﺒﺎران ﻣﻲ ﺷﻮد ﻳﺎ ﺗﺤﺖ ﺗﺎﺑﺶ ﻟﻴﺰر ﻗﺮار ﻣﻲ‬
‫ﮔﻴﺮد‪ .‬در ﻃﻮل زﻣﺎن ﺑﻤﺒﺎران ‪ ،‬اﺗﻤﻬﺎي ﺳﻄﺢ ﻛﻢ ﻛﻢ ﻛَﻨﺪه ﺷﺪه و ﺑﺼﻮرت ﻳﻮن در ﻓﻀﺎي اﻃﺮاف‬
‫ﭘﺮاﻛﻨﺪه ﻣﻲ ﺷﻮد و ﺑﻪ ﺗﺪرﻳﺞ ﻳﻚ ﭼﺎه ﻣﻴﻜﺮوﻣﺘﺮي در ﻧﻤﻮﻧﻪ اﻳﺠﺎد ﻣﻲ ﺷﻮد‪ .‬در ﻃﻮل ﻣﺪت‬
‫ﭘﻴﺸﺮوي‪ ،‬ﻳﻮن ﻫﺎي ﻛﻨﺪه ﺷﺪه از ﻧﻤﻮﻧﻪ )ﻳﻮن ﻫﺎي ﺛﺎﻧﻮﻳﻪ؛ در ﻣﻘﺎﻳﺴﻪ ﺑﺎ‪ ‬ﻳﻮن ﻫﺎي اوﻟﻴﻪ ﻛﻪ ﺑﺮاي‬
‫ﺑﻤﺒﺎران اﺳﺘﻔﺎده ﻣﻲ ﺷﺪﻧﺪ( ﺗﻮﺳﻂ روش ﻃﻴﻒ ﺳﻨﺠﻲ ﺟﺮﻣﻲ آﺷﻜﺎر ﺳﺎزي ﺷﺪه و ﺗﻌﺪاد ﻧﺴﺒﻲ آﻧﻬﺎ‬
‫ﻧﻴﺰ اﻧﺪازه ﮔﻴﺮي ﻣﻲ ﮔﺮدد ‪.‬‬
‫ﺑﻪ اﻳﻦ ﺗﺮﺗﻴﺐ ﻧﻮع و ﭼﮕﺎﻟﻲ آﻟﻮدﮔﻲ ﻫﺎ و ﻧﺎﺧﺎﻟﺼﻲ ﻫﺎ ﺑﺼﻮرت ﺗﺎﺑﻌﻲ از ﻋﻤﻖ ﺑﻪ دﺳﺖ ﻣﻲ‬
‫آﻳﺪ‪) .‬ﻛﻪ ﺑﻮﺳﻴﻠﻪ آن ﻣﻲ ﺗﻮان ﭘﺮوﻓﺎﻳﻞ ﻋﻤﻠﻴﺎت ﻧﻔﻮذ ﻳﺎ ﻛﺎﺷﺖ ﻳﻮن را ﻣﻮرد ﻣﻄﺎﻟﻌﻪ ﻗﺮار داد‪ (.‬ﻃﺒﻴﻌﻲ‬
‫اﺳﺖ ﻛﻪ اﻳﻦ روش‪ ،‬ﻳﻚ ﺗﺴﺖ ﻣﺨﺮب اﺳﺖ‪ ) .‬ﺷﻜﻞ ‪( 32‬‬

‫‪580‬‬
‫‪21‬‬
‫‪10‬‬
‫‪Si07Ge0.3‬‬
‫‪20‬‬
‫‪10‬‬
‫)‪Atomic concentration (cm-3‬‬

‫‪1019‬‬
‫‪P‬‬
‫‪1018‬‬ ‫‪B‬‬
‫‪As‬‬
‫‪17‬‬
‫‪10‬‬
‫‪16‬‬
‫‪10‬‬
‫‪15‬‬
‫‪10‬‬

‫‪1014‬‬
‫‪0‬‬ ‫‪0.1‬‬ ‫‪0.2‬‬ ‫‪0.3‬‬ ‫‪0.4‬‬ ‫‪0.5‬‬ ‫‪0.6‬‬ ‫‪0.7‬‬

‫)‪Depth (um‬‬

‫ﺷﻜﻞ‪ :32‬ﻧﻤﻮﻧﻪ دﻳﺘﺎي ﺧﺮوﺟﻲ ‪SIMS‬‬

‫ﮔﻮﻧﻪ اي از اﻳﻦ روش ﺑﻪ ﻧﺎم ‪ (Time Of Flight SIMS ) TOF-SIMS‬ﺣﺘﻲ زﻣﺎنِ ﻛﻨﺪه ﺷﺪن ﻳﻮن از‬
‫ﺳﻄﺢ‪ ،‬ﺗﺎ زﻣﺎن رﺳﻴﺪن ﺑﻪ ﻃﻴﻒ ﺳﻨﺞ را ﻧﻴﺰ اﻧﺪازه ﮔﻴﺮي و ﺗﺤﻠﻴﻞ ﻣﻴﻜﻨﺪ ﺗﺎ اﻃﻼﻋﺎت ﺑﻴﺸﺘﺮي‬
‫اﺳﺘﺨﺮاج ﻛﻨﺪ ‪) .‬ﺷﻜﻞ‪( 33‬‬

‫ﺷﻜﻞ‪www.simsworkshop.org: 34‬‬

‫‪581‬‬
‫‪VPD-AAS (Vapor Phase Decomposition – Atomic Absorption Spectroscopy) - 5- 7- 14‬‬

‫ﺷﻜﻞ‪elchem.kaist.ac.kr :35‬‬

‫در اﻳﻦ روش ﻛﻪ ﺑﺮاي ﺗﺸﺨﻴﺺ آﻟﻮدﮔﻲ ﻫﺎي ﻣﻌﺪﻧﻲ ﺑﻪ ﻛﺎر ﻣﻲ رود‪ ،‬ﻧﻤﻮﻧﻪ را در ﻣﻌﺮض‬
‫اﺳﻴﺪ ﻓﻠﻮرﻳﺪرﻳﻚ "ﻣﻲ ﻛﺎﻫﻨﺪ " ﺗﺎ ﻧﻤﻚ ﻓﻠﻮرﻳﺪ‪ ‬ﻧﻤﻮﻧﻪ ﺑﻪ دﺳﺖ آﻳﺪ‪ .‬ﺳﭙﺲ آن را در آب ﻳﺎ اﺳﻴﺪ ﺣﻞ‬
‫ﻣﻲ ﻛﻨﻨﺪ و ﻣﺤﻠﻮل را ﺑﺎ روش اﺳﺘﺎﻧﺪارد ﻃﻴﻒ ﺳﻨﺠﻲ ﺟﺬﺑﻲ اﺗﻤﻲ ﺑﺮرﺳﻲ ﻣﻲ ﻛﻨﻨﺪ‪) .‬ﺷﻜﻞ ‪( 36‬‬

‫ﺷﻜﻞ‪ :36‬ﻳﻚ ﻧﻤﻮﻧﻪ ﻃﻴﻒ ﺟﺬﺑﻲ‬

‫ارزﻳﺎﺑﻲ ﻗﻄﻌﺎت‬ ‫‪-8-14‬‬

‫ﭼﺮا ﻳﻚ ﻧﻮع ﻗﻄﻌﻪ اﻟﻜﺘﺮوﻧﻴﻜﻲ ﺑﺎ ﻳﻚ ﺷﻤﺎره وﻟﻲ ﺑﺎ ﺳﻪ ﭘﺴﻮﻧﺪ و ﺳﻪ ﻗﻴﻤﺖ ﻣﺘﻔﺎوت اراﺋﻪ ﻣﻲ‬
‫ﺷﻮد؟ آﻳﺎ از اﺑﺘﺪاي ﻃﺮاﺣﻲ و ﺳﺎﺧﺖ‪ ،‬ﺳﻪ در ﺟﻪ از ﻛﻴﻔﻴﺖ در ﻧﻈﺮ ﮔﺮﻓﺘﻪ ﻣﻲ ﺷﻮد؟ ﭘﺎﺳﺦ ﻣﻨﻔﻲ‬
‫اﺳﺖ‪.‬‬
‫ﭘﺲ از اﺗﻤﺎم ﺳﺎﺧﺖ ﻗﻄﻌﺎت روي وﻳﻔﺮﻫﺎ ‪ ،‬وﻳﻔﺮﻫﺎ از ﻧﻈﺮ ﻛﻴﻔﻴﺖ ﺑﺮرﺳﻲ و ﺗﻔﻜﻴﻚ ﻣﻲ ﺷﻮﻧﺪ ‪ .‬ﺑﺮاي‬
‫اﻳﻦ ﻛﺎر ﻻزم اﺳﺖ ﺗﺎ در ﻣﻮﻗﻊ ﻃﺮاﺣﻲ ﻣﺎﺳﻚﻫﺎ‪ ،‬در ﻣﻴﺎن ﻫﺮ وﻳﻔﺮ ﻳﻚ ﻳﺎ ﭼﻨﺪ ‪) test die‬ﻛﻪ ﻣﺪاري‬

‫‪582‬‬
‫ﻣﺘﻔﺎوت ﺑﺎ ﻗﻄﻌﻪ اﺻﻠﻲ دارد( ﮔﻨﺠﺎﻧﺪه ﺷﻮد ﻛﻪ ﺑﺘﻮان اﻧﺪازه ﮔﻴﺮيﻫﺎي اﻟﻜﺘﺮﻳﻜﻲ ﺳﺮ راﺳﺘﻲ روي‬
‫آﻧﻬﺎ اﻧﺠﺎم داد و ﭘﺎراﻣﺘﺮﻫﺎي ﻛﻴﻔﻲ ِ ﻧﻴﻤﻪ ﻫﺎديﻫﺎي ﭘﻴﺎده ﺳﺎزي ﺷﺪه روي آن وﻳﻔﺮ را اﺳﺘﺨﺮاج‬
‫ﻧﻤﻮد‪ .‬ﻳﻜﻲ از ﻣﺘﺪاولﺗﺮﻳﻦ اﻧﺪازه ﮔﻴﺮيﻫﺎ ﺑﺎ آزﻣﻮ نِ‪CV‬ﺻﻮرت ﻣﻲﮔﻴﺮد‪ .‬دراﻳﻦآزﻣﺎﻳﺶﻣﻨﺤﻨﻲ‬
‫‪ Capacitance vs. Voltage‬ﺑﺪﺳﺖ ﻣﻲآﻳﺪ ﻛﻪ اﻃﻼﻋﺎت ﻣﻬﻤﻲ از ﻧﺤﻮه ﻋﻤﻠﻜﺮد ﻗﻄﻌﻪ ﺑﻪ دﺳﺖ‬
‫ﻣﻲدﻫﺪ‪.‬‬

‫ارزﻳﺎﺑﻲﻫﺎي ﺑﻌﺪي درﺳﺖ ﻗﺒﻞ از ﺑﺮش وﻳﻔﺮ‪ ،‬ﺑﻪ ﺻﻮرت اﭘﺘﻴﻜﻲ )ﻇﺎﻫﺮِ ﭼﻴﭗ ( و ﺑﻌﻀﺎً‬
‫اﻟﻜﺘﺮوﻧﻴﻜﻲ روي ﺗﻚ ﺗﻚ ﭼﻴﭗﻫﺎ اﻧﺠﺎم ﻣﻲ ﺷﻮد‪ .‬ﭼﻴﭗﻫﺎي ﻣﻌﻴﻮب ﺗﻮﺳﻂ ﻳﻚ ﻗﻄﺮه رﻧﮕﻲ ﻳﺎ‬
‫ﻣﻐﻨﺎﻃﻴﺴﻲ ﻋﻼﻣﺖ ﮔﺬاري ﻣﻲﺷﻮﻧﺪ )ﺗﺎ ﺑﻌﺪاً ﺑﺘﻮان آﻧﻬﺎ را ﺷﻨﺎﺳﺎﻳﻲ و ﺗﻔﻜﻴﻚ ﻛﺮد( و ﻳﺎ آدرس‬
‫ﻣﻜﺎﻧﻲ آﻧﻬﺎ ﺗﻮﺳﻂ ﻛﺎﻣﭙﻴﻮﺗﺮ ذﺧﻴﺮه ﻣﻲ ﺷﻮد ﺗﺎ وارد ﻣﺮﺣﻠﻪ ‪ packaging‬ﻧﺸﻮﻧﺪ‪ .‬ﭼﻨﻴﻦ آزﻣﻮنﻫﺎﻳﻲ‬
‫ﺗﻮﺳﻂ ﭘﺮوب ﻫﺎي ﻇﺮﻳﻔﻲ ﺻﻮرت ﻣﻲ ﮔﻴﺮد‪) .‬ﺷﻜﻞ‪( 37‬‬

‫ﺷﻜﻞ‪www.a-m-c.com :37‬‬

‫ﭘﺲ از ﺑﺴﺘﻪ ﺑﻨﺪي‪ ،‬ﺗﺴﺖﻫﺎي اﻟﻜﺘﺮوﻧﻴﻜﻲ اﺻﻠﻲ ﺷﺮوع ﻣﻴﺸﻮد و روي رﻳﻞِ ﺣﺎﻣﻞ ﻗﻄﻌﺎت‪،‬‬
‫آزﻣﻮنﻫﺎﻳﻲ روي ﺗﻚ ﺗﻚ ﻗﻄﻌﺎت – ﻣﺴﻠﺴﻞ وار و ﺳﺮﻳﻊ ‪ -‬اﻧﺠﺎم ﻣﻲﺷﻮد‪ .‬ﻫﺮ ﻗﻄﻌﻪ ﺑﺎ ﺗﻮﺟﻪ ﺑﻪ‬
‫ﻧﺘﺎﻳﺞ ﺗﺴﺖﻫﺎ‪ ،‬ﻗﻄﻌﺎت از ﻧﻈﺮ ﻛﻴﻔﻴﺖ ﻃﺒﻘﻪ ﺑﻨﺪي ﻣﻲﺷﻮﻧﺪ ﺗﺎ ﭘﺴﻮﻧﺪ ﻣﻨﺎﺳﺐ روي آﻧﻬﺎ ﭼﺎپ ﮔﺮدد‪.‬‬
‫ﺗﻌﺪادي از ﻧﻤﻮﻧﻪﻫﺎ ﻧﻴﺰ ﺑﻪ آزﻣﺎﻳﺸﮕﺎه ﺑﺮده ﻣﻲ ﺷﻮﻧﺪ ﺗﺎ اﻧﺪازه ﮔﻴﺮيﻫﺎي ﻣﻔﺼﻞﺗﺮي ﺑﺮاي اراﺋﻪ در‬
‫ﺑﺮﮔﻪ اﻃﻼﻋﺎت )دﻳﺘﺎ ﺷﻴﺖ ( روي آﻧﻬﺎ اﻧﺠﺎم ﺷﻮد‪ ) .‬ﺷﻜﻞ‪( 38‬‬

‫‪583‬‬
‫‪www.analog.com‬‬
‫ﺷﻜﻞ‪ :38‬ﺑﺨﺸﻲ از ﻣﺸﺨﺼﺎت دو ﻣﺪل از آپ اﻣﭗ ِ ‪ ، Op27‬در ﺑﺎﻻ آﻣﺪه اﺳﺖ‪) .‬وﻟﺘﺎژ و دﻣﺎي ﺗﺴﺖ ﻧﻴﺰ ﺑﺎﻻي ﺟﺪول ذﻛﺮ ﺷﺪه اﺳﺖ‪(.‬‬

‫ﺣﺎل ﻗﻴﻤﺖﻫﺎي اﻳﻦ دو ﻣﺪل )ﻧﻈﺎﻣﻲ و ﺻﻨﻌﺘﻲ ( را ﺑﺎ ﻫﻢ ﻣﻘﺎﻳﺴﻪ ﻛﻨﻴﺪ‪.‬‬

‫آزﻣﻮنﻫﺎﻳﻲ ﻛﻪ روي وﻳﮋﮔﻲﻫﺎ ي اﻟﻜﺘﺮوﻧﻴﻚ ﻗﻄﻌﺎت اﻧﺠﺎم ﻣﻲﺷﻮد ﺑﺴﻴﺎر وﺳﻴﻊ اﺳﺖ و ﺳﺎﻻﻧﻪ‬
‫ﺣﺠﻢ ﻗﺎﺑﻞ ﺗﻮﺟﻬﻲ از ﭘﮋوﻫﺶﻫﺎ و ﻣﻘﺎﻻت و ﻛﻨﻔﺮاﻧﺲﻫﺎ را ﺑﻪ ﺧﻮد اﺧﺘﺼﺎص ﻣﻲدﻫﺪ‪ .‬اﻫﻤﻴﺖ ﭼﻨﻴﻦ‬
‫ﺗﺴﺖﻫﺎﻳﻲ ﺑﻪ ﻗﺪري اﺳﺖ ﻛﻪ ﺣﺘﻲ ﮔﺎﻫﻲ ﻓﺮاﻳﻨﺪ ﻃﺮاﺣﻲ را ﻧﻴﺰ ﻃﻮري ﺗﻐﻴﻴﺮ ﻣﻲدﻫﻨﺪ ﻛﻪ ﺑﺘﻮان‬
‫ﺗﺴﺖﻫﺎي ﺧﻮب و ﻗﺎﺑﻞ اﻋﺘﻤﺎد اﻧﺠﺎم داد‪) .‬اﻳﻦ روش ﻫﻢ اﻛﻨﻮن ﺑﻪ ‪ DFT‬ﻳﺎ ‪Design For Test‬‬
‫ﻣﻌﺮوف اﺳﺖ‪( .‬‬

‫در ﺣﻮزه ﺗﺴﺖ ﭼﻴﭗﻫﺎ ي دﻳﺠﻴﺘﺎل ‪ -‬ﺑﻪ ﺧﺼﻮص ﻣﺪارات ﭘﻴﭽﻴﺪه – ﻣﻌﻤﻮ ﻻً ﻧ ﻴﺎز ﺑﻪ اﻋﻤﺎل ﻳﻚ‬
‫رﺷﺘﻪ ورودي ﺑﻪ ﭼﻴﭗ و ﺑﺮرﺳﻲ ﺻﺤﺖ ﺧﺮوﺟﻲﻫﺎﺳﺖ‪ .‬اﻧﺘﺨﺎب رﺷﺘﻪ ورودي ﻛﻪ ﺑﺘﻮاﻧﺪ ﺑﻴﺸﺘﺮﻳﻦ‬
‫آزﻣﻮن را )در ﺑﻴﺸﺘﺮﻳﻦ ﻣﺴﻴﺮﻫﺎي ﻛﻠﻴﺪي درون ﭼﻴﭗ( در ﻳﻚ زﻣﺎن ﻣﻌﻘﻮل ﺑﻪ دﺳﺖ دﻫﺪ ﻳﻚ‬
‫ﻣﺴﺄﻟﻪ راﻳﺞ ﺗﺤﻘﻴﻘﺎﺗﻲ اﺳﺖ‪ .‬در ﻣﻮرد ﭼﻴﭗﻫﺎي ﻓﺮﻛﺎﻧﺲ ﺑﺎﻻ‪ ،‬ﻣﺴﺄﻟﺔ ﻣﺘﺪاولِ اﻧﺪازه ﮔ ﻴﺮيﻫﺎ‪ ،‬ﺣﺬف‬
‫اﺛﺮات ﭘﺎرازﻳﺘﻲ ﭘﺮوب ﻫﺎي اﻧﺪازه ﮔﻴﺮي اﺳﺖ)‪ . (de-embedding/ calibration‬اﻧﺪازه ﮔﻴﺮيﻫﺎي‬
‫ﻣﺮﺑﻮط ﺑﻪ ﭼﻴﭗﻫﺎي ﻛﻢ ﻧﻮﻳﺰ ﻧﻴﺰ ﻏﺎﻟﺒﺎً ﻧﻴﺎز ﺑﻪ ﻣﺤ ﻴﻂﻫﺎي ﺳﺮﻣﺎي ﻋﻤﻴﻖ )‪ (Cryogenic‬دارﻧﺪ‪.‬‬

‫‪584‬‬
‫ﻣﻨﺎﺑﻊ‬
: ‫ﮔﺰارﺷﻲ از ﺗﺠﻠﻴﺎت اﻧﺪازه ﮔﻴﺮي ﺧﺎصِ ﻣﻮﺟﻮد در ﻛﺸﻮر‬
http://www.nano.ir/papers/attach/equipment.pdf
: ‫ اراﺋﻪ ﻣﻲدﻫﻨﺪ‬... ‫ﺑﺮﺧﻲ از ﻣﺮاﻛﺰي ﻛﻪ داﺧﻞ ﻛﺸﻮر ﺧﺪﻣﺎت ﻣﻴﻜﺮوﺳﻜﻮپ اﻟﻜﺘﺮوﻧﻲ و‬
http://nanolab.nano.ir/sortinst.php?word=28&la=fa
:‫ در ﻛﺸﻮر‬STM‫ﺳﺎﺧﺖ ﻣﻴﻜﺮوﺳﻜﻮپﻫﺎي‬
http://karafarini.sharif.ir/ShowRecent.asp?ID=494&CID=0
:‫ﻓﻬﺮﺳﺖ روشﻫﺎي آﻧﺎﻟﻴﺰ ﻣﻮاد‬
http://en.wikipedia.org/wiki/List_of_materials_analysis_methods
:‫ﺧﻼﺻﻪاي در ﻣﻮرد ﺗﺸﺨﻴﺺ آﻟﻮدﮔﻲﻫﺎ در ﻓﺮاﻳﻨﺪ ﻧﻴﻤﻪ ﻫﺎدي‬
http://www.nomura-nms.co.jp/english/product/analyze.html
:‫ﻣﻴﻜﺮوﺳﻜﻮپﻫﺎي ﻧﻮري‬
http://www.microscopeworld.com
:‫ ﺗﻮﻟﻴﺪ ﻛﻨﻨﺪه ﻣ ﻴﻜﺮوﺳﻜﻮپﻫﺎي آﻣﻮزﺷﻲ‬- ‫ ﺻﻨﻌﺖ اﭘﺘﻴﻚ اﺻﻔﻬﺎن‬- ‫ﺻﺎ اﻳﺮان‬
http://ioicivil.ir
:‫اﺳﻜﺘﺮوﻣﺘﺮي‬
http://www.ptb.de/mls/aufgaben/euvscatterometry.html
http://ib.ptb.de/8/84/841/SCATTERO/841scattere.html
: ‫ﻣﻴﻜﺮوﺳﻜﻮپ اﻟﻜﺘﺮوﻧﻲ روﺑﺸﻲ ﭘﮋوﻫﺸﮕﺎه ﻣﻮاد و اﻧﺮژي‬
http://nanolab.irannano.org/display_inst.php?id=81
:( ‫ﻃﺮز ﻛﺎر ﻣﻴﻜﺮوﺳﻜﻮپ اﻟﻜﺘﺮوﻧﻲ روﺑﺸﻲ )ﻓﺎرﺳﻲ‬
http://www.aftab.ir/articles/science_education/biology/c3c1181724398_electron_microscope_p1.php

:SEM ‫اﻧﻴﻤﻴﺸﻨﻲ از ﺳﺎﺧﺘﻤﺎن‬


http://www.mos.org/sln/sem/sem.mov
:‫ ﭘﮋوﻫﺸﮕﺎه ﻣﻮاد و اﻧﺮژي‬TEM
http://nanolab.irannano.org/display_inst.php?id=79
:AFM ‫درﺑﺎره‬
http://www.ngdir.ir/geolab/PGeoLabExp.asp?PID=2723
:VPD-AAS ‫ و‬SIMS ‫ﻣﻘﺎﻳﺴﻪ‬
http://wwwold.eaglabs.com/en-US/appnotes/archive/SurfaceSIMS-VPDAAS.pdf

585

You might also like