Download as docx, pdf, or txt
Download as docx, pdf, or txt
You are on page 1of 6

International Conference on Emerging Research in Electronics, Computer Science and Technology –

2015

Design and Evaluation of FinFET based digital


circuits for high speed ICs.
Raju Hajare, Dr .C.Lakshminarayana. Sunil.C, Sumanth, Anish.A.R

Abstract— The Delay and Speed plays a complementary The shrinking of the CMOS technology has been increased
role in ICs, as the delay decreases the speed increases and very aggressively with ultra-thin sizes[2].
vice-versa. The scaling of MOSFETs has resulted in This creates many significant challenges and reliability issues
reduction in size of ICs. As we scale down to nanometer in design which causes augmented process variations, SCEs,
regime, the Short Channel Effects (SCEs) of MOSFET power densities and leakage currents etc. Thus Inverter,
affects the system performance and reliability. Here in this NAND and NOR circuits are one of the essential parts of
paper we discuss on FinFET, which is an alternate digital system. The operations of such device are usually
MOSFET, through which the SCEs are reduced. The valued by taking its operation parameters like switching speed
performance analysis of FinFET based digital applications in terms of delay of operation and power consumption. Since
such as inverter circuit, NAND and NOR gates at 22nm the MOSFET’s failure at the nanometer regime beyond 32nm
and 14nm technology nodes is also discussed. The and our focus is on operations at the lower node technology
simulations are done using HSPICE. The results obtained such as 22nm and 14nm where alternative MOSFET called
for delay, average power dissipation and total power FinFET comes into picture and its performance must be
dissipation are so promising that FinFET will be an studied and we shall prove that it is the solution for
alternative to traditional MOSFET’s issues. The noise conventional MOSFET’s failure.
margin calculation of FinFET based Inverter circuit at
22nm and 14nm is also discussed. 2. MOSFET AND FINFET STRUCTURE
KEYWORDS : FinFET, PTM, Technology node, Power,
Delay, Noise margin. Metal Oxide Semiconductor Field Effect Transistor
(MOSFET) allowed us to build everyday advanced systems
such as Smart Phones, Laptops, etc., which is prior to the 22-

1 INTRODUCTION nm node. In 22-nm node further scaling down of MosFET has


become impossible due to increased SCEs, such as Drain
As Gordon Moore predicted, over the last three decades
Induced Barrier lowering (DIBL), Impact Ionization, Velocity
number of transistors in a single chip has been increased
saturation, Channel length modulation, Oxide breakdown, etc.
significantly from thousand to several billion. As a result of
Hence for advancements in 22-nm node most of the foundries
this, the advancements in technologies gave us high-speed
introduced new type of transistor called Fin Field Effect
multi-core processor technology, huge size memory devices,
Transistor (FinFET) [5-7]. To deliver small and fast IC’s with
etc. Yet, today’s emerging advanced robotic systems and
large computation capability, key requirements of transistor
embedded systems need higher speeds, smaller sized IC’s to
design are:
push boundaries of their performance and current IC
technologies are unable to deliver their requirement [1-3]. 1. High ON current (ION).
Hence development of such systems remains a challenge. To 2. Low OFF current (IOFF)
support development of such systems, it is necessary for IC 3. High switching speed.
technology to scale down the transistors and increases the
speed and performance.Every circuit consists of an inverter, To achieve these, other transistor options which will give the
NAND and NOR circuits. better performance, must be explored. That is the place where
Raju Hajare, is with department of Telecommunication Engineering, BMS
FinFET technology plays a pivotal role [8-9]. Fig.2.1 shows
Institute of Technology, Bangalore 560064,India.(e-mail:
rajuhajare@bmsit.in). the traditional MOSFET.
C. Lakshminarayana., is with department of Electrical and electronics
Engineering , BMS college of Engineering, Bangalore, India 560019 (e-mail:
lngp.eee@bmsce.ac.in)
978-1-4673-9563-2/15/$31.00 ©2015 IEEE

6
C. TECHNOLOGY NODES:
The 22-nanometer (22-nm) is the process step currently being
used. The typical half-pitch (i.e., half the dista. nce between
identical features in an array) for a memory cell using the
process is around 22 nm. The 14-nanometer (14 nm) node is
the technology node following the 22-nm (20 nm) node.

D. PREDICTIVE TECHNOLOGY MODEL:


The Predictive Technology Model (PTM) or PTM provides
accurate, customizable, and predictive model files for future
Fig.2.1: Structure of MOSFET[13].
transistor and interconnect technologies. These predictive
Fin Based Field Effect Transistor (FinFET) is a vertical model files are compatible with standard circuit simulators,
multiple-gate device that has been stated as a promising such as SPICE, and scalable with a wide range of process
candidate to substitute bulk CMOS technology for Very variations.
Large- Scale Integrated (VLSI) circuits, due to its higher
potentiality to push back the integration limits [10-12]. E. DEVICE PARAMETER CONSIDERATIONS:
Additionally, other advantages are mainly: lower short The design parameters are considered with respect to the PTM
channel effects impact, steeper sub-threshold slope and model files. Table 1 shows the design parameters we have
reduced variability influence. Here we are considering a employed for the circuit simulations in our present work.
Double Gate (DG) shorted gate (SG) FinFET to analyse the Table.1: Device parameter considerations [13].
digital circuits of Inverter, NAND and NOR gates. Fig.2.2
Shows Structure of FinFET PARAMETER 22nm FinFET 14nm FinFET
Gate Length (Lg) 22nm 14nm
Supply Voltage 0.9v 0.8v
Thickness of Fin 10nm 10nm
(TFIN)
Height of Fin 30nm 23nm
(HFIN)
Thickness of 1.4nm 1.3nm
oxide (TOX)

Technology nodes are defined based on the gate length as


the device is scaled down the supply voltage, oxide thickness
Fig.2.2 Structure of FinFET. and height of the fin is also scaled down to meet the
requirements and to avoid the velocity saturation factor. The
3.TECHNICAL CONSIDERATIONS. design considerations are done on the basis of the geometry
description of FinFET device structure. Fig.3.1 shows the
The concepts and considerations to be known prior to geometry description of FinFET.
understand the Inverter, NAND and NOR gates are as follows.

A. HSPICE SIMULATION SOFTWARE:


The circuit simulations are done using HSPICE. It is an
optimizing analog circuit simulator. Which we have used it to
simulate electrical circuits in transient domain. It is best suited
for fast, accurate circuit and behavioural simulation. It
facilitates circuit-level analysis of performance.

B. COSMOSSCOPE SOFTWARE:
CosmosScope software program can help HSPICE simulator
to generate the output waveform. This is because Fig.3.1: Geometrical Description of FinFET[13].
CosmosScope program can support the entire Synopsys
simulator. The data that are received from the other The important parameter and equations through which the
programme can be turn to the useful information in Cosmos device parameter considerations:
Scope.

63
HFIN: Height of the To ensure a stable operation of the inverter, its noise margins
fin. WFIN: Width of NMH and NML need to be sufficiently positive. The noise
the fin. margin of a logic gate is directly related to the reliability and
LSD: Length of the source and robust operation of the logic circuit. The fig.3.2 represents the
drain. WSD: Width of the source method of calculating the Noise Margin for Inverter circuit.
and drain. LG: Length of the Gate.
Lext: Length of the source and drain extension.
Effective width (Weff) of the device is given
by,
Weff = 2HFIN + WFIN
And effective length of the channel is given by,
Leff = LG-26LG
Where, 6LG is the gate under lap length.

3.1 POWER:
Power consumption and dissipation is one of the major
performance metric of digital applications[10]. The power
Fig3.2: Graphical calculation of Noise Margin for FinFET
consumed by the FinFET based digital circuits at 22nm and
based Inverter circuit [13].
14nm technology nodes are studied here. Two kinds of power
are introduced, Average power and Total power. The former
4. FINFET BASED DIGITAL APPLICATIONS
represents the power calculated in an interval of 200ns,
whereas later represents the power calculated during an entire
Inverter, NAND and NOR gates are essential component in
cycle of operation.
any device circuits. According to International Technology
Roadmap For Semiconductors (ITRS), in current world’s
3.2 DELAY:
scenario 94% of chip area is occupied by memory where
The delay of the FinFET based digital circuit can be measured
switching operations are carried out by the circuits numerous
in terms of the rise and fall time delay of the circuit [10].
times. This demands the circuits to operate in high speed and
DELAY = (Rise time + Fall time) / 2
also power consumption of embedded memory circuits to be
reduced. Lowering the supply voltage is very effective in
3.3 NOISE MARGIN (NM):
power saving but scaling down the power supply using
To ensure the proper operation of the digital circuit, one has to
conventional MOSFET is an issue due to SCE. Hence,
take into account some stability considerations. In particular,
FinFET can be used as an alternative to scale down the power
the Noise Margin (NM) of an inverter is an important figure
supply.
for the stability of the digital circuits. Noise margin was
originally defined as “the maximum allowable spurious signal
that can be accepted by a device when used in a system while
5. RESULTS AND DISCUSSIONS
still giving correct operation” [4].For an inverter, it is possible
to define the high and low voltages V OH and VOL, where VOH is
First the SPICE model for FinFET based circuits is
the minimum output high voltage and V OL is the maximum
developed then needed alterations are done on the PTM model
output low voltage. Furthermore, one can define the transition
file according to our design and the SPICE model is simulated
points VIH and VIL, where VIH is the minimum input high
to obtain the necessary results. The parameters which we
voltage that can be treated as a high voltage at the input of an
focus to measure are delay, average and maximum power
inverter and
consumption. The Noise Margin for Inverter circuit is
VIL is the maximum input low voltage that can be treated as a
measured. The simulated results are recorded and tabulated
low voltage at the input of an inverter. If one has an inverter
with necessary graphical plots. The performance variations
satisfying the relationships
can be easily observed and studied here. Results obtained for
VIN ≤ VIL ‹ VOUT ≤
delay, NM, average and maximum power consumption are
VOH VIN ≤ VIH ‹
better than others.
VOUT ≤ VOL VIH > VIL
With VIN being the input voltage and V OUT the output voltage The 22nm model circuit is provided with a supply voltage of
of the inverter, then the high- and low-state noise margins 0.9V and the 14nm model circuit with 0.8V, similarly all
(NMH and NML, respectively) can be defined mathematically other parameters necessary to be scaled down are done with
as respect to the design consideration table described in the
NMH = VOH – VIH Table 1.
NML = VIL – VOL

6
5.1 FINFET BASED INVERTER RESULTS: Fig.5.1c shows bar graph for inverter based results at 22nm
Table.2 shows simulation results for FinFET based Inverter and 14nm
circuit. The noise margin calculations are made and are
tabulated below.

Table.2: Simulation Results for FinFET based Inverter.ps-


PICO SECONDS, uw- MICRO WATTS

PARAMETER 22nm FinFET 14nm FinFET


Inverter Inverter
Delay 45.4625 ps 74.0142 ps
Avg Power 4.5646 uW 3.2797 uW
Max Power 65.5722 uW 28.0496 uW
Noise Margin low 670mV 550mV
(NML)
Noise Margin high 800mV 700mV
(NMH)
Fig.5.1c: Bar graph chart for FinFET Inverter.
Fig.5.1a and Fig.5.1b shows the output waveform obtained for
FinFET based Inverter circuit at 22nm and 14nm.
5.2. FINFET BASED NAND GATE RESULTS:
Table.3 shows simulation results for FinFET based NAND
circuit. Fig. 11 and 12 shows the simulated waveforms of
FinFET based NAND gate at 22nm and 14nm respectively

Table.3: Simulation Results For Finfet Based Nand Circuit.

PARAMETER 22nm FinFET 14nm FinFET


NAND NAND
Delay 42.4052 ps 72.2176 ps

Avg Power 119.2689 nW 85.2171 nW

Max Power 117.8822 uW 35.2913 uW


Fig.5.1a: Simulated waveform of FinFET based Inverter at
22nm.

Fig.5.1b: Simulated waveform of FinFET based Inverter at Fig.5.2a: Simulated waveform for NAND circuit at 22nm.
14nm.

65
Fig.5.2b: Simulated waveform for NAND circuit at 14nm. Fig.5.3.a: Simulated waveform for NOR circuit at 22nm.

Fig.5.2c shows bar graph for NAND circuit at 22nm and


14nm.

Fig.5.3.b: Simulated waveform for NOR circuit at


14nm.

Fig.5.3.c shows bar graph for FinFET based NOR circuit at


Fig.5.2c: Bar graph chart for FinFET based NAND circuit. 22nm and 14nm.

5.3. FINFET BASED NOR GATE RESULTS:

Table.4 shows simulation results for FinFET based NAND


circuit. Fig. 5.3.a and 5.3.b shows the simulated waveforms of
FinFET based NOR circuit at 22nm and 14nm respectively.

Table.4: Simulation Results for FinFET based NOR Circuit.

PARAMETE 22nm FinFET 14nm FinFET


R NOR NOR
Delay 51.0154 ps 86.2274 ps

Avg Power 123.9511 nW 87.2265 nW

Max Power 67.1310 uW 32.311 uW


Fig.5.3.c: Bar graph chart for FinFET based NOR circuit.
The results obtained for each of the digital application at system trade-off is a concept in which a system trade any of the
14nm and 22nm of FinFET shows a system trade-off. The parameter such as delay, power and area in order to obtain
better results. [9]. Alexei Nazarow, J. P. Colinge et al (2011). Semiconductor-On- Insulator
As we scale down the device from 22nm to 14nm the area Material for Nanoelectronics Applications, Springer Heidelberg Dordrecht,
covered by the device is reduced, the power consumption London.
[10].Jerry G. Fossem, Vishal P. Trivedi, “Ultra-Thin-Body MOSFETs and
hence the power dissipation is also reduced but the delay in
FinFETs”,Cambridge University Press, 2013.
the operation of the device has increased at the 14nm node. [11].Ajay Nuggehalli bhoj, “Device-Circuit Co-Design Approaches for Multi-
This is very minor issue because the system reliability and the Gate FET Technologies”, Princeton University, 2013.
performance has improved because of this trade off and the [12]Jerry G. Fossem, Vishal P.Trivedi, “Ultra-Thin-Body MOSFETs a
FinFETs”, Cambridge University Press, 2013
tolerant capacity of the device is also improved
[13]. Raju Hajare , ”Performance Analysis of FinFET Based Inverter circuit,
NAND and NOR Gate at 22nm and 14nm Node technologies ”International
6. CONCLUSION Journal on recent and innovation trends in computing and
communication”ISSN:2321-8169,Vol-3,Issue-5,May-2015.

Simulation results for FinFET based digital application at [14]. Raju Hajare,” Performance enhancement of FINFET and CNTFET at
different node technologies” in Microsystem Technologies Micro- and Nano
22nm and 14nm are studied here. The short channel effects Systems Information Storage and Processing Systems, Springer, ISSN 0946-
which were faced by the MOSFET devices, hence failing to 7076, MicrosystTechnol, DOI 10.1007/s00542-015-2468-9,volume 21,
number 4,April 2015.
operate at lower technology nodes has overcome by the
FinFET. The results obtained shows that the FinFET’s [15]Raju Hajare*,Dr.C.Lakshminarayan**, Mallikarjunagowda.C.P*
application on the nanoscale devices has improved. The ,.Dr.Cyrilprasannaraj*** Comparative Performance analysis of Bulk
MOSFET Vs FINFET, National conference on Nano Science and Nano
values obtained for noise margin for FinFET based inverter in
Technology,11th Oct-2103,PP 122-126
our study proves that the circuit has better reliability and
tolerant capacity. Hence FinFET is a promising candidate in
the current and future high speed IC’s fabrication process and
the modern devices can possess FinFET based circuits and AUTHORS BIOGRAPHY
perform better with more features, with more speed and low
Raju Hajare received B.E degree in
power consumption and dissipation. Electronics and Communication Engineering
from Mysore University, India. He did his
ACKNOWLEDGE M.Tech in the field of Power Electronics
The authors acknowledge BMSIT for the Infrastructure and from Visvesvaraya Technological University,
Encouragement. India. He is currently working as a Associate Professor in Tele
Communication Department of BMS Institute of Technology,
REFERENCES Bangalore. His areas of interest are Semiconductor Devices,
[1].E. F. Schubert, “Scaling of MOSFETs” Rensselaer Polytechnic Nanoelectronics and NEMS. He has Published research papers
Institute, 2003. in reputed international Journals and presented papers at
[2].T.-J. King, "FinFETs for nanoscale CMOS digital integrated circuits," in
different international Conferences.
Proc. Int. Conf. Computer-Aided Design, pp. 207-210, Nov. 2005.
[3].Vishal Trivedi, Jerry G. Fossum, and Murshed M. Chowdhury,“Nanoscale Dr.Lakshminarayana.C received his Ph.D
FinFETs With Gate-Source/Drain Underlap” IEEE electron Devices, VOL. from Anna University, India. He is currently
52, NO. 1, JANUARY 2005. working as a Professor in Department of
[4]. Stijn De Vusser, Jan Genoe, Paul Heremans, "Influence of Transistor Electrical and Electronics Engineering, BMS
Parameters on the Noise Margin of Organic Digital Circuits", IEEE College of Engineering, Bangalore. His areas
TRANSACTIONS ON ELECTRON DEVICES, VOL. 53, NO. 4, APRIL of interest are Power Systems, Power Electronics
2006.
Nanoelectronics. He has presented papers at different
[5].J.-P. Colinge, "The SOI MOSFET: From single gate to international Conferences and published papers in reputed
multigate," in FinFETs and Other Multi-Gate Transistors, 1st ed., J.- Journals.
P. Colinge, Ed., New York, Springer, 2008, pp. 1-48.
[6]. Mirko Poljak, Vladimir Jovanoviæ, and Tomislav Suligoj “SOI vs. Bulk
FinFET: Body Doping and Corner Effects Influence on Device
Characteristics”, IEEE 2008.
[7].Y.Omura, S.Cristovoveanu, F. Gamiz, B-Y. Nguyen (2009), Advanced
FinFET Devices for sub-32nm Technology Nodes: Characteristics and
Integration Challenges, In proceedings of SOI Technology and Devices 14,
Issue 4, pp.45–54.
[8]. Nirmal,Vijayakumar and Sam Jabaraj (2010), Nand Gate using FINFET
for Nano-Scale Technology, In International Journal of Engineering Science
and Technology, Vol. 2(5), pp-1351-1358.

You might also like