Mehrpoo2019 PDF

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 5

Benefits and Challenges of Designing Cryogenic

CMOS RF Circuits for Quantum Computers


M. Mehrpoo∗ , B. Patra∗ , J. Gong∗ , P. A. ’t Hart∗ , J. P. G. van Dijk∗ , H. Homulle∗ , G. Kiene∗ ,
A. Vladimirescu† , F. Sebastiano∗ , E. Charbon∗§¶ , M. Babaie∗
∗ Delft University of Technology, Delft, The Netherlands † University of California, Berkeley, CA
§ EPFL, Lausanne, Switzerland ¶ Intel, Hillsboro, OR

m.mehrpoo@ieee.org

Abstract—Accurate and low-noise generation and amplifica-


tion of microwave signals are required for the manipulation
ADC

MUX
and readout of quantum bits (qubits). A fault-tolerant quan- LNA
tum computer operates at deep cryogenic temperatures (i.e., LOI/Q
READOUT
<100 mK) and requires thousands of qubits for running practical

Digital Control
(ASIC/FPGA)
Quantum Processor
quantum algorithms. Consequently, CMOS radio-frequency (RF)
LOI/Q Phase
integrated circuits operating at cryogenic temperatures down Detector
to 4 K (Cryo-CMOS) offer a higher level of system integration
and scalability for future quantum computers. In this paper, we Frequency Synthesizer
extensively discuss the role, benefits, and constraints of Cryo- CONTROL LOI/Q
CMOS for qubits control and readout. The main characteristics

DEMUX
of the CMOS transistors and their impacts on RF circuit designs Driver DAC
are described. Furthermore, opportunities and challenges of low Pprobe
noise RF signal generation and amplification are investigated. 1-4K
20-100mK 300K
I. I NTRODUCTION
Fig. 1. Block diagram of a cryo-CMOS circuit for qubits readout and control.
Quantum computing promises to solve specific compu-
tational problems exponentially faster than any imaginable multiple RF and DC cables per qubit, which are each con-
digital computer. These problems include DNA analysis, effi- nected to room temperature laboratory instruments [6], [7].
cient search in gigantic data sets concerning medical research, However, more than 100 logical qubits are needed to reach
consumer behavior and financial markets [1], and optimization quantum supremacy or solve a quantum chemistry problem
of materials and industrial chemical processes [2]. Quantum even with the most straightforward quantum algorithms [8].
mechanics permits a particle to exist in a superposition state This indicates the need for thousands or millions of phys-
and be entangled to physically separated systems. These phe- ical qubits by considering the redundancy added by QCE
nomena are exploited by quantum machines to simultaneously schemes, such as surface codes [5]. Consequently, for the
produce rich configuration states and highly correlated behav- fault-tolerant quantum computer with millions of qubits, indi-
ior to tackle classically intractable computational problems. vidually connecting each qubit to a room-temperature off-the-
A quantum computer operates by processing the information shelf electronics becomes challenging, if not impossible, due
stored in quantum bits (qubits), the states of which is repre- to the utter interconnect complexity, poor system reliability,
sented using Dirac’s notation, as a superposition of |0i and |1i and cost. A more promising approach is to integrate the
states. Although qubits usually operate at deep cryogenic tem- readout and control circuitry in a standard CMOS technology
peratures, their coherence time and gate fidelity are typically operating at cryogenic temperatures (cryo-CMOS), leading to
not sufficient to be used as computational qubits directly [3], a significant reduction of form factor, power consumption,
[4]. It is, however, possible to perform fault-tolerant quantum and system cost/complexity and most importantly enabling
computing by exploiting quantum error correction (QCE), scalability [9]–[11]. This approach significantly improves the
which encodes the information in a logical qubit constructed latency of the QEC loop by reducing the round-trip delay of
from a collection of physical qubits [5]. cables and filters, which is considerable with respect to the
Performing operations on the qubits requires a classical qubit operation time.
electronic controller for manipulating the qubits and reading Qubit control and readout require generation and acquisition
out their quantum state. Currently, state-of-the-art quantum of specific RF signals. For instance, for control of transmons
processors contain only a few qubits (<20 qubits) and require (superconducting qubits), a 4-8 GHz microwave signal with a
duration of <1µs must be applied [12], [13], and for their state
This work was supported by Intel Corporation. readout, the resonant frequency of a microwave resonator that

978-1-7281-0397-6/19/$31.00 ©2019 IEEE


(a) (b) (c) (d)

VDD

POUT
RL

Pin

Vb

(e) (f) (g) (h)

Fig. 2. (a)-(g) DC characterization and translated parameters of an NMOS transistor (W/L = 1.2 µm/40 nm) at 300 K and 4 K, (h) a class-A power amplifier.

is strongly coupled to the qubit is measured. Consequently, as while no change in gate capacitance (gate oxide/metallization
can be gathered from Fig.1, the simplified block diagram of variation) points to an increase in device transit frequency (fT )
the Cryo-CMOS controller comprises several RF blocks and [17]. Fig. 2(d) which shows the rout of the devices at RT and
closely resembles a wireless transceiver. 4 K, suggesting that the rout decreases by a factor of 2 in the
In this paper, we focus on the benefits, constraints, and strong inversion.1 Finally, from Fig. 2(c) and Fig. 2(d), one can
challenges of designing cryogenic CMOS RF circuits. The infer that there is no increase in the intrinsic gain of the device
primary characteristics of CMOS transistors and their impacts at 4 K compared to RT, as shown in Fig. 2(f).
on RF circuit designs are described in Section II. Section III After analyzing the effect of device parameter variations,
and IV focuses on the design opportunities and challenges of let’s consider the design example of a highly linear class-
the implementation of two fundamental blocks present in the A Cryo-CMOS (power) amplifier, as shown in Fig. 2(h). The
system diagram in Fig. 1, i.e., readout circuits and frequency voltage gain of such an amplifier is governed by
synthesizers, respectively. Conclusions are drawn in section V. gm
Av = gm × RL = × (RL .ID ) (1)
II. C RYO -CMOS CHARACTERIZATION AND IMPACT ON RF ID
CIRCUIT DESIGN where RL (RL << rout ) is the load resistance seen by the
DC characterization results of 40-nm CMOS devices, shown amplifier and is fixed by the matching network, ID is the
in Fig. 2(a)-(c), can be translated into design-oriented param- current of the amplifier and is determined by the output power
eters in Fig. 2(d)-(g), to analyze how cryogenic CMOS affects (POU T ) required to drive the qubits. As can be gathered from
RF circuit design choices and strategies. From the ID -VGS Fig. 2(g), in order to obtain the best linearity, the device should
curves shown in Fig. 2(a), it can be observed that the threshold be biased in the strong inversion region at an overdrive voltage
voltage at 4 K increases by almost 100 mV compared to room of ∼0.25 V, for both 4 K and RT. The device can then be sized
temperature (RT), due to an increase in ionization energy [14] based on the calculated load current and overdrive voltage.
[15] [16]. This presents a challenge for voltage headroom, From Fig. 2(e)), it can be observed that in the moderate and
especially in case of stacked transistor-based circuit topologies strong inversion, the gm /ID remains almost the same over
with demanding high linearity requirements. Hence, there is an temperature. Consequently, the voltage gain of the amplifier
urge to use low VT H devices and constant current biasing. As would be the same over temperature, for large signal operation
shown in ID -VDS curves of Fig. 2(b), there is an increase in where linearity is crucial. However, if the linearity require-
the current driving capability of the device mainly caused by ments are more relaxed, in blocks such as readout low noise
an increase in mobility of the devices at 4 K due to a decrease amplifiers, it is suggested to bias the transistor towards the
in electron scattering [15]. weak-inversion, where gm /ID is higher at 4 K, and thus the
Fig. 2(c) shows the transconductance (gm ) as a function of circuit can be more power efficient at cryogenic temperatures.
VGS , suggesting that there is an increase in gm by almost a 1 To ensure the same operating region, the plots are made with the same
factor of 2 in the strong inversion region. An increase in gm , overdrive voltage (hence 100 mV increase in VGS at 4 K).
III. C RYOGENIC R EADOUT probe

pulse amp.
signal gen.
digital readout chain

qubits state
High-fidelity readout of qubits is an essential element of matched amplification
filters downconv.
AWG

ωr1

ωr,n
any superconducting-based quantum computer, imposing strin- ADC
300K
gent requirements on the noise performance of the readout
chain [12], [13]. Moreover, a promising scalable readout Tpulse HEMT ~4K
20dB LNA stage
solution relies on the frequency multiplexing of many qubits, time
that would require circuits with GHz-range amplification band- supercond.
50mK

attenuator
Quantum Chip parametric
width and large dynamic range [18], [19]. stage
readout feedline amplifier
The readout of superconducting qubits, as schematically
30dB
depicted in Fig. 3, is typically implemented by dispersively

Port 2
Port 1
coupling each qubit to individual frequency multiplexed res- high-Q 0
readout

S21(dB)
onators, which are capacitively coupled to a common feedline.
resonator ωr1 ωr,n
During the readout operation, the feedline is probed with a
ωr1 ωr,n
short (100–300 ns [12], [13], [18]–[21]) weak RF pulse with super-

zoomed
the same frequency as the qubit, which is typically within 4– conducting
Qubits ωQ1 ωQ,n
8 GHz. Due to the qubit-state-dependent frequency shift [19], |0 |1
the notch in the feedline transmission curve (S21 ) gets slightly ωr1 ω +Δ
(a) r1 1 (b)
shifted causing a state-dependent phase shift of the output
pulse, as shown for Q1 in Fig. 3(b). To be able to detect Fig. 3. (a) Schematic of a typical frequency-multiplexed readout scheme for
superconducting qubits, with the readout electronics at RT. (b) an example
this phase modulated signal with a power level of around transmission spectra and the qubit-state-dependent frequency shift.
-130 dBm [20], the output signals should be amplified by
around 120 dB in a few stages before downconversion to realization of LNAs with a few Kelvin noise temperature
a relatively low-intermediate frequency (IF) and digitization requirements [10]. Consequently, to be able to break the
over a large bandwidth. Each carrier of the digitized signal noise-power consumption trade-off of the active devices and
is then filtered with a matched filter to provide near optimal benefit from the cryogenic operation, alternative RF CMOS
signal-to-noise ratios. amplification techniques such as parametric amplifiers need to
To be able to achieve high-fidelity (>99% [13], [18]– be investigated.
[20]) readout, many recent developments [12], [13], [18]– A parametric amplifier utilizes a nonlinear capacitance or
[21] rely on a superconducting parametric amplifier, operating inductance together with a relatively large amplitude pump
at the base temperature close to the qubits, followed by a signal to provide amplification of the desired signal [28]. The
high-electron-mobility transistors (HEMT) low-noise ampli- operation principle can be intuitively explained by assuming a
fier (LNA), operating at 4 K. State-of-the-art superconducting simple time-invariant nonlinear response between the amplifier
3
parametric amplifiers [22], [23] have shown broad bandwidths, output (vout ) and its input (vin ), where vout = vin +a3 vin . By
a typical gain of 20 dB, and noise temperatures close to the applying the desired signal (As cos(ωs t)) and the pump signal
quantum limit (e.g., 0.336 K at 7 GHz), making them crucial (Ap cos(ωp t)) to the amplifier input, a component proportional
components for multiplexed, high-fidelity readout operations. to a3 A2p As appears at the frequency of the desired signal. Hav-
The HEMT LNAs, however, have 10× larger noise tempera- ing sufficient nonlinearity and a large pump signal amplitude,
tures (e.g., the commercial LNA in [24], which is widely used an amplification of ∝ a3 A2p can be achieved. As the noise of
in the state-of-the-art readout setups [12], [13], [18], [19], has reactive components is directly proportional to their loss and
the best noise temperature of 2.2 K over 4 to 8 GHz range with the ambient temperature, the parametric amplifier can be a
a power consumption of 7.7 mW). promising candidate for low-noise amplification at cryogenic
To be able to integrate the whole readout chain in a temperatures.
deep-submicron CMOS technology, the applicability of low- The aforementioned superconducting parametric amplifiers
power RF CMOS LNAs at cryogenic temperatures has to be typically rely on a nonlinear extremely-low-loss junction that
investigated. Besides the analog/RF characteristics improve- operates similar to an inductor [22], which is currently not
ment at cryogenic temperatures mentioned in section-II, the available in bulk CMOS processes. Nonlinear varactors have
minimum noise temperature has been also reported to improve thus been employed by a few works [29]–[31] to achieve a
by approximately 10× in 32-nm SOI CMOS [25] at 6 K. This parametric gain in CMOS, where only [31] has demonstrated
might be surprising since a more significant change is expected RF parametric amplification using inductors and varactors. The
as the temperature has reduced by 50×. This has been recently implementation in [31] is still based on an active LNA preced-
explained by observing that the channel noise in nanoscale ing the parametric amplifier and has a limited 1dB-bandwidth
CMOS (with LCH <100 nm) is dominated by the suppressed of around 400 MHz, and, more importantly, it is sensitive to
shot noise (Sid = 2qIDS F ) in the medium to strong inversion the phase difference between the desired and pump signals,
regime [26], [27]. Such saturation of noise improvement versus making it impractical for a multiplexed readout. Thus, several
temperature can lead to excessive power consumption in the hurdles must be overcome to fully benefit from parametric
In order to mitigate the effect of the increase in the 1/f 3
101 corner, one needs to resort to oscillator topologies with low
flicker noise upconversion. It is well-known that the flicker
Resistivity [10-9 Ω.m]

100 noise upconversion in an LC oscillator significantly reduces


if the common-mode of the circuit also resonates at twice
10-1 the oscillation frequency [37], [38]. However, it is not trivial
to adapt this technique for cryogenic oscillators where the
10-2 value of single-ended capacitance significantly varies due to
1 10 100 300
(a) Temperature [K] (b) Supply Voltage [V] substrate freeze out. Consequently, this issue calls for a new
digital calibration loop to automatically adjust the common-
Fig. 4. (a) Copper resistivity versus temperature, (b) measured frequency of
an ring oscillator versus supply voltage taken from [14]. mode resonance of the oscillator at its optimum point.
amplification in Cryo-CMOS, including the availability of B. Performance of Cryo-CMOS Phase Detectors
GHz-range bandwidth, phase-insensitive operation and avoid- The in-band PN of an all-digital PLL (ADPLL) is usually
ing preceding active LNAs. Although this will be challenging, determined by a time resolution of a time-to-digital converter
we truly believe that adapting parametric amplifiers in cryo- (TDC) [39]. To achieve the target in-band PN, the TDC
CMOS for qubit readout is the most promising approach. resolution has to be finer than 1 ps even when considering
IV. C RYOGENIC F REQUENCY G ENERATION a reference frequency (FREF) as high as 100 MHz.
The TDC core is usually based on a digital delay line,
In order not to degrade the fidelity of a single qubit gate,
whose time resolution is equal to an inverter propagation
the integrated frequency noise (FN) of the control signal
delay (∼10 psec in 40-nm CMOS at RT). Fig. 4 (b) shows
should be <1.9 kHz [32]. This requirement directly translates
the measured oscillation frequency of a ring oscillator versus
to -115 dBc/Hz in-band phase noise (PN) for a phase-locked
different supply voltages for both 300 K and 4 K [14]. The
loop (PLL) and an out-of-band PN of -147 dBc/Hz at a
oscillation frequency increases by ∼36% due to the higher
10 MHz offset from a 6 GHz carrier for an RF oscillator [10].
current driving capability of the transistors at 4 K, resulting in
Achieving such a stringent PN is extremely challenging even
∼6-7 psec for the inverter delay. Despite this improvement in
when considering the thermal noise reduction of active and
time resolution, to satisfy the in-band PN requirement, there is
passive devices at cryogenic temperatures.
a need for sub-gate-delay resolution for TDCs. Furthermore,
A. Performance of Cryo-CMOS RF Oscillators the current mismatch of CMOS transistors also increases by
The quality factor of passive components such as inductors, ∼1.2× at cryogenic temperatures as shown in [16]. As a
and capacitors improves by reducing temperature due to the result, the TDC nonlinearity becomes much severer at 4 K, thus
reduction of metallization and substrate losses. However, at degrading PLL’s jitter and spurious tone emissions. Therefore,
some point, as shown in Fig. 4 (a), due to the impurities and innovative PLL architectures (i.e., injection-locked structure
crystallographic defects in metal layers, the resistivity of the in [40], [41]) associated with intensive digital calibration
metals, and hence the Q-factor of passives do not improve by techniques are extremely required at cryogenic temperatures
further reducing the temperature [33]. Besides, the Q-factor of to tackle the challenges mentioned above.
an LC tank also depends on the loss of switched capacitors V. C ONCLUSION
used for frequency tuning. As can be gathered from Fig. 2 (d),
the transistor’s on-resistance and thus Q-factor of switched Designing CMOS radio-frequency integrated circuits at
capacitors just improves by 2×. On the other hand, the channel cryogenic temperatures is crucial to provide large scale in-
noise of MOSFETs in deep sub-micron processes is mainly tegration, required to go from today’s small number (∼20) of
determined by the shot noise, which just scales ∼10× from qubits to the thousands of qubits needed in practical quantum
300 K to 4 K as stated in the previous section. Consequently, algorithms. At cryogenic temperatures, RF designers can take
we expect that the phase noise of an oscillator in the thermal advantage of higher current driving capability, transconduc-
noise region reduces by ∼16 dB (10 dB due to channel noise tance and the maximum power gain of CMOS transistors.
reduction and 6 dB due to the tank Q-factor improvement), However, they require a new set of CMOS device models to
which is in line with the measurement results in [10]. exploit those advantages, while at the same time satisfying
The flicker noise of an NMOS transistor is mainly due the extremely demanding requirements for quantum-processor
to the carrier-density fluctuation, caused by the capture and control and readout at a very limited power budget. Designers
emission of carriers at interface traps [34]. At cryogenic also have to face unwanted degradation in device parameters,
temperatures, the dopants freeze-out and the free carriers such as higher threshold voltage, and lower output resistance.
are mainly contributed by the negligible intrinsic electron- Furthermore, transistors’ self-heating, shot noise and flicker
hole-pairs, increasing the flicker noise [35], [36]. Hence, the noise can deteriorate both the noise figure of the read-out
flicker noise corner of RF oscillators can go beyond the PLL chain and timing of the control signals. Consequently, new
bandwidth at cryogenic temperatures, significantly degrading design structures and strategies are required to tackle the
PLL’s jitter and integrated FN. abovementioned issues.
R EFERENCES [21] C. C. Bultink, M. Rol, T. OBrien, X. Fu, B. Dikken, C. Dickel,
R. Vermeulen, J. de Sterke, A. Bruno, R. Schouten et al., “Active
resonator reset in the nonlinear dispersive regime of circuit QED,”
[1] L. K. Grover, “A fast quantum mechanical algorithm for database Physical Review Applied, vol. 6, no. 3, p. 034008, 2016.
search,” in In Proceedings of the twenty-eighth annual ACM symposium [22] C. Macklin, K. OBrien, D. Hover, M. Schwartz, V. Bolkhovsky,
on Theory of computing, 1996, pp. 212–219. X. Zhang, W. Oliver, and I. Siddiqi, “A near–quantum-limited josephson
[2] R. P. Feynman, “Simulating physics with computers,” Int. J. Theor. traveling-wave parametric amplifier,” Science, vol. 350, no. 6258, pp.
Phys., vol. 21, pp. 467–488, 1982. 307–310, 2015.
[3] E. Kawakami, P. Scarlino, D. R. Ward, F. R. Braakman, D. E. Savage, [23] T. Roy, S. Kundu, M. Chand, A. Vadiraj, A. Ranadive, N. Nehra, M. P.
M. G. Lagally, M. Friesen, S. N. Coppersmith, M. A. Eriksson, and Patankar, J. Aumentado, A. Clerk, and R. Vijay, “Broadband parametric
L. M. K. Vandersypen, “Electrical control of a long-lived spin qubit in amplification with impedance engineering: Beyond the gain-bandwidth
a si/sige quantum dot,” Nature Nanotechnology, vol. 9, pp. 666 EP –, product,” Applied Physics Letters, vol. 107, no. 26, p. 262601, 2015.
08 2014. [24] LNF–LNC4 8C: 4-8 GHz Cryogenic Low Noise Amplifier, Low Noise
[4] L. DiCarlo, J. M. Chow, J. M. Gambetta, L. S. Bishop, B. R. Johnson, Factory, 2018.
D. I. Schuster, J. Majer, A. Blais, L. Frunzio, S. M. Girvin, and R. J. [25] A. Coskun and J. Bardin, “Cryogenic small-signal and noise perfor-
Schoelkopf, “Demonstration of two-qubit algorithms with a supercon- mance of 32nm SOI CMOS,” in Microwave Symposium (IMS), 2014
ducting quantum processor,” Nature, vol. 460, pp. 240 EP –, 06 2009. IEEE MTT-S International. IEEE, 2014, pp. 1–4.
[5] A. G. Fowler, M. Mariantoni, J. M. Martinis, and A. N. Cleland, “Surface [26] J. Wang, X.-M. Peng, Z.-J. Liu, L. Wang, Z. Luo, and D.-D. Wang,
codes: Towards practical large-scale quantum computation,” Phys. Rev. “Observation of nonconservation characteristics of radio frequency noise
A, vol. 86, p. 032324, Sep 2012. mechanism of 40-nm n-MOSFET,” Chinese Physics B, vol. 27, no. 2,
[6] “IBM builds its most powerful universal quantum com- p. 027201, 2018.
puting processors,” 2017. [Online]. Available: http://www- [27] X. Chen, C.-H. Chen, and R. Lee, “Fast evaluation of the high-frequency
03.ibm.com/press/us/en/pressrelease/52403.wss. channel noise in nanoscale MOSFETs,” IEEE Transactions on Electron
[7] J. Kelly et al., “State preservation by repetitive error detection in a Devices, vol. 65, no. 4, pp. 1502–1509, 2018.
superconducting quantum circuit,” Nature, vol. 519, pp. 66 EP –, 03 [28] R. E. Collin, Foundations for microwave engineering. John Wiley &
2015. Sons, 2007.
[8] D. Wecker, B. Bauer, B. K. Clark, M. B. Hastings, and M. Troyer, “Gate- [29] S. Ranganathan and Y. Tsividis, “A MOS capacitor-based discrete-time
count estimates for performing quantum chemistry on small quantum parametric amplifier with 1.2 V output swing and 3 µW power dissi-
computers,” Phys. Rev. A, vol. 90, p. 022305, Aug 2014. pation,” in Solid-State Circuits Conference, 2003. Digest of Technical
[9] E. Charbon et al., “Cryo-CMOS circuits and systems for scalable Papers. ISSCC. 2003 IEEE International. IEEE, 2003, pp. 406–502.
quantum computing,” in in IEEE Int. Solid-State Circuits Conf. (ISSCC) [30] A. Yoshizawa and S. Iida, “A gain-boosted discrete-time charge-domain
Dig. Tech. Papers, 2017, pp. 212–219. FIR LPF with double-complementary MOS parametric amplifiers,” in
[10] B. Patra et al., “Cryo-CMOS circuits and systems for quantum comput- Solid-State Circuits Conference, 2008. ISSCC 2008. Digest of Technical
ing applications,” IEEE Journal of Solid-State Circuits, vol. 53, no. 1, Papers. IEEE International. IEEE, 2008, pp. 68–596.
pp. 309–321, Jan 2018. [31] W. Lee and E. Afshari, “A CMOS noise-squeezing amplifier,” IEEE
Transactions on Microwave Theory and Techniques, vol. 60, no. 2, pp.
[11] F. Sebastiano et al., “Cryo-CMOS electronic control for scalable
329–339, 2012.
quantum computing,” in in 54th ACM/EDAC/IEEE Design Automation
[32] J. P. van Dijk, E. Kawakami, R. N. Schouten, M. Veldhorst, L. M.
Conference (DAC), 2017, pp. 1–6.
Vandersypen, M. Babaie, E. Charbon, and F. Sebastiano, “The im-
[12] C. C. Bultink, B. Tarasinski, N. Haandbæk, S. Poletto, N. Haider, pact of classical control electronics on qubit fidelity,” arXiv preprint
D. Michalak, A. Bruno, and L. DiCarlo, “General method for extracting arXiv:1803.06176, Mar 2018.
the quantum efficiency of dispersive qubit readout in circuit QED,” [33] J. Ekin, Experimental techniques for low-temperature measurements:
Applied Physics Letters, vol. 112, no. 9, p. 092601, 2018. cryostat design, material properties and superconductor critical-current
[13] T. Walter, P. Kurpiers, S. Gasparinetti, P. Magnard, A. Potočnik, testing. Oxford university press, 2006.
Y. Salathé, M. Pechal, M. Mondal, M. Oppliger, C. Eichler et al., “Rapid [34] J. Chang, A. Abidi, and C. Viswanathan, “Flicker noise in CMOS tran-
high-fidelity single-shot dispersive readout of superconducting qubits,” sistors from subthreshold to strong inversion at various temperatures,”
Physical Review Applied, vol. 7, no. 5, p. 054020, 2017. IEEE Transactions on Electron Devices, vol. 41, no. 11, pp. 1965–1971,
[14] R. M. Incandela, L. Song, H. Homulle, E. Charbon, A. Vladimirescu, Nov 1994.
and F. Sebastiano, “Characterization and compact modeling of nanome- [35] E. Green, “Temperature dependence of semi-
ter CMOS transistors at deep-cryogenic temperatures,” IEEE Journal of conductor conductivity,” 2014. [Online]. Available:
the Electron Devices Society, Apr 2018. https://www.iiserkol.ac.in/ ph324/StudyMaterials/ResistivityTdep.pdf
[15] F. Balestra and G. Ghibaudo, “Brief review of the MOS device physics [36] K. Hung, P. Ko, C. Hu, and Y. Cheng, “Flicker noise characteristics
for low temperature electronics,” Solid-State Electronics, vol. 37, no. 12, of advanced mos technologies,” in Electron Devices Meeting, 1988.
pp. 1967 – 1975, 1994. IEDM’88. Technical Digest., International. IEEE, 1988, pp. 34–37.
[16] P. A. ’t Hart, J. P. G. van Dijk, M. Babaie, E. Charbon, A. Vladimircscu, [37] M. Shahmohammadi, M. Babaie, and R. B. Staszewski, “A 1/f noise
and F. Sebastiano, “Characterization and model validation of mismatch upconversion reduction technique for voltage-biased RF CMOS oscilla-
in nanometer cmos at cryogenic temperatures,” in 2018 48th European tors,” IEEE Journal of Solid-State Circuits, vol. 51, no. 11, pp. 2610–
Solid-State Device Research Conference (ESSDERC), Sept 2018, pp. 2624, Nov 2016.
246–249. [38] D. Murphy, H. Darabi, and H. Wu, “Implicit common-mode resonance
[17] A. Beckers, F. Jazaeri, and C. Enz, “Characterization and modeling of in LC oscillators,” IEEE Journal of Solid-State Circuits, vol. 52, no. 3,
28 nm bulk CMOS technology down to 4.2 k,” IEEE Journal of the pp. 812–821, Mar 2017.
Electron Devices Society, 2018. [39] R. B. Staszewski et al., “All-digital PLL and transmitter for mobile
[18] C. A. Ryan, B. R. Johnson, D. Ristè, B. Donovan, and T. A. Ohki, phones,” IEEE journal of Solid-State circuits, vol. 40, no. 12, pp. 2469–
“Hardware for dynamic quantum computing,” Review of Scientific In- 2482, Dec 2005.
struments, vol. 88, no. 10, p. 104703, 2017. [40] A. Elkholy, A. Elmallah, M. G. Ahmed, and P. K. Hanumolu, “A 6.75–
[19] C. K. Andersen, J. Heinsoo, A. Remm, S. Krinner, Y. Salathe, T. Walter, 8.25-GHz 250-dB FoM rapid on/off fractional-N injection-locked clock
S. Gasparinetti, J.-C. Besse, A. Potocnik, C. Eichler et al., “Rapid high- multiplier,” IEEE Journal of Solid-State Circuits, vol. 53, no. 6, pp.
fidelity multiplexed readout of superconducting qubits,” Bulletin of the 1818–1829, Dec 2018.
American Physical Society, 2018. [41] J. Gong, Y. He, A. Ba, Y.-H. Liu, J. Dijkhuis, S. Traferro, C. Bachmann,
[20] Y. Salathé, P. Kurpiers, T. Karg, C. Lang, C. K. Andersen, A. Akin, K. Philips, and M. Babaie, “A 1.33 mW, 1.6 psrms -integrated-jitter,
S. Krinner, C. Eichler, and A. Wallraff, “Low-latency digital signal 1.8-2.7 GHz ring-oscillator-based fractional-N injection-locked DPLL
processing for feedback and feedforward in quantum computing and for internet-of-things applications,” in 2018 IEEE Radio Frequency
communication,” Physical Review Applied, vol. 9, no. 3, p. 034011, Integrated Circuits Symposium (RFIC), Jun 2018, pp. 44–47.
2018.

You might also like