Download as pdf or txt
Download as pdf or txt
You are on page 1of 65

Lithography

1. Introduction and application.


2. Light source and photomask, alignment.
3. Photolithography systems.
4. Resolution, depth of focus, modulation transfer function.
5. Photoresist.
6. Resist sensitivity, contrast.
7. Step-by-step process of photolithography.

1
History
• Historically, lithography is a type of printing technology that is based on the chemical
repellence of oil and water.
• Photo-litho-graphy: latin: light-stone-writing.
• In 1826, Joseph Nicephore Niepce in Chalon France takes the first photograph using
bitumen of Judea on a pewter plate, developed using oil of lavender and mineral spirits.
• In 1935 Louis Minsk of Eastman Kodak developed the first negative photoresist.
• In 1940 Otto Suess developed the first positive photoresist.
• In 1954, Louis Plambeck, Jr., of Du Pont, develops the Dycryl polymeric letterpress plate.

Lithography stone and mirror-image


print of a map of Munich. Lithography press for
2
printing maps in Munich
Lithography for art: the print principle
• Lithography is a printing process that uses chemical
processes to create an image.
• For instance, the positive part of an image would be a
hydrophobic chemical, while the negative image would
be water.
• Thus, when the plate is introduced to a compatible ink
and water mixture, the ink will adhere to the positive
image and the water will clean the negative image.

3
Photolithography for IC manufacturing
• In IC manufacturing, lithography is the
single most important technology.
• 35% of wafer manufacturing costs
comes from lithography.
• The SIA roadmap is driven by the desire
to continue scaling device feature sizes.
• 0.7 linear dimension shrink every 3 yr.
• Placement/alignment accuracy 1/3 of
feature size.

Patterning process
consists of:
Mask design
Mask fabrication
Wafer exposure

4
Lithography

1. Introduction and application.


2. Light source and photomask, alignment.
3. Photolithography systems.
4. Resolution, depth of focus, modulation transfer function.
5. Photoresist.
6. Resist sensitivity, contrast.
7. Step-by-step process of photolithography.

5
Light source: mercury arc lamp
Traditionally Hg vapor lamps have been used which generate many spectral lines from a high
intensity plasma inside a glass lamp.
Electrons are excited to higher energy levels by collisions in the plasma, and photons are
emitted when the energy is released. (electron effective temperature 40000K in a plasma!! )
g line =436 nm
i line =365 nm
(used for 0.5μm and 0.35μm
lithography generation)

High pressure Hg-vapor lamps


lasts 1000 hours.

• Filters can be used to limit exposure wavelengths.


• Intensity uniformity has to be better than several % over the collection area.
• Needs spectral exposure meter for routine calibration due to aging. 6
Light source: excimer laser
Decreasing feature size (to <0.35m) requires
shorter .
Brightest sources in deep UV are excimer lasers.

Excimer laser:
• In excimer lasers, two elements, e.g. a noble
gas and a halogen (from a halogen containing
compound), which can react and “bind”
together only in the excited state but not in
their ground states, are present.
• Providing energy will therefore drive the
reaction, creating the excimer.
• When the excitation energy is removed, the
excimer dissociates and releases the energy at
the characteristic wavelength.
• A pulsed excitation is used to repeat the Eximer = Excited dimer
process. Xe* + Cl2  XeCl* + Cl
XeCl*  XeCl + DUV
Kr  NF3 energy DUV = deep UV, 308nm for XeCl laser
  KrF  photon emission
XeCl  Xe + Cl
KrF  = 248 nm (used for 0.25μm lithography generation) Here “*” means excited state
ArF  = 193 nm (currently used for 45nm node/generation production) 7
Light sources: summary

CD: critical dimension

Note: the numbers in the two tables are different, so they must be for different systems8
Photomask
Types:
• Photographic emulsion on soda lime glass
(cheap).
• Fe2O3 on soda lime glass (no longer in use?).
• Cr on soda lime glass and on quartz glass (most
popular).
(Quartz has low thermal expansion coefficient and low
absorption of light, but more expensive; needed for
deep UV lithography).
• Transparency by laser printer, more and more
popular for MEMS (resolution down to few m
with a 20000 dpi printer, very cheap).

Polarity:
• Light-field, mostly clear, drawn feature is opaque.
• Dark-field, mostly opaque, drawn feature is clear.
Light-field photomask
Three potential mask improvements:
Pellicle, antireflective coatings, phase-shift masks.
(we want 100% transmission, no reflection) 9
Pellicle on a reticle (IC word for mask)
Pellicle film

Chrome pattern
Frame

Reticle

The particle on the pellicle surface


is outside of optical focal range.

Antireflective coatings Pellicle film


Depth of focus Chrome pattern

Mask material

Pellicle: (used only for IC manufacturing where yield is important)


• A thin coating of transparent material similar to Mylar is stretched over a cylindrical frame
on either side of the mask.
• The frame stands off the membrane at a distance of 1 cm from the surface of the mask.
• Purpose of pellicle is to ensure that particle that fall in the mask are kept outside of the
focal plane of the optical system. 10
Photomask (Cr pattern on quartz) fabrication
Laser beam writing:
• Similar to photolithography, but use a focused laser beam.
• It is a direct-write technique - no mask is needed.
• Resolution down to a few 100nm, cheaper than electron-beam writing.

(Cr is 100nm thick)


Remove the resist. 11
Photomask fabrication by electron beam lithography

quartz

12. Finished 12
Mask fabrication by photo-reduction (demagnification)
Minimum feature size 1-5m

This is similar to photography, where image is reduced onto the negative film.
13
Mask fabrication by photo-reduction

The beginning “artwork” is huge


(close to 1 meter) that can be
made easily by printing, the
final photomask is only order 1
inch with m feature size on it.

14
Mask to wafer alignment
• 3 degrees of freedom between mask and Alignment mark on wafer created
wafer: x, y,  (angle) from prior processing step.
• Use alignment marks on mask and wafer to
register patterns prior to exposure.
• Modern steppers use automatic pattern
recognition and alignment systems, which
takes 1-5 sec to align and expose.
• Normally requires at least two alignment
mark sets on opposite sides of wafer or Alignment mark on mask, open
stepped region, and use a split-field window in Cr through which
microscope to make alignment easier. mark on wafer can be seen.

15
Use vernier for more precise alignment
Alignment problems: thermal expansion

Pattern on wafer
for alignment

Alignment
ΔTm, ΔTsi = change of mask and wafer temperature. mark on mask
m, si = coefficient of thermal expansion of mask & silicon.

For example, for thermal expansion of 2ppm/oC


(silicon 2.6, fused silica/quartz 0.5 ppm/oC),
assume temperature change of 1oC, then the
distance between two features separated by
50mm will change by 2ppm or 100nm, which is
too large for IC production but OK for most R&D.

16
Lithography

1. Introduction and application.


2. Light source and photomask, alignment.
3. Photolithography systems.
4. Resolution, depth of focus, modulation transfer function.
5. Photoresist.
6. Resist sensitivity, contrast.
7. Step-by-step process of photolithography.

17
Three basic methods of wafer exposure

Figure 5.3

High resolution. But mask Less mask wear No mask wear/contamination,


wear, defect generation. /contamination, less mask de-magnified 4 (resist
resolution (depend on gap). features 4 smaller than mask).
Fast, simple and inexpensive, choice for R&D. Very expensive, mainly used for
IC industry.
18
Contact/proximity exposure system (called mask aligner)

Hard to maintain contact or constant gap


when wafer/mask is not even/flat.
Resolution (half-period for grating
pattern) is given by:

3  t
R  g  
2  2
g is gap (=0 for contact), t is resist
thickness, and  is wavelength.

4 objectives of optical exposure system


• Collect as much of radiation
• Uniform radiation over field of exposure
• Collimate and shape radiation
• Select exposure wavelength
19
Stepper (step and repeat system)
Die-by-die exposure UV light source
Feature size (typically)
4 reduction
Shutter
Alignment laser

Shutter is closed during focus


and alignment and removed
during wafer exposure Reticle (may contain one or
more die in the reticle field)

Projection lens (reduces the size


of reticle field for presentation to
the wafer surface)
Single field exposure, includes:
focus, align, expose, step, and
repeat process
Wafer stage controls
position of wafer in
X, Y, Z, 
20
Step and scan (stepper) exposure system: 193nm
193nm stepper systems are used today
for IC manufacturing. Excimer laser
(193 nm ArF )
Illuminator optics

Reticle library
(SMIF pod Beam
interface) line

Wafer
transport
system
Reticle
stage

Wafer
Auto-alignment stage
system
4:1 Reduction lens
Excimer laser: light is in pulses of 20ns
Optical train for an excimer laser stepper
duration at a repetition rate of a few kHz.
About 50 pulses are used for each exposure. 21
Lithography

1. Introduction and application.


2. Light source and photomask, alignment.
3. Photolithography systems.
4. Resolution, depth of focus, modulation transfer function.
5. Photoresist.
6. Resist sensitivity, contrast.
7. Step-by-step process of photolithography.

22
Light diffraction through an aperture on mask

23
Near field/Fresnel diffraction for contact/proximity exposure
2 Figure 5.14
Near field: gW
(g is gap) 
3  t
Wmin    g   ~ g
2  2
(t is resist thickness)
W2
For g=10m, =365nm g

Wmin  2 m

• Interference effects and diffraction result in “ringing” and spreading outside the aperture.
• Edges of image rise gradually (not abrupt) from zero.
• Intensity of image oscillates about the expected intensity.
• Oscillations decay as one approaches the center of the image.
• The oscillations are due to constructive and destructive interference of Huygen’s wavelets
from the aperture in the mask.
• When aperture width is small, the oscillations are large
• When aperture width is large, the oscillations rapidly die out, and one approaches simple
24
ray tracing when aperture >> .
Far field/Fraunhofer diffraction for projection exposure

Near field

Far field

Far field: W2 << (g2+r2)1/2, r is


position on the wafer.
Sharp maximum intensity at x=0, and
intensity goes through 0 at integer
multiples of one-half number.

25
Lens capturing diffracted light
Quartz

UV
Mask

Chrome Diffraction patterns

4 4
3 3
2 2
1 1
0

Lens

Large lens captures more diffracted light, and those higher order diffracted light carries
high frequency (detail of fine features on mask) information.
26
Numerical aperture of a lens

Numerical aperture (NA) of an optical system is a measure of the ability of the lens to
collect light.
NA  nsin, n is refractive index for the medium at the resist surface (air, oil, water).
For air, refractive index n=1, NA = sin  (d/2)/f  d for small . 27
Effect of numerical aperture on imaging
Pinhole masks

Lens NA Image results


Small lens (not in same scale)

Bad

Poor

Good

Large lens
Diffracted light 28
Light diffraction through a small circular aperture

Qualitative example of a small aperture being imaged.

“Airy disk”
Light intensity on image plate

A point image is formed only if 0, f 0 or


d. 29
Image intensity of a circular aperture in the image plane.
Rayleigh criteria for resolution

Lord Rayleigh
Figure 5.8

Rayleigh suggested that a reasonable


criterion for resolution is that the central
maximum of each point source lie at the
first minimum of the Airy pattern.
Strictly speaking, this and next slides
make sense only for infinitely far (>>f)
objects, like eye. Fortunately, 4x
Resolved images Unresolved images reduction means far object, and near
(near focal plane) image. 30
Rayleigh criteria for resolution R
1.22f 1.22f
R=   0.61  0.61   k1 
d n(2 f sin  ) n sin  NA NA
K1 factor has no well-defined physical meaning.
It is an experimental parameter, depends on the lithography system and resist properties.

S1
To increase resolution,
one can: S2
Increase NA by using large
lens and/or immersion in
a liquid (n>1).
S1
Decrease k1 factor (many
tricks to do so). S2
Decrease  (not easy,
industry still insists on
193nm). S1
S2

31
Effect of imaging/printing conditions

Annular means an “off-axis illumination” method, which is one trick to decrease k1.
32
EUV: extreme UV, here wavelength 13.5nm. Immersion means exposure in water.
Depth of focus (DOF)
DOF for photography DOF is the range in which the image
Large DOF
is in focus and clearly resolved.

A small aperture was used to ensure the foreground


stones were as sharp as the ones in the distance.

Small DOF DOF Focal point


(background blurred)

What one need here is a


telephoto lens at its
widest aperture.
Depth of focus for projection photolithography

DOF     k 2 
(NA) 2
• It can be seen that larger NA gives smaller depth of focus!
• This is also true for camera. A cheap camera takes photos that are always in focus no
matter where the subject is, this is because it has small lenses.
• This of course works against resolution where larger NA improves this property.
• In order to improve resolution without impacting DOF too much, λ has been reduced
and “optical tricks” have been employed.

Large lens (large NA), small DOF Small lens (small NA), large DOF
34
Optimal focal plane in photolithography
• Light should be focused on the middle point of the resist layer.
• In IC, DOF is << 1m, hard to focus if wafer is not super flat.
• People talks more of resolution, but actually DOF can often be a bigger
problem than resolution.
• For example, a 248nm (KrF) exposure system with a NA = 0.6 would have a
resolution of 0.3μm (k1 = 0.75) and a DOF of only  ±0.35μm (k2 = 0.5).

Focal plane Depth of focus

35
Modulation transfer function (MTF)
I max  I min
Modulation transfer function is another useful concept. MTF 
It is a measure of image contrast on resist. I max  I min

Figure 5.10 36
MTF and spatial coherence
Usually MTF > 0.5 is preferred.
It depends on , light source size (coherency), and optical system.
It certainly also depends on feature size (or period for a grating pattern).
Spatial coherence of light source

Point source • Coherent light will have a phase to space relationship.


is coherent
• Incoherent light or light with only partial coherence will
Plane have wave-fronts that are only partially correlated.
wave • Spatial coherence S is an indication of the angular range
of light waves incident on mask, or degree to which
light from source are in phase.

source diameter s
S 
aperture diameter d
Partially
coherent 37
Lithography

1. Introduction and application.


2. Light source and photomask, alignment.
3. Photolithography systems.
4. Resolution, depth of focus, modulation transfer function.
5. Photoresist.
6. Resist sensitivity, contrast.
7. Step-by-step process of photolithography.

38
Photoresist overview
Photoresist is a liquid mixture that can be spun onto a substrate, exposed and developed
into a pattern for subsequent processing.
Typically consists of 3 components:
• Resin - a binder that provides mechanical properties (adhesion, chemical resistance…).
• Sensitizer - photoactive compound.
• Solvent – e.g. n-butyl acetate, xylene, keep the resist in a liquid form for spin coating.
Its content determines viscosity and hence resist thickness.

There are two types of photoresist:


• Positive: exposed area removed by
Cr
developer.
• Negative: unexposed area removed
by developer.

Mask Photoresist

resist

Positive Resist
Negative Resist39
Positive resist: DNQ
• It is the most popular positive resists for i-line (365nm) and g-line (436nm) exposure,
but cannot be used for very short .
• It consist of diazonaphthoquinone (DNQ), which is the photoactive compound (PAC);
and novolac, a matrix material called resin.
• After spinning and baking, resists contains roughly 1:1 PAC and resin.
Novolac
A polymer whose monomer is an aromatic ring with 2 methyl groups and an OH group.
It dissolves easily in a base developer solution. Solvents are added to adjust the viscosity.

Novolac

DNQ (diazo-naphto-quinone)
It is the PACs in these resists, and it acts as an
inhibitor, reducing the dissolution rate of he
resist in the developer.
This occurs by a chemical bonding of the PAC and
the novolac at the surface of the resist where it is DNQ
exposed to the developer.
40
DNQ upon UV exposure
Sensitizers: (here it is DNQ)
• It is also called photoactive compounds (PAC).
• It absorb radiation and undergo chemical
reactions to change their chemical dissolution
properties in developer.
• The net result is Differential Dissolution rate
(100:1) between areas that absorbed
radiation and areas that did not absorb
radiation.
• Sensitizers are developer resistant before
they absorb radiation.

• Addition of UV light will free nitrogen molecule from the carbon ring leaving behind a
highly reactive carbon site.
• One way to stabilize the structure is to move one of the carbons outside the ring, and the
oxygen atom is covalently bonded to this external carbon atom.
• This process known as Wolff rearrangement.
• In presence of water, the resulting ketene molecule finally transforms into carboxylic acid,
which is readily soluble in basic developer (KOH, NAOH, TMAH etc). 41
Developing of DNQ resist and its advantage
• Novolac resin is water soluble.
• But due to the addition of the DNQ PAC (to the novolac matrix in) at about a 1:1 ratio,
the resist is almost insoluble in a base solution (pH > 7).
• Whereas after exposure, the generated carboxylic acid readily dissolve in base
solutions.
• The chemical reaction that occurs during this dissolution is the breakdown of the
carboxylic acid into water-soluble amines such as aniline (phenylamine, one H in NH3
replaced by a benzene ring, C6H7N).
• This process continues until all of the exposed resist is removed.
• Typical developer solutions are KOH or NaOH diluted with water, yet in recent years
the so-called MIF (metal ion free) developer based on TMAH dominates, because K+
and Na+ ions are very bad for deveice.

Dissolution rate in developer

TMAH: tetra-methyl-ammonium hydroxide


H in NH4OH replaced by CH3 group.
42
Photoresist properties
• Resolution: how fine a line the resist can reproduce from an areal image. It is determined by
contrast, thickness, proximity effects, swelling and contraction after development.
• Contrast: ability of resist to distinguish between light and dark regions, measured by
exposing resist of given thickness to varying radiation dose and measuring dissolution rate.
• Sensitivity: incident energy necessary to produce the photochemical reactions required for
defining patterns. It is related to quantum yield (=# of photon-induced events/# of photons
absorbed). Higher sensitivity required at shorter wavelength because of limited brightness of
UV sources and optics efficiency. Trade-off between exposure time and source brightness.
• Etch resistance: Novolac is a long-chain aromatic ring polymer that is fairly resistant to
chemical attack. Therefore, the resist is a good mask for wet or dry plasma etching.
• Spectral response curve: should match the exposure light source.
Example: resist sensitivity
Photon energy E=hf=hc/=4.5410-19J.
Number of photons: (150mJ/cm2)/4.5410-19J =3.31017/cm2.
Volume/photon=3.310-22/cm3.
Mean photon separation: (3.310-22/cm3)1/3=0.67nm.

43
Negative photoresist
• Negative photoresist becomes insoluble in regions exposed to light.
• It is a polymer with long chains. Molecular weight 104-106kg/mol, about one order
higher than that of DNQ positive resist.
• Irradiation results in bonding or cross-linking (form 3D molecular network) of adjacent
polymer chains and increases of molecular weight.
• Unexposed resists dissolve in aromatic solvents such as benzene, toluene and xylene.

Cross-linked region

Component of one negative photoresist


• Resin: cyclized synthetic rubber resin, not sensitive to exposure, fast
dissolution in organic solvent such as toluene and xylene.
• Sensitizer PAC: bis-arylzide
• Solvent: aromatic solvent
• Developer: organic solvents (we know for positive resist, it is inorganic) 44
Comparison to positive resist
Negative photoresist are:
• Lower resolution due to solvent-induced swelling of exposed regions during
development, which results in ragged edges or a loss of pattern fidelity and resolution.
(For positive resist, much lower molecular weight and smaller chain, develops by
“etching” - no swelling.)
• Less expensive.
• More sensitive  higher exposure throughput.
• Relatively tolerant of developing conditions, wider process window.
• Better chemical resistance  better mask material for pattern transfer to under-layer.
• Organic-based solvents vs. aqueous-based solvents for positive resist.

Positive photoresist is much more expensive, therefore negative photoresist was used until
it had to be replaced when the minimum feature size was shrunk to smaller than 3m.
Today DUV (deep UV) 193nm resist is used for IC industry, the above positive or negative
resists are no longer in use.
But for R&D, many different fancy resists are used, some (e.g. AZ-5214 resist) can even be
used as both positive and negative resist (but processed differently)!
45
Deep UV (DUV) resists
• Traditional g-line and i-line resists have maximum quantum efficiencies ≈30% that limits
its sensitivity. In addition, it absorbs too strongly for  < I line (=365nm).
• Chemical amplification can improve sensitivity significantly, with effective quantum
efficiency >>100%.
• DUV resists are all chemically amplified resist (again, this is true only for industrial
application; for R&D, even a simple polymer like PMMA can be used as DUV resist).
• Photo-acid generator (PAG) is converted to an acid by photon exposure. Later, in a post
exposure bake (PEB), the acid molecule reacts with a “blocking” molecule on a polymer
chain, making it soluble in developer and regenerating the acid molecule.
• It is basically a catalytic chain reaction. In principle, only one photon is needed to
generate one “seed” (acid catalyst), and all the rest reaction takes place during PEB. (this
also means that PEB temperature needs to be tightly controlled for reproducible result)

Basic operation of a chemically


amplified resist. PAG is photo-
acid generator, INSOL and SOL
are the insoluble and soluble
portions of the polymer base.

46
Lithography

1. Introduction and application.


2. Light source and photomask, alignment.
3. Photolithography systems.
4. Resolution, depth of focus, modulation transfer function.
5. Photoresist.
6. Resist sensitivity, contrast.
7. Step-by-step process of photolithography.

47
Contrast and sensitivity
Contrast  is defined as: • Typical g-line and i-line resists: =2–3, Df100 mJ/cm2.
• DUV resists: =5–10, Df 20 - 40 mJ/cm2. (chemically amplified)
•  and Df are not intrinsic properties of the resist - they depend
on process conditions (developer, development time, baking
Df is Sensitivity. time, , substrate…).

mJ/cm2=mW/cm2×sec 48
Ideal resist response: , D0 = Df = Dcr
Dcr : critical exposure dose.
Resist receives exposure dose > Dcr will completely
dissolve during developing.
Dose < Dcr will not be attacked during developing.

Ideal resist:
vertical resist
profile.

Dose: Intensity  time I I


MTF  max min
Non-ideal resist: I max  I min
for real situation with
finite , the result is a
tapered profile.

Positive resist profile 49


Lithography

1. Introduction and application.


2. Light source and photomask, alignment.
3. Photolithography systems.
4. Resolution, depth of focus, modulation transfer function.
5. Photoresist.
6. Resist sensitivity, contrast.
7. Step-by-step process of photolithography.

50
Typical photoresist
process flow for DNQ
g-line and i-line
positive resist

51
Surface preparation
Cleaning: remove any contaminants on the wafers prior to photoresist coating.
Dehydration: remove water prior to priming and coating.
Priming (adhesion promoter): HMDS (hexa-methyl-di-silazane) is typically used before
spinning resist. It makes surface more hydrophobic (less hydrophilic), by
replacing –OH on wafer suface with –CH3.

Standard degrease wafer cleaning:


Use solvents acetone then methanol then 2-
propanol (also called iso-propanol). Methanol
is often skipped due to its toxicity.
For particularly troublesome grease, oil or
wax stains, one can use 1,1,1-trichloroethane
(TCA) or trichloroethylene (TCE) with
ultrasonic agitation prior to acetone.

Chemistry of HMDS, a primer that acts as an


adhesion promoter for photoresist. Note that
HMDS = bis(trimethylsilyl)amine H2O is always present on or around wafer.
52
Photoresist spin coating

photoresist
dispenser

vacuum chuck
to vacuum
pump spindle
53
The physics of spin
• Resist thickness after the spin process is related to properties of the resist and the spin.
• The most important factor is resist viscosity and solvent evaporation rate (how volatile it is).
• Resist won’t be uniform if the solvent evaporates too fast.
• Thickness will approach zero if solvent never evaporates (assume long-enough spin time).
• Typically after 20sec spinning at peak speed, film thickness becomes stable (i.e. almost no
solvent left).

Thickness (m)
Photoresist
Spin properties
properties
Viscosity Spin Speed

Drying characteristics Acceleration Rate

Dispense volume Spin Time

SU-8 is very thick resist (typical resist only


1m thick). Here the series has different
amount of solvent. More solvent, less
Spin speed (rpm)
viscosity, thinner film. 54
Other ways of resist application
Kind of spray coating
Those methods are not for IC.
They are for, e.g., large area electronics
(large screen display…).
It works for very large substrates or on
none-rigid plastic substrates.

Kind of contact coating Kind of roller coating, on both sides

55
Other ways of resist application

Dry film photoresist

Dry film resist

Apply dry film


to plastic roll
Prebake (soft bake)
• Used to evaporate the coating solvent and to
densify the resist after spin coating. Also improve
adhesion. (dissolve fast when solvent
• For example, 90-100°C for 20min in a convection still present in resist)
oven, then 75-85°C for 45sec on hotplate.
• Commercially, microwave heating or IR lamps are
also used in production line.
• Baking on hotplate is usually faster, more
controllable, and does not trap solvent like oC
convection oven baking.
Baking temperature
• Again, for research the procedure can be much
simpler: just 110oC on hotplate for 1min.

The thickness of the resist is usually decreased by 25%


during prebake for both positive and negative resists.
Less prebake increases the development rate. 57
Align, expose and develop
For positive resist:
• Exposure dose is chosen such that the pattern clears after order 1min development.
• In principle, one can use very short exposure time, then the dissolution rate will be very
slow and development takes long time.
• On the other hand, too long exposure time leads to too short development, hard to
control.
For negative resist:
• The development time does NOT depend on exposure dose, since anyway the part to be
removed is not exposed.
• Exposure time must be such that exposed part is not significantly dissolved during
development.
PR PR

Substrate Substrate
Normal Incomplete
development development
PR PR

Substrate Substrate
Under Over
58
development development
Post exposure bake (PEB, after exposure)
PEB is often needed for negative resist, but optional (not needed) for positive resist.
PEB is always needed for chemically amplified resist.

• Photoresist glass transition temperature Tg, PEB should be done at T>Tg.


• Thermal movement of photoresist molecules leads to rearrangement of the
overexposed and underexposed resist molecules.
• Therefore PEB averages out standing wave effect, smoothes resist sidewall and
improves resolution.
• For DUV chemical amplified photoresist, PEB provides the heat needed for acid
diffusion and amplification.
• After the PEB process, the images of the exposed area appear on the photoresist,
due to significant chemical change (lead to refractive index change) after the acid
amplification.
• PEB normally uses hotplate at 110 to 130C for about 1 minute.
• For the same kind of resist, PEB usually requires higher temperature than prebake.
• Over-baking will cause polymerization and affects (slow down or stop) photoresist
development

59
Post-bake (hard bake, after development)
• High temperature bake is used to stabilize/harden resist, improve adhesion to substrate,
and remove any residuals of the coating solvent and developer.
• It makes resist more robust against further energetic processes such as ion implantation
and plasma etching, or against wet etching (by HF…).
• For example, 90-120℃, 60-90sec, at temperature higher than Tg.
• It introduces stress into the photoresist, and some shrinkage may occur.
• Longer and hotter post-bake makes subsequent resist removal more difficult.
• It is bad for liftoff process (using acetone), as resist become more difficult to dissolve.
• Photoresist will undergo plastic flow with sufficient time and/or temperature.

60
Before melting, 10m thick After melting, 3-5m thick
Two primary pattern transfer techniques
Direct etch:
Photoresist is applied on top of the layer to be patterned.
Unwanted material is etched away, using resist as mask.
Lift-off:
Patterned layer is deposited on top of the photoresist.
Unwanted material is lifted off when resist is removed.

Direct etch: (using resist as etching mask)

Usually anisotropic dry etch, though wet etch


(isotropic) is also OK for low-resolution applications.
61
Lift-off

(this step is often skipped)


• For R&D, liftoff is very popular since it patterns metals easily.
• Most metals are hard to etch by dry plasma etch (reactive ion etching), then liftoff is the
only method. (dry etch is anisotropic, thus maintaining feature size/resolution)
• But for low resolution application, direct etch method using wet etching is OK. (metal can
be etched easily using acids…, but it is isotropic and pattern widens due to lateral etch)
• Liftoff is almost never used for industry, due to the low yield (pattern edge not “clean”,
metal debris in liftoff solution that fall on other parts of the wafer).
62
Photoresist removal (stripping)

• Remove the photoresist and any of its residues.


• Simple solvents are generally sufficient for non-post-baked photoresist:
o Positive photoresist: acetone, trichloroethylene (TCE), phenol-based strippers.
o Negative photoresist: methyl ethyl ketone (MEK), CH3COC2H5, methyl isobutyl
ketone (MIBK), CH3COC4H9, “piranha” 1:1 mixture of H2SO4:H2O2 @ up to 150oC.
• Plasma etching with O2 (ashing) is also effective for removing organic polymer
debris.
• Some photoresist (e.g. SU-8) is very hard to remove. It can be used as a part of
final device, or burned away at 450-700oC, or spin them on another layer that can
be easily removed to liftoff the SU-8 on top.

63
Other resist configurations: bi-level and tri-level
Bi-level Resist
(may create certain
undercut profile, but Undercut profile
not large undercut)

• Pattern transferred by oxygen plasma RIE to ARC.


• Thin-resist exposure results in very high contrast, thus high resolution.
• Undercut profile is because ARC etching rate by O2 RIE is faster than that of resist.
• Such profile is ideal for liftoff (metal on bottom not connected to metal on top of resist).

Tri-level Resist
(do not rely on the etching
rate selectivity between
resist and ARC to achieve
large undercut profile)

Thin hard mask (e.g. Si or SiO2) can be etched through using CF4 RIE (reactive ion etching),
and ARC using O2 RIE. Very large undercut possible using tri-level by O2 over-etch that
64
does not attack the hard mask.
Photolithography (for production)

65
Harvard_Fabrication_ES174Si4.ppt – 2006

You might also like